summaryrefslogtreecommitdiff
path: root/src
diff options
context:
space:
mode:
authorEdward O'Callaghan <eocallaghan@alterapraxis.com>2014-07-07 23:54:15 +1000
committerEdward O'Callaghan <eocallaghan@alterapraxis.com>2014-07-08 13:53:21 +0200
commit264d265d9c0f9f6c157fcc12d28b238849d25293 (patch)
tree126f0c4f4d01f92e66ecb4c296d5035d09d57f74 /src
parent730e3b02fb30b944664f69d9a73e69256bc9952f (diff)
downloadcoreboot-264d265d9c0f9f6c157fcc12d28b238849d25293.tar.xz
southbridge: Trivial - drop trailing blank lines at EOF
Change-Id: I5484ebb665453777cc3b2561be6e50c787f1a257 Signed-off-by: Edward O'Callaghan <eocallaghan@alterapraxis.com> Reviewed-on: http://review.coreboot.org/6209 Tested-by: build bot (Jenkins) Reviewed-by: Kyösti Mälkki <kyosti.malkki@gmail.com>
Diffstat (limited to 'src')
-rw-r--r--src/southbridge/amd/amd8111/acpi.c1
-rw-r--r--src/southbridge/amd/amd8111/amd8111_smbus.h2
-rw-r--r--src/southbridge/amd/amd8111/ide.c1
-rw-r--r--src/southbridge/amd/amd8111/pci.c1
-rw-r--r--src/southbridge/amd/cimx/sb700/Platform.h1
-rw-r--r--src/southbridge/amd/cimx/sb700/early.c1
-rw-r--r--src/southbridge/amd/cimx/sb700/reset.c1
-rw-r--r--src/southbridge/amd/cimx/sb700/smbus.c1
-rw-r--r--src/southbridge/amd/cimx/sb800/fan.c2
-rw-r--r--src/southbridge/amd/cimx/sb800/reset.c1
-rw-r--r--src/southbridge/amd/cimx/sb800/smbus.c1
-rw-r--r--src/southbridge/amd/cimx/sb900/cfg.c2
-rw-r--r--src/southbridge/amd/cimx/sb900/reset.c1
-rw-r--r--src/southbridge/amd/cimx/sb900/smbus.c1
-rw-r--r--src/southbridge/amd/cs5535/chipsetinit.c1
-rw-r--r--src/southbridge/amd/cs5536/smbus.c1
-rw-r--r--src/southbridge/amd/rs690/cmn.c1
-rw-r--r--src/southbridge/amd/sb600/early_setup.c1
-rw-r--r--src/southbridge/amd/sb600/usb.c1
-rw-r--r--src/southbridge/amd/sb700/pmio.c1
-rw-r--r--src/southbridge/amd/sb700/reset.c1
-rw-r--r--src/southbridge/intel/bd82x6x/azalia.c1
-rw-r--r--src/southbridge/intel/bd82x6x/early_smbus.c1
-rw-r--r--src/southbridge/intel/bd82x6x/lpc.c2
-rw-r--r--src/southbridge/intel/bd82x6x/sata.c1
-rw-r--r--src/southbridge/intel/bd82x6x/smbus.h1
-rw-r--r--src/southbridge/intel/esb6300/bridge1c.c1
-rw-r--r--src/southbridge/intel/esb6300/chip.h1
-rw-r--r--src/southbridge/intel/esb6300/early_smbus.c1
-rw-r--r--src/southbridge/intel/esb6300/ide.c1
-rw-r--r--src/southbridge/intel/esb6300/pci.c1
-rw-r--r--src/southbridge/intel/esb6300/pic.c1
-rw-r--r--src/southbridge/intel/esb6300/sata.c1
-rw-r--r--src/southbridge/intel/esb6300/smbus.c1
-rw-r--r--src/southbridge/intel/esb6300/smbus.h1
-rw-r--r--src/southbridge/intel/esb6300/uhci.c1
-rw-r--r--src/southbridge/intel/fsp_bd82x6x/azalia.c1
-rw-r--r--src/southbridge/intel/fsp_bd82x6x/early_smbus.c1
-rw-r--r--src/southbridge/intel/fsp_bd82x6x/lpc.c2
-rw-r--r--src/southbridge/intel/fsp_bd82x6x/sata.c1
-rw-r--r--src/southbridge/intel/i3100/ioapic.c1
-rw-r--r--src/southbridge/intel/i3100/pci.c1
-rw-r--r--src/southbridge/intel/i82371eb/smbus.h1
-rw-r--r--src/southbridge/intel/i82801ax/early_smbus.c1
-rw-r--r--src/southbridge/intel/i82801bx/i82801bx.h1
-rw-r--r--src/southbridge/intel/i82801cx/pci.c1
-rw-r--r--src/southbridge/intel/i82801cx/usb.c1
-rw-r--r--src/southbridge/intel/i82801dx/ac97.c2
-rw-r--r--src/southbridge/intel/i82801dx/nvs.h1
-rw-r--r--src/southbridge/intel/i82801ex/chip.h1
-rw-r--r--src/southbridge/intel/i82801ex/ide.c1
-rw-r--r--src/southbridge/intel/i82801ex/pci.c1
-rw-r--r--src/southbridge/intel/i82801ex/sata.c1
-rw-r--r--src/southbridge/intel/i82801ex/smbus.c1
-rw-r--r--src/southbridge/intel/i82801ex/smbus.h1
-rw-r--r--src/southbridge/intel/i82801ex/uhci.c1
-rw-r--r--src/southbridge/intel/i82801ex/watchdog.c1
-rw-r--r--src/southbridge/intel/i82801gx/azalia.c1
-rw-r--r--src/southbridge/intel/i82801gx/bootblock.c1
-rw-r--r--src/southbridge/intel/i82801gx/early_smbus.c1
-rw-r--r--src/southbridge/intel/i82801gx/nvs.h1
-rw-r--r--src/southbridge/intel/i82801gx/smbus.h1
-rw-r--r--src/southbridge/intel/i82801ix/bootblock.c1
-rw-r--r--src/southbridge/intel/i82801ix/dmi_setup.c1
-rw-r--r--src/southbridge/intel/i82801ix/early_init.c1
-rw-r--r--src/southbridge/intel/i82801ix/early_smbus.c1
-rw-r--r--src/southbridge/intel/i82801ix/hdaudio.c1
-rw-r--r--src/southbridge/intel/i82801ix/lpc.c1
-rw-r--r--src/southbridge/intel/i82801ix/nvs.h1
-rw-r--r--src/southbridge/intel/i82801ix/pcie.c1
-rw-r--r--src/southbridge/intel/i82801ix/sata.c1
-rw-r--r--src/southbridge/intel/i82801ix/smbus.h1
-rw-r--r--src/southbridge/intel/i82870/82870.h1
-rw-r--r--src/southbridge/intel/i82870/pci_parity.c2
-rw-r--r--src/southbridge/intel/i82870/pcibridge.c1
-rw-r--r--src/southbridge/intel/ibexpeak/azalia.c1
-rw-r--r--src/southbridge/intel/ibexpeak/early_smbus.c1
-rw-r--r--src/southbridge/intel/ibexpeak/lpc.c2
-rw-r--r--src/southbridge/intel/lynxpoint/azalia.c1
-rw-r--r--src/southbridge/intel/lynxpoint/early_smbus.c1
-rw-r--r--src/southbridge/intel/lynxpoint/lpc.c2
-rw-r--r--src/southbridge/intel/lynxpoint/rcba.c1
-rw-r--r--src/southbridge/intel/lynxpoint/sata.c1
-rw-r--r--src/southbridge/intel/lynxpoint/smbus.h1
-rw-r--r--src/southbridge/nvidia/mcp55/pci.c1
-rw-r--r--src/southbridge/nvidia/mcp55/reset.c1
-rw-r--r--src/southbridge/nvidia/mcp55/smbus.c1
-rw-r--r--src/southbridge/nvidia/mcp55/smbus.h1
-rw-r--r--src/southbridge/nvidia/mcp55/usb.c1
-rw-r--r--src/southbridge/rdc/r8610/r8610.c1
-rw-r--r--src/southbridge/ricoh/rl5c476/rl5c476.h3
-rw-r--r--src/southbridge/sis/sis966/aza.c1
-rw-r--r--src/southbridge/sis/sis966/early_ctrl.c1
-rw-r--r--src/southbridge/sis/sis966/early_setup_car.c1
-rw-r--r--src/southbridge/sis/sis966/early_setup_ss.h2
-rw-r--r--src/southbridge/sis/sis966/early_smbus.c1
-rw-r--r--src/southbridge/sis/sis966/ide.c1
-rw-r--r--src/southbridge/sis/sis966/pcie.c1
-rw-r--r--src/southbridge/sis/sis966/reset.c1
-rw-r--r--src/southbridge/sis/sis966/smbus.h1
-rw-r--r--src/southbridge/ti/pci7420/pci7420.h1
-rw-r--r--src/southbridge/via/vt8235/early_smbus.c1
-rw-r--r--src/southbridge/via/vt8235/usb.c1
-rw-r--r--src/southbridge/via/vt8237r/nvs.h1
-rw-r--r--src/southbridge/via/vt82c686/early_serial.c1
-rw-r--r--src/southbridge/via/vt82c686/vt82c686.h1
106 files changed, 0 insertions, 118 deletions
diff --git a/src/southbridge/amd/amd8111/acpi.c b/src/southbridge/amd/amd8111/acpi.c
index bfa52241e1..54bd2e8395 100644
--- a/src/southbridge/amd/amd8111/acpi.c
+++ b/src/southbridge/amd/amd8111/acpi.c
@@ -241,4 +241,3 @@ static const struct pci_driver acpi_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_AMD,
.device = PCI_DEVICE_ID_AMD_8111_ACPI,
};
-
diff --git a/src/southbridge/amd/amd8111/amd8111_smbus.h b/src/southbridge/amd/amd8111/amd8111_smbus.h
index 6661e0279f..00f8f50add 100644
--- a/src/southbridge/amd/amd8111/amd8111_smbus.h
+++ b/src/southbridge/amd/amd8111/amd8111_smbus.h
@@ -323,5 +323,3 @@ static int do_smbus_block_write(unsigned smbus_io_base, unsigned device, unsigne
}
return 0;
}
-
-
diff --git a/src/southbridge/amd/amd8111/ide.c b/src/southbridge/amd/amd8111/ide.c
index 3299875187..ef0cee1357 100644
--- a/src/southbridge/amd/amd8111/ide.c
+++ b/src/southbridge/amd/amd8111/ide.c
@@ -63,4 +63,3 @@ static const struct pci_driver ide_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_AMD,
.device = PCI_DEVICE_ID_AMD_8111_IDE,
};
-
diff --git a/src/southbridge/amd/amd8111/pci.c b/src/southbridge/amd/amd8111/pci.c
index 9e7724980f..f882490e8b 100644
--- a/src/southbridge/amd/amd8111/pci.c
+++ b/src/southbridge/amd/amd8111/pci.c
@@ -65,4 +65,3 @@ static const struct pci_driver pci_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_AMD,
.device = PCI_DEVICE_ID_AMD_8111_PCI,
};
-
diff --git a/src/southbridge/amd/cimx/sb700/Platform.h b/src/southbridge/amd/cimx/sb700/Platform.h
index 261b508c09..de008f8dc9 100644
--- a/src/southbridge/amd/cimx/sb700/Platform.h
+++ b/src/southbridge/amd/cimx/sb700/Platform.h
@@ -87,4 +87,3 @@ void TraceCode ( UINT32 Level, UINT32 Code);
#define DMSG_SB_TRACE 0x02
#endif //#ifndef _AMD_SB_CIMx_PLATFORM_H_
-
diff --git a/src/southbridge/amd/cimx/sb700/early.c b/src/southbridge/amd/cimx/sb700/early.c
index 82c395b288..6dc4ff4175 100644
--- a/src/southbridge/amd/cimx/sb700/early.c
+++ b/src/southbridge/amd/cimx/sb700/early.c
@@ -93,4 +93,3 @@ void sb7xx_51xx_disable_wideio(u8 wio_index)
reg8 &= ~(1 << 2);
pci_write_config8(dev, 0x48, reg8);
}
-
diff --git a/src/southbridge/amd/cimx/sb700/reset.c b/src/southbridge/amd/cimx/sb700/reset.c
index a00fc15653..7b7e662979 100644
--- a/src/southbridge/amd/cimx/sb700/reset.c
+++ b/src/southbridge/amd/cimx/sb700/reset.c
@@ -60,4 +60,3 @@ void soft_reset(void)
/* link reset */
outb(0x06, 0x0cf9);
}
-
diff --git a/src/southbridge/amd/cimx/sb700/smbus.c b/src/southbridge/amd/cimx/sb700/smbus.c
index 418adf5c8c..a79695b9f5 100644
--- a/src/southbridge/amd/cimx/sb700/smbus.c
+++ b/src/southbridge/amd/cimx/sb700/smbus.c
@@ -258,4 +258,3 @@ void alink_ax_indx(u32 space /*c or p? */ , u32 axindc, u32 mask, u32 val)
outl(0, AB_INDX);
printk(BIOS_SPEW, "SB700 - Smbus.c - alink_ax_indx - End.\n");
}
-
diff --git a/src/southbridge/amd/cimx/sb800/fan.c b/src/southbridge/amd/cimx/sb800/fan.c
index c091a6a988..4f4ce150d6 100644
--- a/src/southbridge/amd/cimx/sb800/fan.c
+++ b/src/southbridge/amd/cimx/sb800/fan.c
@@ -307,5 +307,3 @@ if (sb_chip->imc_tempin3_enabled) {
return;
}
-
-
diff --git a/src/southbridge/amd/cimx/sb800/reset.c b/src/southbridge/amd/cimx/sb800/reset.c
index a00fc15653..7b7e662979 100644
--- a/src/southbridge/amd/cimx/sb800/reset.c
+++ b/src/southbridge/amd/cimx/sb800/reset.c
@@ -60,4 +60,3 @@ void soft_reset(void)
/* link reset */
outb(0x06, 0x0cf9);
}
-
diff --git a/src/southbridge/amd/cimx/sb800/smbus.c b/src/southbridge/amd/cimx/sb800/smbus.c
index 6ab85bd625..277ff21cb1 100644
--- a/src/southbridge/amd/cimx/sb800/smbus.c
+++ b/src/southbridge/amd/cimx/sb800/smbus.c
@@ -262,4 +262,3 @@ void alink_ax_indx(u32 space /*c or p? */ , u32 axindc, u32 mask, u32 val)
outl(0, AB_INDX);
printk(BIOS_DEBUG, "SB800 - Smbus.c - alink_ax_indx - End.\n");
}
-
diff --git a/src/southbridge/amd/cimx/sb900/cfg.c b/src/southbridge/amd/cimx/sb900/cfg.c
index ac7e5512d9..c596a372e3 100644
--- a/src/southbridge/amd/cimx/sb900/cfg.c
+++ b/src/southbridge/amd/cimx/sb900/cfg.c
@@ -302,5 +302,3 @@ void SbPowerOnInit_Config(AMDSBCFG *sb_config)
printk(BIOS_INFO, "SB900 - Cfg.c - SbPowerOnInit_Config - End.\n");
}
-
-
diff --git a/src/southbridge/amd/cimx/sb900/reset.c b/src/southbridge/amd/cimx/sb900/reset.c
index a00fc15653..7b7e662979 100644
--- a/src/southbridge/amd/cimx/sb900/reset.c
+++ b/src/southbridge/amd/cimx/sb900/reset.c
@@ -60,4 +60,3 @@ void soft_reset(void)
/* link reset */
outb(0x06, 0x0cf9);
}
-
diff --git a/src/southbridge/amd/cimx/sb900/smbus.c b/src/southbridge/amd/cimx/sb900/smbus.c
index fb8e3fb27c..1dbbe5abb1 100644
--- a/src/southbridge/amd/cimx/sb900/smbus.c
+++ b/src/southbridge/amd/cimx/sb900/smbus.c
@@ -262,4 +262,3 @@ void alink_ax_indx(u32 space /*c or p? */ , u32 axindc, u32 mask, u32 val)
outl(0, AB_INDX);
printk(BIOS_INFO, "SB900 - Smbus.c - alink_ax_indx - End.\n");
}
-
diff --git a/src/southbridge/amd/cs5535/chipsetinit.c b/src/southbridge/amd/cs5535/chipsetinit.c
index 7e6ff3abb7..fd4c4ba440 100644
--- a/src/southbridge/amd/cs5535/chipsetinit.c
+++ b/src/southbridge/amd/cs5535/chipsetinit.c
@@ -354,4 +354,3 @@ chipsetinit(void)
}
}
}
-
diff --git a/src/southbridge/amd/cs5536/smbus.c b/src/southbridge/amd/cs5536/smbus.c
index bdc089d8e4..31c5bf124b 100644
--- a/src/southbridge/amd/cs5536/smbus.c
+++ b/src/southbridge/amd/cs5536/smbus.c
@@ -193,4 +193,3 @@ err:
outb(0x0, smbus_io_base + SMB_STS);
return 0xFF;
}
-
diff --git a/src/southbridge/amd/rs690/cmn.c b/src/southbridge/amd/rs690/cmn.c
index 36870b3940..c5523a12f5 100644
--- a/src/southbridge/amd/rs690/cmn.c
+++ b/src/southbridge/amd/rs690/cmn.c
@@ -324,4 +324,3 @@ void rs690_set_tom(device_t nb_dev)
nbmc_write_index(nb_dev, 0x1e, 0x38000000);
#endif
}
-
diff --git a/src/southbridge/amd/sb600/early_setup.c b/src/southbridge/amd/sb600/early_setup.c
index c01a05102a..b6611f6d65 100644
--- a/src/southbridge/amd/sb600/early_setup.c
+++ b/src/southbridge/amd/sb600/early_setup.c
@@ -638,4 +638,3 @@ static int smbus_read_byte(u32 device, u32 address)
{
return do_smbus_read_byte(SMBUS_IO_BASE, device, address);
}
-
diff --git a/src/southbridge/amd/sb600/usb.c b/src/southbridge/amd/sb600/usb.c
index d55f561e0d..137a8dac61 100644
--- a/src/southbridge/amd/sb600/usb.c
+++ b/src/southbridge/amd/sb600/usb.c
@@ -170,4 +170,3 @@ static const struct pci_driver usb_5_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_ATI,
.device = PCI_DEVICE_ID_ATI_SB600_USB2,
};
-
diff --git a/src/southbridge/amd/sb700/pmio.c b/src/southbridge/amd/sb700/pmio.c
index 842b4e4c1b..3671c14ad1 100644
--- a/src/southbridge/amd/sb700/pmio.c
+++ b/src/southbridge/amd/sb700/pmio.c
@@ -52,4 +52,3 @@ u8 pm2_ioread(u8 reg)
{
return pmio_read_index(PM2_INDEX, reg);
}
-
diff --git a/src/southbridge/amd/sb700/reset.c b/src/southbridge/amd/sb700/reset.c
index ae79c4a440..634e8e79e6 100644
--- a/src/southbridge/amd/sb700/reset.c
+++ b/src/southbridge/amd/sb700/reset.c
@@ -66,4 +66,3 @@ void soft_reset(void)
/* link reset */
outb(0x06, 0x0cf9);
}
-
diff --git a/src/southbridge/intel/bd82x6x/azalia.c b/src/southbridge/intel/bd82x6x/azalia.c
index 3b752a4526..fed6f745be 100644
--- a/src/southbridge/intel/bd82x6x/azalia.c
+++ b/src/southbridge/intel/bd82x6x/azalia.c
@@ -369,4 +369,3 @@ static const struct pci_driver pch_azalia __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
diff --git a/src/southbridge/intel/bd82x6x/early_smbus.c b/src/southbridge/intel/bd82x6x/early_smbus.c
index 9de97e7fe2..616e7c3ba4 100644
--- a/src/southbridge/intel/bd82x6x/early_smbus.c
+++ b/src/southbridge/intel/bd82x6x/early_smbus.c
@@ -59,4 +59,3 @@ int smbus_read_byte(unsigned device, unsigned address)
{
return do_smbus_read_byte(SMBUS_IO_BASE, device, address);
}
-
diff --git a/src/southbridge/intel/bd82x6x/lpc.c b/src/southbridge/intel/bd82x6x/lpc.c
index 762989559d..fdfdffa26c 100644
--- a/src/southbridge/intel/bd82x6x/lpc.c
+++ b/src/southbridge/intel/bd82x6x/lpc.c
@@ -694,5 +694,3 @@ static const struct pci_driver pch_lpc __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
-
diff --git a/src/southbridge/intel/bd82x6x/sata.c b/src/southbridge/intel/bd82x6x/sata.c
index 133ebee3d1..cb5699e713 100644
--- a/src/southbridge/intel/bd82x6x/sata.c
+++ b/src/southbridge/intel/bd82x6x/sata.c
@@ -270,4 +270,3 @@ static const struct pci_driver pch_sata __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
diff --git a/src/southbridge/intel/bd82x6x/smbus.h b/src/southbridge/intel/bd82x6x/smbus.h
index 81e594942d..c4b05f6ccf 100644
--- a/src/southbridge/intel/bd82x6x/smbus.h
+++ b/src/southbridge/intel/bd82x6x/smbus.h
@@ -97,4 +97,3 @@ static int do_smbus_read_byte(unsigned smbus_base, unsigned device, unsigned add
}
return byte;
}
-
diff --git a/src/southbridge/intel/esb6300/bridge1c.c b/src/southbridge/intel/esb6300/bridge1c.c
index 54c2717d89..8af1fd69d0 100644
--- a/src/southbridge/intel/esb6300/bridge1c.c
+++ b/src/southbridge/intel/esb6300/bridge1c.c
@@ -44,4 +44,3 @@ static const struct pci_driver pci_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_6300ESB_PCI_X,
};
-
diff --git a/src/southbridge/intel/esb6300/chip.h b/src/southbridge/intel/esb6300/chip.h
index c6dc3a36ee..384a991cec 100644
--- a/src/southbridge/intel/esb6300/chip.h
+++ b/src/southbridge/intel/esb6300/chip.h
@@ -26,4 +26,3 @@ struct southbridge_intel_esb6300_config
unsigned int pirq_a_d;
unsigned int pirq_e_h;
};
-
diff --git a/src/southbridge/intel/esb6300/early_smbus.c b/src/southbridge/intel/esb6300/early_smbus.c
index d0b9632f83..fbbf4d9479 100644
--- a/src/southbridge/intel/esb6300/early_smbus.c
+++ b/src/southbridge/intel/esb6300/early_smbus.c
@@ -95,4 +95,3 @@ static int smbus_write_block(unsigned device, unsigned length, unsigned cmd,
return 0;
}
#endif
-
diff --git a/src/southbridge/intel/esb6300/ide.c b/src/southbridge/intel/esb6300/ide.c
index abe86a811d..39e58dab32 100644
--- a/src/southbridge/intel/esb6300/ide.c
+++ b/src/southbridge/intel/esb6300/ide.c
@@ -53,4 +53,3 @@ static const struct pci_driver ide_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_6300ESB_IDE,
};
-
diff --git a/src/southbridge/intel/esb6300/pci.c b/src/southbridge/intel/esb6300/pci.c
index 64aeb0db46..991cd7b483 100644
--- a/src/southbridge/intel/esb6300/pci.c
+++ b/src/southbridge/intel/esb6300/pci.c
@@ -34,4 +34,3 @@ static const struct pci_driver pci_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_6300ESB_PCI,
};
-
diff --git a/src/southbridge/intel/esb6300/pic.c b/src/southbridge/intel/esb6300/pic.c
index b9bfdf1fe3..e3fc2b2048 100644
--- a/src/southbridge/intel/esb6300/pic.c
+++ b/src/southbridge/intel/esb6300/pic.c
@@ -65,4 +65,3 @@ static const struct pci_driver pci_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_6300ESB_APIC1,
};
-
diff --git a/src/southbridge/intel/esb6300/sata.c b/src/southbridge/intel/esb6300/sata.c
index 6dce2d2f3a..b08f0ed929 100644
--- a/src/southbridge/intel/esb6300/sata.c
+++ b/src/southbridge/intel/esb6300/sata.c
@@ -72,4 +72,3 @@ static const struct pci_driver sata_driver_nr __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_6300ESB_SATA_RAID,
};
-
diff --git a/src/southbridge/intel/esb6300/smbus.c b/src/southbridge/intel/esb6300/smbus.c
index c7ed04f136..92cb288854 100644
--- a/src/southbridge/intel/esb6300/smbus.c
+++ b/src/southbridge/intel/esb6300/smbus.c
@@ -46,4 +46,3 @@ static const struct pci_driver smbus_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_6300ESB_SMB,
};
-
diff --git a/src/southbridge/intel/esb6300/smbus.h b/src/southbridge/intel/esb6300/smbus.h
index 4f4ec5c999..0a944d343c 100644
--- a/src/southbridge/intel/esb6300/smbus.h
+++ b/src/southbridge/intel/esb6300/smbus.h
@@ -98,4 +98,3 @@ static int do_smbus_read_byte(unsigned smbus_io_base, unsigned device, unsigned
}
return byte;
}
-
diff --git a/src/southbridge/intel/esb6300/uhci.c b/src/southbridge/intel/esb6300/uhci.c
index a8bcd888f1..0b65b0107b 100644
--- a/src/southbridge/intel/esb6300/uhci.c
+++ b/src/southbridge/intel/esb6300/uhci.c
@@ -54,4 +54,3 @@ static const struct pci_driver ehci_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_6300ESB_EHCI,
};
-
diff --git a/src/southbridge/intel/fsp_bd82x6x/azalia.c b/src/southbridge/intel/fsp_bd82x6x/azalia.c
index a257daf436..7a280c56e2 100644
--- a/src/southbridge/intel/fsp_bd82x6x/azalia.c
+++ b/src/southbridge/intel/fsp_bd82x6x/azalia.c
@@ -372,4 +372,3 @@ static const struct pci_driver pch_azalia __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
diff --git a/src/southbridge/intel/fsp_bd82x6x/early_smbus.c b/src/southbridge/intel/fsp_bd82x6x/early_smbus.c
index de189a1c68..3d347b4278 100644
--- a/src/southbridge/intel/fsp_bd82x6x/early_smbus.c
+++ b/src/southbridge/intel/fsp_bd82x6x/early_smbus.c
@@ -213,4 +213,3 @@ s16 smbus_quick_read(u8 device)
{
return (smbus_rw_byte((device << 1) | 1, 0, 0, QUICK_RW));
}
-
diff --git a/src/southbridge/intel/fsp_bd82x6x/lpc.c b/src/southbridge/intel/fsp_bd82x6x/lpc.c
index 4351e001a3..8c1596725d 100644
--- a/src/southbridge/intel/fsp_bd82x6x/lpc.c
+++ b/src/southbridge/intel/fsp_bd82x6x/lpc.c
@@ -656,5 +656,3 @@ static const struct pci_driver pch_lpc __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
-
diff --git a/src/southbridge/intel/fsp_bd82x6x/sata.c b/src/southbridge/intel/fsp_bd82x6x/sata.c
index 60790d4403..591bdbc3d0 100644
--- a/src/southbridge/intel/fsp_bd82x6x/sata.c
+++ b/src/southbridge/intel/fsp_bd82x6x/sata.c
@@ -120,4 +120,3 @@ static const struct pci_driver pch_sata __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
diff --git a/src/southbridge/intel/i3100/ioapic.c b/src/southbridge/intel/i3100/ioapic.c
index ac8e581293..4323bd90bd 100644
--- a/src/southbridge/intel/i3100/ioapic.c
+++ b/src/southbridge/intel/i3100/ioapic.c
@@ -58,4 +58,3 @@ static const struct pci_driver pci_driver0 __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = 0x3500,
};
-
diff --git a/src/southbridge/intel/i3100/pci.c b/src/southbridge/intel/i3100/pci.c
index fa0b5bdccd..3ab053d420 100644
--- a/src/southbridge/intel/i3100/pci.c
+++ b/src/southbridge/intel/i3100/pci.c
@@ -43,4 +43,3 @@ static const struct pci_driver pci_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_3100_PCI,
};
-
diff --git a/src/southbridge/intel/i82371eb/smbus.h b/src/southbridge/intel/i82371eb/smbus.h
index f82f2edc73..6c7c6f4911 100644
--- a/src/southbridge/intel/i82371eb/smbus.h
+++ b/src/southbridge/intel/i82371eb/smbus.h
@@ -112,4 +112,3 @@ int do_smbus_read_byte(unsigned smbus_io_base, unsigned device, unsigned address
}
return byte;
}
-
diff --git a/src/southbridge/intel/i82801ax/early_smbus.c b/src/southbridge/intel/i82801ax/early_smbus.c
index 716652a0f4..878d5f6e7e 100644
--- a/src/southbridge/intel/i82801ax/early_smbus.c
+++ b/src/southbridge/intel/i82801ax/early_smbus.c
@@ -57,4 +57,3 @@ int smbus_read_byte(u8 device, u8 address)
{
return do_smbus_read_byte(SMBUS_IO_BASE, device, address);
}
-
diff --git a/src/southbridge/intel/i82801bx/i82801bx.h b/src/southbridge/intel/i82801bx/i82801bx.h
index b2ee79c745..5f75a85c3b 100644
--- a/src/southbridge/intel/i82801bx/i82801bx.h
+++ b/src/southbridge/intel/i82801bx/i82801bx.h
@@ -119,4 +119,3 @@ int smbus_read_byte(u8 device, u8 address);
#define SMBUS_TIMEOUT (10 * 1000 * 100)
#endif /* SOUTHBRIDGE_INTEL_I82801BX_I82801BX_H */
-
diff --git a/src/southbridge/intel/i82801cx/pci.c b/src/southbridge/intel/i82801cx/pci.c
index 842b214fc8..1ebe8c7af9 100644
--- a/src/southbridge/intel/i82801cx/pci.c
+++ b/src/southbridge/intel/i82801cx/pci.c
@@ -27,4 +27,3 @@ static const struct pci_driver pci_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_82801CA_PCI,
};
-
diff --git a/src/southbridge/intel/i82801cx/usb.c b/src/southbridge/intel/i82801cx/usb.c
index 28cb3572e5..5a8e86fa13 100644
--- a/src/southbridge/intel/i82801cx/usb.c
+++ b/src/southbridge/intel/i82801cx/usb.c
@@ -46,4 +46,3 @@ static const struct pci_driver usb_driver_3 __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_82801CA_USB3,
};
-
diff --git a/src/southbridge/intel/i82801dx/ac97.c b/src/southbridge/intel/i82801dx/ac97.c
index 004ab976f5..a9ec266bfe 100644
--- a/src/southbridge/intel/i82801dx/ac97.c
+++ b/src/southbridge/intel/i82801dx/ac97.c
@@ -281,5 +281,3 @@ static const struct pci_driver i82801db_ac97_modem __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_82801DB_AC97_MODEM,
};
-
-
diff --git a/src/southbridge/intel/i82801dx/nvs.h b/src/southbridge/intel/i82801dx/nvs.h
index 03f8de74ea..14f0ad36c1 100644
--- a/src/southbridge/intel/i82801dx/nvs.h
+++ b/src/southbridge/intel/i82801dx/nvs.h
@@ -135,4 +135,3 @@ typedef struct {
u8 bten;
u8 rsvd13[14];
} __attribute__((packed)) global_nvs_t;
-
diff --git a/src/southbridge/intel/i82801ex/chip.h b/src/southbridge/intel/i82801ex/chip.h
index 891fa16d15..291fcd7d41 100644
--- a/src/southbridge/intel/i82801ex/chip.h
+++ b/src/southbridge/intel/i82801ex/chip.h
@@ -32,4 +32,3 @@ struct southbridge_intel_i82801ex_config
};
#endif /* I82801EX_CHIP_H */
-
diff --git a/src/southbridge/intel/i82801ex/ide.c b/src/southbridge/intel/i82801ex/ide.c
index bbab6f1cc0..542c5944e1 100644
--- a/src/southbridge/intel/i82801ex/ide.c
+++ b/src/southbridge/intel/i82801ex/ide.c
@@ -40,4 +40,3 @@ static const struct pci_driver ide_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_82801ER_IDE,
};
-
diff --git a/src/southbridge/intel/i82801ex/pci.c b/src/southbridge/intel/i82801ex/pci.c
index 80c6e49bc0..f1c8183351 100644
--- a/src/southbridge/intel/i82801ex/pci.c
+++ b/src/southbridge/intel/i82801ex/pci.c
@@ -42,4 +42,3 @@ static const struct pci_driver pci_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_82801ER_PCI,
};
-
diff --git a/src/southbridge/intel/i82801ex/sata.c b/src/southbridge/intel/i82801ex/sata.c
index 9b340e9afd..b3d8f37a94 100644
--- a/src/southbridge/intel/i82801ex/sata.c
+++ b/src/southbridge/intel/i82801ex/sata.c
@@ -57,4 +57,3 @@ static const struct pci_driver sata_driver_nr __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_82801EB_SATA,
};
-
diff --git a/src/southbridge/intel/i82801ex/smbus.c b/src/southbridge/intel/i82801ex/smbus.c
index 6bb48993b6..fe49e11190 100644
--- a/src/southbridge/intel/i82801ex/smbus.c
+++ b/src/southbridge/intel/i82801ex/smbus.c
@@ -46,4 +46,3 @@ static const struct pci_driver smbus_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_82801ER_SMB,
};
-
diff --git a/src/southbridge/intel/i82801ex/smbus.h b/src/southbridge/intel/i82801ex/smbus.h
index f330c0a5de..b166797600 100644
--- a/src/southbridge/intel/i82801ex/smbus.h
+++ b/src/southbridge/intel/i82801ex/smbus.h
@@ -102,4 +102,3 @@ static int do_smbus_read_byte(unsigned smbus_io_base, unsigned device, unsigned
}
return byte;
}
-
diff --git a/src/southbridge/intel/i82801ex/uhci.c b/src/southbridge/intel/i82801ex/uhci.c
index 56536b7273..c9cf82e686 100644
--- a/src/southbridge/intel/i82801ex/uhci.c
+++ b/src/southbridge/intel/i82801ex/uhci.c
@@ -53,4 +53,3 @@ static const struct pci_driver usb3_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_82801ER_USB3,
};
-
diff --git a/src/southbridge/intel/i82801ex/watchdog.c b/src/southbridge/intel/i82801ex/watchdog.c
index 26f6644763..28e1f5e2f2 100644
--- a/src/southbridge/intel/i82801ex/watchdog.c
+++ b/src/southbridge/intel/i82801ex/watchdog.c
@@ -26,4 +26,3 @@ void watchdog_off(void)
outw(0x0002, base + 0x06);
printk(BIOS_DEBUG, "Watchdog ICH5 disabled\n");
}
-
diff --git a/src/southbridge/intel/i82801gx/azalia.c b/src/southbridge/intel/i82801gx/azalia.c
index 96e662c638..59d812327c 100644
--- a/src/southbridge/intel/i82801gx/azalia.c
+++ b/src/southbridge/intel/i82801gx/azalia.c
@@ -340,4 +340,3 @@ static const struct pci_driver i82801gx_azalia __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = 0x27d8,
};
-
diff --git a/src/southbridge/intel/i82801gx/bootblock.c b/src/southbridge/intel/i82801gx/bootblock.c
index 153a456cb6..ca0aa92391 100644
--- a/src/southbridge/intel/i82801gx/bootblock.c
+++ b/src/southbridge/intel/i82801gx/bootblock.c
@@ -51,4 +51,3 @@ static void bootblock_southbridge_init(void)
#endif
enable_spi_prefetch();
}
-
diff --git a/src/southbridge/intel/i82801gx/early_smbus.c b/src/southbridge/intel/i82801gx/early_smbus.c
index b11e4fa16a..8adc04df47 100644
--- a/src/southbridge/intel/i82801gx/early_smbus.c
+++ b/src/southbridge/intel/i82801gx/early_smbus.c
@@ -59,4 +59,3 @@ int smbus_read_byte(unsigned device, unsigned address)
{
return do_smbus_read_byte(SMBUS_IO_BASE, device, address);
}
-
diff --git a/src/southbridge/intel/i82801gx/nvs.h b/src/southbridge/intel/i82801gx/nvs.h
index 03f8de74ea..14f0ad36c1 100644
--- a/src/southbridge/intel/i82801gx/nvs.h
+++ b/src/southbridge/intel/i82801gx/nvs.h
@@ -135,4 +135,3 @@ typedef struct {
u8 bten;
u8 rsvd13[14];
} __attribute__((packed)) global_nvs_t;
-
diff --git a/src/southbridge/intel/i82801gx/smbus.h b/src/southbridge/intel/i82801gx/smbus.h
index 23b46ce852..17804d6f21 100644
--- a/src/southbridge/intel/i82801gx/smbus.h
+++ b/src/southbridge/intel/i82801gx/smbus.h
@@ -97,4 +97,3 @@ static int do_smbus_read_byte(unsigned smbus_base, unsigned device, unsigned add
}
return byte;
}
-
diff --git a/src/southbridge/intel/i82801ix/bootblock.c b/src/southbridge/intel/i82801ix/bootblock.c
index b352fcad03..80b200ea48 100644
--- a/src/southbridge/intel/i82801ix/bootblock.c
+++ b/src/southbridge/intel/i82801ix/bootblock.c
@@ -36,4 +36,3 @@ static void bootblock_southbridge_init(void)
{
enable_spi_prefetch();
}
-
diff --git a/src/southbridge/intel/i82801ix/dmi_setup.c b/src/southbridge/intel/i82801ix/dmi_setup.c
index 0514719344..3d9df6dd16 100644
--- a/src/southbridge/intel/i82801ix/dmi_setup.c
+++ b/src/southbridge/intel/i82801ix/dmi_setup.c
@@ -143,4 +143,3 @@ void i82801ix_dmi_poll_vc1(void)
else
printk(BIOS_DEBUG, "done.\n");
}
-
diff --git a/src/southbridge/intel/i82801ix/early_init.c b/src/southbridge/intel/i82801ix/early_init.c
index 8849cfa2c8..bd6548c6ba 100644
--- a/src/southbridge/intel/i82801ix/early_init.c
+++ b/src/southbridge/intel/i82801ix/early_init.c
@@ -61,4 +61,3 @@ void i82801ix_early_init(void)
/* TODO: Check power state bits in GEN_PMCON_2 (D31F0 0xa2)
before they get cleared. */
}
-
diff --git a/src/southbridge/intel/i82801ix/early_smbus.c b/src/southbridge/intel/i82801ix/early_smbus.c
index 226afac2d7..981ae5e224 100644
--- a/src/southbridge/intel/i82801ix/early_smbus.c
+++ b/src/southbridge/intel/i82801ix/early_smbus.c
@@ -60,4 +60,3 @@ int smbus_read_byte(unsigned device, unsigned address)
{
return do_smbus_read_byte(SMBUS_IO_BASE, device, address);
}
-
diff --git a/src/southbridge/intel/i82801ix/hdaudio.c b/src/southbridge/intel/i82801ix/hdaudio.c
index 49a0d958e1..407c0a795f 100644
--- a/src/southbridge/intel/i82801ix/hdaudio.c
+++ b/src/southbridge/intel/i82801ix/hdaudio.c
@@ -325,4 +325,3 @@ static const struct pci_driver i82801ix_azalia __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = 0x293e,
};
-
diff --git a/src/southbridge/intel/i82801ix/lpc.c b/src/southbridge/intel/i82801ix/lpc.c
index e8b9f653fa..ea881119ff 100644
--- a/src/southbridge/intel/i82801ix/lpc.c
+++ b/src/southbridge/intel/i82801ix/lpc.c
@@ -562,4 +562,3 @@ static const struct pci_driver ich9_lpc __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
diff --git a/src/southbridge/intel/i82801ix/nvs.h b/src/southbridge/intel/i82801ix/nvs.h
index 03f8de74ea..14f0ad36c1 100644
--- a/src/southbridge/intel/i82801ix/nvs.h
+++ b/src/southbridge/intel/i82801ix/nvs.h
@@ -135,4 +135,3 @@ typedef struct {
u8 bten;
u8 rsvd13[14];
} __attribute__((packed)) global_nvs_t;
-
diff --git a/src/southbridge/intel/i82801ix/pcie.c b/src/southbridge/intel/i82801ix/pcie.c
index f53519d5fc..2022dac3e7 100644
--- a/src/southbridge/intel/i82801ix/pcie.c
+++ b/src/southbridge/intel/i82801ix/pcie.c
@@ -127,4 +127,3 @@ static const struct pci_driver ich9_pcie __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
diff --git a/src/southbridge/intel/i82801ix/sata.c b/src/southbridge/intel/i82801ix/sata.c
index cd555c9590..02f6d5348b 100644
--- a/src/southbridge/intel/i82801ix/sata.c
+++ b/src/southbridge/intel/i82801ix/sata.c
@@ -282,4 +282,3 @@ static const struct pci_driver pch_sata __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
diff --git a/src/southbridge/intel/i82801ix/smbus.h b/src/southbridge/intel/i82801ix/smbus.h
index 913f077a9d..f215fb4f4d 100644
--- a/src/southbridge/intel/i82801ix/smbus.h
+++ b/src/southbridge/intel/i82801ix/smbus.h
@@ -97,4 +97,3 @@ static int do_smbus_read_byte(unsigned smbus_base, unsigned device, unsigned add
}
return byte;
}
-
diff --git a/src/southbridge/intel/i82870/82870.h b/src/southbridge/intel/i82870/82870.h
index b869de67b0..b576cc1beb 100644
--- a/src/southbridge/intel/i82870/82870.h
+++ b/src/southbridge/intel/i82870/82870.h
@@ -12,4 +12,3 @@
#define STRP_HPCAP 0x0002 // Hot-plug capable (Hx_SLOT zero/nonzero)
#define ACNF_SYNCPH 0x0010 // PCI(-X) input clock is synchronous to hub input clock
-
diff --git a/src/southbridge/intel/i82870/pci_parity.c b/src/southbridge/intel/i82870/pci_parity.c
index fe27abf280..71d2c538e9 100644
--- a/src/southbridge/intel/i82870/pci_parity.c
+++ b/src/southbridge/intel/i82870/pci_parity.c
@@ -21,5 +21,3 @@ void p64h2_pci_parity_enable(void)
return;
}
-
-
diff --git a/src/southbridge/intel/i82870/pcibridge.c b/src/southbridge/intel/i82870/pcibridge.c
index 89b86f5966..b46b3381ab 100644
--- a/src/southbridge/intel/i82870/pcibridge.c
+++ b/src/southbridge/intel/i82870/pcibridge.c
@@ -36,4 +36,3 @@ static const struct pci_driver pcix_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.device = PCI_DEVICE_ID_INTEL_82870_1F0,
};
-
diff --git a/src/southbridge/intel/ibexpeak/azalia.c b/src/southbridge/intel/ibexpeak/azalia.c
index 395832ba00..046d2b68e8 100644
--- a/src/southbridge/intel/ibexpeak/azalia.c
+++ b/src/southbridge/intel/ibexpeak/azalia.c
@@ -351,4 +351,3 @@ static const struct pci_driver pch_azalia __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
diff --git a/src/southbridge/intel/ibexpeak/early_smbus.c b/src/southbridge/intel/ibexpeak/early_smbus.c
index d8aff926cc..f518f28ac2 100644
--- a/src/southbridge/intel/ibexpeak/early_smbus.c
+++ b/src/southbridge/intel/ibexpeak/early_smbus.c
@@ -74,4 +74,3 @@ int smbus_block_write(unsigned device, unsigned cmd, u8 bytes, const u8 *buf)
{
return do_smbus_block_write(SMBUS_IO_BASE, device, cmd, bytes, buf);
}
-
diff --git a/src/southbridge/intel/ibexpeak/lpc.c b/src/southbridge/intel/ibexpeak/lpc.c
index ef9a632dde..a21176fcda 100644
--- a/src/southbridge/intel/ibexpeak/lpc.c
+++ b/src/southbridge/intel/ibexpeak/lpc.c
@@ -682,5 +682,3 @@ static const struct pci_driver pch_lpc __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
-
diff --git a/src/southbridge/intel/lynxpoint/azalia.c b/src/southbridge/intel/lynxpoint/azalia.c
index b63672ded3..24c6702c3b 100644
--- a/src/southbridge/intel/lynxpoint/azalia.c
+++ b/src/southbridge/intel/lynxpoint/azalia.c
@@ -193,4 +193,3 @@ static const struct pci_driver pch_azalia __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
diff --git a/src/southbridge/intel/lynxpoint/early_smbus.c b/src/southbridge/intel/lynxpoint/early_smbus.c
index 9de97e7fe2..616e7c3ba4 100644
--- a/src/southbridge/intel/lynxpoint/early_smbus.c
+++ b/src/southbridge/intel/lynxpoint/early_smbus.c
@@ -59,4 +59,3 @@ int smbus_read_byte(unsigned device, unsigned address)
{
return do_smbus_read_byte(SMBUS_IO_BASE, device, address);
}
-
diff --git a/src/southbridge/intel/lynxpoint/lpc.c b/src/southbridge/intel/lynxpoint/lpc.c
index 6f4063752b..ecb8af92be 100644
--- a/src/southbridge/intel/lynxpoint/lpc.c
+++ b/src/southbridge/intel/lynxpoint/lpc.c
@@ -778,5 +778,3 @@ static const struct pci_driver pch_lpc __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
-
diff --git a/src/southbridge/intel/lynxpoint/rcba.c b/src/southbridge/intel/lynxpoint/rcba.c
index 8cc97a9aea..b6413d37d4 100644
--- a/src/southbridge/intel/lynxpoint/rcba.c
+++ b/src/southbridge/intel/lynxpoint/rcba.c
@@ -67,4 +67,3 @@ void pch_config_rcba(const struct rcba_config_instruction *rcba_config)
rc++;
}
}
-
diff --git a/src/southbridge/intel/lynxpoint/sata.c b/src/southbridge/intel/lynxpoint/sata.c
index c2005402b1..43a99c8b66 100644
--- a/src/southbridge/intel/lynxpoint/sata.c
+++ b/src/southbridge/intel/lynxpoint/sata.c
@@ -363,4 +363,3 @@ static const struct pci_driver pch_sata __pci_driver = {
.vendor = PCI_VENDOR_ID_INTEL,
.devices = pci_device_ids,
};
-
diff --git a/src/southbridge/intel/lynxpoint/smbus.h b/src/southbridge/intel/lynxpoint/smbus.h
index 81e594942d..c4b05f6ccf 100644
--- a/src/southbridge/intel/lynxpoint/smbus.h
+++ b/src/southbridge/intel/lynxpoint/smbus.h
@@ -97,4 +97,3 @@ static int do_smbus_read_byte(unsigned smbus_base, unsigned device, unsigned add
}
return byte;
}
-
diff --git a/src/southbridge/nvidia/mcp55/pci.c b/src/southbridge/nvidia/mcp55/pci.c
index c0e5be69b1..4c55ac3e11 100644
--- a/src/southbridge/nvidia/mcp55/pci.c
+++ b/src/southbridge/nvidia/mcp55/pci.c
@@ -99,4 +99,3 @@ static const struct pci_driver pci_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_NVIDIA,
.device = PCI_DEVICE_ID_NVIDIA_MCP55_PCI,
};
-
diff --git a/src/southbridge/nvidia/mcp55/reset.c b/src/southbridge/nvidia/mcp55/reset.c
index 4f8f1b122f..0ec926f0b7 100644
--- a/src/southbridge/nvidia/mcp55/reset.c
+++ b/src/southbridge/nvidia/mcp55/reset.c
@@ -57,4 +57,3 @@ void hard_reset(void)
outb((0 <<3)|(0<<2)|(1<<1), 0xcf9);
outb((0 <<3)|(1<<2)|(1<<1), 0xcf9);
}
-
diff --git a/src/southbridge/nvidia/mcp55/smbus.c b/src/southbridge/nvidia/mcp55/smbus.c
index 3b3f86a97f..cd01d0e8d1 100644
--- a/src/southbridge/nvidia/mcp55/smbus.c
+++ b/src/southbridge/nvidia/mcp55/smbus.c
@@ -137,4 +137,3 @@ static const struct pci_driver smbus_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_NVIDIA,
.device = PCI_DEVICE_ID_NVIDIA_MCP55_SM2,
};
-
diff --git a/src/southbridge/nvidia/mcp55/smbus.h b/src/southbridge/nvidia/mcp55/smbus.h
index 188821d34f..13d7580b31 100644
--- a/src/southbridge/nvidia/mcp55/smbus.h
+++ b/src/southbridge/nvidia/mcp55/smbus.h
@@ -174,4 +174,3 @@ static int do_smbus_write_byte(unsigned smbus_io_base, unsigned device, unsigned
}
return 0;
}
-
diff --git a/src/southbridge/nvidia/mcp55/usb.c b/src/southbridge/nvidia/mcp55/usb.c
index 67b89b984d..a02fdd9c7b 100644
--- a/src/southbridge/nvidia/mcp55/usb.c
+++ b/src/southbridge/nvidia/mcp55/usb.c
@@ -43,4 +43,3 @@ static const struct pci_driver usb_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_NVIDIA,
.device = PCI_DEVICE_ID_NVIDIA_MCP55_USB,
};
-
diff --git a/src/southbridge/rdc/r8610/r8610.c b/src/southbridge/rdc/r8610/r8610.c
index eb2c21ed02..c87d5fe4cc 100644
--- a/src/southbridge/rdc/r8610/r8610.c
+++ b/src/southbridge/rdc/r8610/r8610.c
@@ -115,4 +115,3 @@ static const struct pci_driver lpc_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_RDC,
.device = PCI_DEVICE_ID_RDC_R8610_SB,
};
-
diff --git a/src/southbridge/ricoh/rl5c476/rl5c476.h b/src/southbridge/ricoh/rl5c476/rl5c476.h
index 576578bb65..71966a1f85 100644
--- a/src/southbridge/ricoh/rl5c476/rl5c476.h
+++ b/src/southbridge/ricoh/rl5c476/rl5c476.h
@@ -92,6 +92,3 @@ typedef struct pc16reg {
u8 resv9;
u8 smpga0;
} __attribute__ ((packed)) pc16reg_t;
-
-
-
diff --git a/src/southbridge/sis/sis966/aza.c b/src/southbridge/sis/sis966/aza.c
index 0fd8eb0519..7e148cf332 100644
--- a/src/southbridge/sis/sis966/aza.c
+++ b/src/southbridge/sis/sis966/aza.c
@@ -327,4 +327,3 @@ static const struct pci_driver azaaudio_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_SIS,
.device = PCI_DEVICE_ID_SIS_SIS966_HD_AUDIO,
};
-
diff --git a/src/southbridge/sis/sis966/early_ctrl.c b/src/southbridge/sis/sis966/early_ctrl.c
index b7baae59c7..36950236a6 100644
--- a/src/southbridge/sis/sis966/early_ctrl.c
+++ b/src/southbridge/sis/sis966/early_ctrl.c
@@ -57,4 +57,3 @@ void soft_reset(void)
outb(0x06, 0x0cf9);
}
-
diff --git a/src/southbridge/sis/sis966/early_setup_car.c b/src/southbridge/sis/sis966/early_setup_car.c
index 6c4eac2f01..cf4315cccb 100644
--- a/src/southbridge/sis/sis966/early_setup_car.c
+++ b/src/southbridge/sis/sis966/early_setup_car.c
@@ -60,4 +60,3 @@ void sis966_early_pcie_setup(unsigned busnx, unsigned devnx, unsigned anactrl_io
// need to wait 100ms
mdelay(100);
}
-
diff --git a/src/southbridge/sis/sis966/early_setup_ss.h b/src/southbridge/sis/sis966/early_setup_ss.h
index a3e0b29ae6..b6aa7d7f76 100644
--- a/src/southbridge/sis/sis966/early_setup_ss.h
+++ b/src/southbridge/sis/sis966/early_setup_ss.h
@@ -218,5 +218,3 @@ static const unsigned int cpu_ss_tbl[] = {
0x0C5039037,
0x0C5039037,
};
-
-
diff --git a/src/southbridge/sis/sis966/early_smbus.c b/src/southbridge/sis/sis966/early_smbus.c
index 4d34923706..06130dbbd1 100644
--- a/src/southbridge/sis/sis966/early_smbus.c
+++ b/src/southbridge/sis/sis966/early_smbus.c
@@ -740,4 +740,3 @@ int smbus_write_byte(unsigned device, unsigned address, unsigned char val)
{
return do_smbus_write_byte(SMBUS0_IO_BASE, device, address, val);
}
-
diff --git a/src/southbridge/sis/sis966/ide.c b/src/southbridge/sis/sis966/ide.c
index 6e8bd545c1..ddfcead17f 100644
--- a/src/southbridge/sis/sis966/ide.c
+++ b/src/southbridge/sis/sis966/ide.c
@@ -191,4 +191,3 @@ static const struct pci_driver ide_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_SIS,
.device = PCI_DEVICE_ID_SIS_SIS966_IDE,
};
-
diff --git a/src/southbridge/sis/sis966/pcie.c b/src/southbridge/sis/sis966/pcie.c
index 7c542ef496..a0b696eb90 100644
--- a/src/southbridge/sis/sis966/pcie.c
+++ b/src/southbridge/sis/sis966/pcie.c
@@ -63,4 +63,3 @@ static const struct pci_driver pciebc_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_SIS,
.device = PCI_DEVICE_ID_SIS_SIS966_PCIE,
};
-
diff --git a/src/southbridge/sis/sis966/reset.c b/src/southbridge/sis/sis966/reset.c
index 4f8f1b122f..0ec926f0b7 100644
--- a/src/southbridge/sis/sis966/reset.c
+++ b/src/southbridge/sis/sis966/reset.c
@@ -57,4 +57,3 @@ void hard_reset(void)
outb((0 <<3)|(0<<2)|(1<<1), 0xcf9);
outb((0 <<3)|(1<<2)|(1<<1), 0xcf9);
}
-
diff --git a/src/southbridge/sis/sis966/smbus.h b/src/southbridge/sis/sis966/smbus.h
index f921fb51b7..68d17e67a1 100644
--- a/src/southbridge/sis/sis966/smbus.h
+++ b/src/southbridge/sis/sis966/smbus.h
@@ -43,4 +43,3 @@ int do_smbus_recv_byte(unsigned smbus_io_base, unsigned device);
int do_smbus_send_byte(unsigned smbus_io_base, unsigned device, unsigned char val);
int smbus_read_byte(unsigned device, unsigned address);
int smbus_write_byte(unsigned device, unsigned address, unsigned char val);
-
diff --git a/src/southbridge/ti/pci7420/pci7420.h b/src/southbridge/ti/pci7420/pci7420.h
index df361b22ac..f71fe17535 100644
--- a/src/southbridge/ti/pci7420/pci7420.h
+++ b/src/southbridge/ti/pci7420/pci7420.h
@@ -47,4 +47,3 @@
#define INTB 1
#define INTC 2
#define INTD 3
-
diff --git a/src/southbridge/via/vt8235/early_smbus.c b/src/southbridge/via/vt8235/early_smbus.c
index d091099fdb..96da2fab59 100644
--- a/src/southbridge/via/vt8235/early_smbus.c
+++ b/src/southbridge/via/vt8235/early_smbus.c
@@ -246,4 +246,3 @@ int smbus_read_byte(unsigned device, unsigned address, unsigned char *result)
#endif
-
diff --git a/src/southbridge/via/vt8235/usb.c b/src/southbridge/via/vt8235/usb.c
index c712136c72..d684968a31 100644
--- a/src/southbridge/via/vt8235/usb.c
+++ b/src/southbridge/via/vt8235/usb.c
@@ -41,4 +41,3 @@ static const struct pci_driver northbridge_driver __pci_driver = {
.vendor = PCI_VENDOR_ID_VIA,
.device = PCI_DEVICE_ID_VIA_82C586_2,
};
-
diff --git a/src/southbridge/via/vt8237r/nvs.h b/src/southbridge/via/vt8237r/nvs.h
index e8a0084c9f..d2aab34173 100644
--- a/src/southbridge/via/vt8237r/nvs.h
+++ b/src/southbridge/via/vt8237r/nvs.h
@@ -43,4 +43,3 @@ typedef struct {
u8 pcp1; /* 0x2b - PDC CPU/CORE 1 */
u8 ppcm; /* 0x2c - Max. PPC state */
} __attribute__((packed)) global_nvs_t;
-
diff --git a/src/southbridge/via/vt82c686/early_serial.c b/src/southbridge/via/vt82c686/early_serial.c
index 70b6b545fc..55742a75ef 100644
--- a/src/southbridge/via/vt82c686/early_serial.c
+++ b/src/southbridge/via/vt82c686/early_serial.c
@@ -89,4 +89,3 @@ static void vt82c686_enable_serial(device_t dev, unsigned iobase)
reg = pci_read_config8(sbdev, 0x85);
pci_write_config8(sbdev, 0x85, reg & 0xfd); /* Clear bit 1. */
}
-
diff --git a/src/southbridge/via/vt82c686/vt82c686.h b/src/southbridge/via/vt82c686/vt82c686.h
index b0642f723e..7d2ac5274a 100644
--- a/src/southbridge/via/vt82c686/vt82c686.h
+++ b/src/southbridge/via/vt82c686/vt82c686.h
@@ -53,4 +53,3 @@ PCI_DEVICE_ID_VIA_82C686_4 0x3057 // Function 4, Power Management
PCI_DEVICE_ID_VIA_82C686_5 0x3058 // Function 5, AC'97 Codec
PCI_DEVICE_ID_VIA_82C686_6 0x3068 // Function 6, MC'97 Codec
*/
-