summaryrefslogtreecommitdiff
path: root/documentation/beginverbatim.tex
diff options
context:
space:
mode:
Diffstat (limited to 'documentation/beginverbatim.tex')
-rw-r--r--documentation/beginverbatim.tex1
1 files changed, 1 insertions, 0 deletions
diff --git a/documentation/beginverbatim.tex b/documentation/beginverbatim.tex
new file mode 100644
index 0000000000..453714c574
--- /dev/null
+++ b/documentation/beginverbatim.tex
@@ -0,0 +1 @@
+\begin{verbatim}