summaryrefslogtreecommitdiff
path: root/src/include/console/uart.h
diff options
context:
space:
mode:
Diffstat (limited to 'src/include/console/uart.h')
-rw-r--r--src/include/console/uart.h3
1 files changed, 3 insertions, 0 deletions
diff --git a/src/include/console/uart.h b/src/include/console/uart.h
index 3bd390e75b..2e9c7b815c 100644
--- a/src/include/console/uart.h
+++ b/src/include/console/uart.h
@@ -46,6 +46,9 @@ unsigned int uart_baudrate_divisor(unsigned int baudrate,
*/
unsigned int uart_input_clock_divider(void);
+/* Bitbang out one byte on an 8n1 UART through the output function set_tx(). */
+void uart_bitbang_tx_byte(unsigned char data, void (*set_tx)(int line_state));
+
void uart_init(int idx);
void uart_tx_byte(int idx, unsigned char data);
void uart_tx_flush(int idx);