summaryrefslogtreecommitdiff
path: root/src/soc/qualcomm/ipq806x/Makefile.inc
diff options
context:
space:
mode:
Diffstat (limited to 'src/soc/qualcomm/ipq806x/Makefile.inc')
-rw-r--r--src/soc/qualcomm/ipq806x/Makefile.inc8
1 files changed, 4 insertions, 4 deletions
diff --git a/src/soc/qualcomm/ipq806x/Makefile.inc b/src/soc/qualcomm/ipq806x/Makefile.inc
index 42d28e4e77..624b63322d 100644
--- a/src/soc/qualcomm/ipq806x/Makefile.inc
+++ b/src/soc/qualcomm/ipq806x/Makefile.inc
@@ -23,10 +23,10 @@ bootblock-$(CONFIG_DRIVERS_UART) += uart.c
verstage-y += clock.c
verstage-y += gpio.c
-libverstage-y += gsbi.c
-libverstage-y += i2c.c
-libverstage-y += qup.c
-libverstage-y += spi.c
+verstage-y += gsbi.c
+verstage-y += i2c.c
+verstage-y += qup.c
+verstage-y += spi.c
verstage-y += timer.c
verstage-$(CONFIG_DRIVERS_UART) += uart.c