# simple makefile for the project OUTPUT_DIR = generate PROJECT_NAME = intelp2m default: go version go build -v -o $(PROJECT_NAME) clean: rm -Rf $(PROJECT_NAME) $(OUTPUT_DIR)