summaryrefslogtreecommitdiff
path: root/src/arch/riscv/misc.c
blob: 6e64028777dd54a416f60264ee485dbf970cf1b8 (plain)
1
2
3
4
5
6
7
8
9
#include <delay.h>

void init_timer(void)
{
}

void udelay(unsigned int n)
{
}