summaryrefslogtreecommitdiff
path: root/util/nvramtool/ip_checksum.h
blob: 885197749eea033254d88d1cebbc0c9588b144a2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
/*****************************************************************************\
 * ip_checksum.h
 * $Id$
\*****************************************************************************/

#ifndef IP_CHECKSUM_H
#define IP_CHECKSUM_H

/* Note: The contents of this file were borrowed from the coreboot source
 *       code which may be obtained from http://www.coreboot.org.
 *       Specifically, this code was obtained from coreboot (LinuxBIOS) 
 *       version 1.0.0.8.
 */

unsigned long compute_ip_checksum(void *addr, unsigned long length);

#endif /* IP_CHECKSUM_H */