summaryrefslogtreecommitdiff
path: root/Vlv2DeviceRefCodePkg
diff options
context:
space:
mode:
authorDavid Wei <david.wei@intel.com>2015-01-29 04:39:53 +0000
committerzwei4 <zwei4@Edk2>2015-01-29 04:39:53 +0000
commit49a228ca6980b197bb854dc88c909d712959cb47 (patch)
tree45a315acb40f42a249f673eb3d5697ff3770a97f /Vlv2DeviceRefCodePkg
parentb2499fe67ed7cef361805b68691711053549c0ee (diff)
downloadedk2-platforms-49a228ca6980b197bb854dc88c909d712959cb47.tar.xz
Update SPI connection parameters for Microsoft RhProxy driver.
Contributed-under: TianoCore Contribution Agreement 1.0 Signed-off-by: David Wei <david.wei@intel.com> Reviewed-by: Tim He <tim.he@intel.com> git-svn-id: https://svn.code.sf.net/p/edk2/code/trunk/edk2@16679 6f19259b-4bc3-4df7-8a09-765794883524
Diffstat (limited to 'Vlv2DeviceRefCodePkg')
-rw-r--r--Vlv2DeviceRefCodePkg/AcpiTablesPCAT/RhProxy.asl8
1 files changed, 4 insertions, 4 deletions
diff --git a/Vlv2DeviceRefCodePkg/AcpiTablesPCAT/RhProxy.asl b/Vlv2DeviceRefCodePkg/AcpiTablesPCAT/RhProxy.asl
index 5a0a6f5c29..6ce211c5ff 100644
--- a/Vlv2DeviceRefCodePkg/AcpiTablesPCAT/RhProxy.asl
+++ b/Vlv2DeviceRefCodePkg/AcpiTablesPCAT/RhProxy.asl
@@ -149,12 +149,12 @@ DefinitionBlock ("RHPX.aml", "SSDT", 1, "MSFT", "RHPROXY", 1)
Package(2) { "bus-SPI-SPI0", Package() { 0 }},
// TODO: Intel will need to provide the right value for SPI0 properties
- Package(2) { "SPI0-MinClockInHz", 100 },
- Package(2) { "SPI0-MaxClockInHz", 8000000 },
+ Package(2) { "SPI0-MinClockInHz", 100000 },
+ Package(2) { "SPI0-MaxClockInHz", 15000000 },
// SupportedDataBitLengths takes a list of support data bit length
// Example : Package(2) { "SPI0-SupportedDataBitLengths", Package() { 8, 7, 16 }},
- Package(2) { "SPI0-SupportedDataBitLengths", Package() { 8 }},
- // I2C Mapping
+ Package(2) { "SPI0-SupportedDataBitLengths", Package() { 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32 }},
+ // I2C Mapping
Package(2) { "bus-I2C-I2C5", Package() { 1 }},
// UART Mapping
Package(2) { "bus-UART-UART2", Package() { 2 }},