From 16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f Mon Sep 17 00:00:00 2001 From: Gabe Black Date: Thu, 24 May 2018 01:37:55 -0700 Subject: systemc: Import tests from the Accellera systemc distribution. Change-Id: Iad76b398949a55d768a34d027a2d8e3739953da6 Reviewed-on: https://gem5-review.googlesource.com/10845 Reviewed-by: Giacomo Travaglini Maintainer: Gabe Black --- .../systemc/kernel/sc_time/test11/golden/test11.log | 16 ++++++++++++++++ 1 file changed, 16 insertions(+) create mode 100644 src/systemc/tests/systemc/kernel/sc_time/test11/golden/test11.log (limited to 'src/systemc/tests/systemc/kernel/sc_time/test11/golden/test11.log') diff --git a/src/systemc/tests/systemc/kernel/sc_time/test11/golden/test11.log b/src/systemc/tests/systemc/kernel/sc_time/test11/golden/test11.log new file mode 100644 index 000000000..2286a20df --- /dev/null +++ b/src/systemc/tests/systemc/kernel/sc_time/test11/golden/test11.log @@ -0,0 +1,16 @@ +SystemC Simulation + +Warning: (W516) default time unit changed to time resolution +In file: +10 ns + +Info: (I804) /IEEE_Std_1666/deprecated: deprecated function: sc_get_default_time_unit +10 ns + +Info: (I804) /IEEE_Std_1666/deprecated: You can turn off warnings about + IEEE 1666 deprecated features by placing this method call + as the first statement in your sc_main() function: + + sc_core::sc_report_handler::set_actions( "/IEEE_Std_1666/deprecated", + sc_core::SC_DO_NOTHING ); + -- cgit v1.2.3