From 16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f Mon Sep 17 00:00:00 2001 From: Gabe Black Date: Thu, 24 May 2018 01:37:55 -0700 Subject: systemc: Import tests from the Accellera systemc distribution. Change-Id: Iad76b398949a55d768a34d027a2d8e3739953da6 Reviewed-on: https://gem5-review.googlesource.com/10845 Reviewed-by: Giacomo Travaglini Maintainer: Gabe Black --- .../tests/systemc/kernel/sc_time/test14/test14.cpp | 77 ++++++++++++++++++++++ 1 file changed, 77 insertions(+) create mode 100644 src/systemc/tests/systemc/kernel/sc_time/test14/test14.cpp (limited to 'src/systemc/tests/systemc/kernel/sc_time/test14/test14.cpp') diff --git a/src/systemc/tests/systemc/kernel/sc_time/test14/test14.cpp b/src/systemc/tests/systemc/kernel/sc_time/test14/test14.cpp new file mode 100644 index 000000000..d05f8a41c --- /dev/null +++ b/src/systemc/tests/systemc/kernel/sc_time/test14/test14.cpp @@ -0,0 +1,77 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + test14.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +// test of function sc_set_default_time_unit + +#include "systemc.h" + +SC_MODULE( source ) +{ + sc_in_clk clk; + sc_out out; + + void main_action() + { + sc_set_default_time_unit( 10, SC_PS ); + int a = 0; + while( true ) { + wait(); + out = ++ a; + } + } + + SC_CTOR( source ) + { + SC_THREAD( main_action ); + sensitive << clk.pos(); + } +}; + +int +sc_main( int, char*[] ) +{ + sc_clock clk( "clk" ); + sc_signal sig( "sig" ); + + source src( "src" ); + src.clk( clk ); + src.out( sig ); + + sc_start(); + + return 0; +} -- cgit v1.2.3