From 16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f Mon Sep 17 00:00:00 2001 From: Gabe Black Date: Thu, 24 May 2018 01:37:55 -0700 Subject: systemc: Import tests from the Accellera systemc distribution. Change-Id: Iad76b398949a55d768a34d027a2d8e3739953da6 Reviewed-on: https://gem5-review.googlesource.com/10845 Reviewed-by: Giacomo Travaglini Maintainer: Gabe Black --- .../signals/unsigned/golden/unsigned.log | 50 +++++++++++++++++ .../misc/communication/signals/unsigned/main.cpp | 60 ++++++++++++++++++++ .../misc/communication/signals/unsigned/proc1.cpp | 49 ++++++++++++++++ .../misc/communication/signals/unsigned/proc1.h | 65 ++++++++++++++++++++++ .../misc/communication/signals/unsigned/proc2.cpp | 50 +++++++++++++++++ .../misc/communication/signals/unsigned/proc2.h | 65 ++++++++++++++++++++++ .../misc/communication/signals/unsigned/unsigned.f | 3 + 7 files changed, 342 insertions(+) create mode 100644 src/systemc/tests/systemc/misc/communication/signals/unsigned/golden/unsigned.log create mode 100644 src/systemc/tests/systemc/misc/communication/signals/unsigned/main.cpp create mode 100644 src/systemc/tests/systemc/misc/communication/signals/unsigned/proc1.cpp create mode 100644 src/systemc/tests/systemc/misc/communication/signals/unsigned/proc1.h create mode 100644 src/systemc/tests/systemc/misc/communication/signals/unsigned/proc2.cpp create mode 100644 src/systemc/tests/systemc/misc/communication/signals/unsigned/proc2.h create mode 100644 src/systemc/tests/systemc/misc/communication/signals/unsigned/unsigned.f (limited to 'src/systemc/tests/systemc/misc/communication/signals/unsigned') diff --git a/src/systemc/tests/systemc/misc/communication/signals/unsigned/golden/unsigned.log b/src/systemc/tests/systemc/misc/communication/signals/unsigned/golden/unsigned.log new file mode 100644 index 000000000..c2c0e4e87 --- /dev/null +++ b/src/systemc/tests/systemc/misc/communication/signals/unsigned/golden/unsigned.log @@ -0,0 +1,50 @@ +SystemC Simulation +if( signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works +if( signal ) works +if( !signal ) works diff --git a/src/systemc/tests/systemc/misc/communication/signals/unsigned/main.cpp b/src/systemc/tests/systemc/misc/communication/signals/unsigned/main.cpp new file mode 100644 index 000000000..463d1a50b --- /dev/null +++ b/src/systemc/tests/systemc/misc/communication/signals/unsigned/main.cpp @@ -0,0 +1,60 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + main.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#include "proc1.h" +#include "proc2.h" + +int +sc_main( int, char*[] ) +{ + sc_signal ack; + sc_signal ready; + + ack = 1; + ready = 1; + + sc_clock clk( "Clock", 20, SC_NS, 0.5, 0.0, SC_NS ); + + proc1 P1( "P1", clk, ack, ready ); + proc2 P2( "P2", clk, ready, ack ); + + sc_start( 500, SC_NS ); + + return 0; +} + + diff --git a/src/systemc/tests/systemc/misc/communication/signals/unsigned/proc1.cpp b/src/systemc/tests/systemc/misc/communication/signals/unsigned/proc1.cpp new file mode 100644 index 000000000..ac2f11150 --- /dev/null +++ b/src/systemc/tests/systemc/misc/communication/signals/unsigned/proc1.cpp @@ -0,0 +1,49 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + proc1.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#include "proc1.h" + +void proc1::entry() +{ + while( true ) { + if( ready ) { + ack.write( 0 ); + cout << "if( signal ) works" << endl; + } + wait(); + } +} diff --git a/src/systemc/tests/systemc/misc/communication/signals/unsigned/proc1.h b/src/systemc/tests/systemc/misc/communication/signals/unsigned/proc1.h new file mode 100644 index 000000000..6f27bffbe --- /dev/null +++ b/src/systemc/tests/systemc/misc/communication/signals/unsigned/proc1.h @@ -0,0 +1,65 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + proc1.h -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#ifndef PROC1_H +#define PROC1_H + +#include "systemc.h" + +SC_MODULE( proc1 ) +{ + SC_HAS_PROCESS( proc1 ); + + sc_in_clk clk; + sc_in ready; + sc_out ack; + + proc1( sc_module_name NAME, + sc_clock& CLK, + sc_signal& READY, + sc_signal& ACK ) + { + clk( CLK ); + ready( READY ); + ack( ACK ); + SC_CTHREAD( entry, clk.pos() ); + } + + void entry(); +}; + +#endif diff --git a/src/systemc/tests/systemc/misc/communication/signals/unsigned/proc2.cpp b/src/systemc/tests/systemc/misc/communication/signals/unsigned/proc2.cpp new file mode 100644 index 000000000..9dbe9bbd1 --- /dev/null +++ b/src/systemc/tests/systemc/misc/communication/signals/unsigned/proc2.cpp @@ -0,0 +1,50 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + proc2.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#include "proc2.h" + +void +proc2::entry() +{ + while( true ) { + if( ! ready.read() ) { + ack.write( 5 ); + cout << "if( !signal ) works" << endl; + } + wait(); + } +} diff --git a/src/systemc/tests/systemc/misc/communication/signals/unsigned/proc2.h b/src/systemc/tests/systemc/misc/communication/signals/unsigned/proc2.h new file mode 100644 index 000000000..d6c37637e --- /dev/null +++ b/src/systemc/tests/systemc/misc/communication/signals/unsigned/proc2.h @@ -0,0 +1,65 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + proc2.h -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#ifndef PROC2_H +#define PROC2_H + +#include "systemc.h" + +SC_MODULE( proc2 ) +{ + SC_HAS_PROCESS( proc2 ); + + sc_in_clk clk; + sc_in ready; + sc_out ack; + + proc2( sc_module_name NAME, + sc_clock& CLK, + sc_signal& READY, + sc_signal& ACK ) + { + clk( CLK ); + ready( READY ); + ack( ACK ); + SC_CTHREAD( entry, clk.pos() ); + } + + void entry(); +}; + +#endif diff --git a/src/systemc/tests/systemc/misc/communication/signals/unsigned/unsigned.f b/src/systemc/tests/systemc/misc/communication/signals/unsigned/unsigned.f new file mode 100644 index 000000000..26547bc07 --- /dev/null +++ b/src/systemc/tests/systemc/misc/communication/signals/unsigned/unsigned.f @@ -0,0 +1,3 @@ +unsigned/proc1.cpp +unsigned/proc2.cpp +unsigned/main.cpp -- cgit v1.2.3