From 16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f Mon Sep 17 00:00:00 2001 From: Gabe Black Date: Thu, 24 May 2018 01:37:55 -0700 Subject: systemc: Import tests from the Accellera systemc distribution. Change-Id: Iad76b398949a55d768a34d027a2d8e3739953da6 Reviewed-on: https://gem5-review.googlesource.com/10845 Reviewed-by: Giacomo Travaglini Maintainer: Gabe Black --- .../systemc/misc/sim_tests/biquad/biquad3/main.cpp | 77 ++++++++++++++++++++++ 1 file changed, 77 insertions(+) create mode 100644 src/systemc/tests/systemc/misc/sim_tests/biquad/biquad3/main.cpp (limited to 'src/systemc/tests/systemc/misc/sim_tests/biquad/biquad3/main.cpp') diff --git a/src/systemc/tests/systemc/misc/sim_tests/biquad/biquad3/main.cpp b/src/systemc/tests/systemc/misc/sim_tests/biquad/biquad3/main.cpp new file mode 100644 index 000000000..c6d11e974 --- /dev/null +++ b/src/systemc/tests/systemc/misc/sim_tests/biquad/biquad3/main.cpp @@ -0,0 +1,77 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + main.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +/* Main routine for biquad simulation */ + +#include "testbench.h" +#include "biquad.h" +#include "delay_line.h" + +float signal_freq; + +int +sc_main(int ac, char *av[]) +{ + sc_signal sample; + sc_signal result; + sc_signal reset; + sc_signal delayed_out; + + sample = 0.0; + result = 0.0; + reset = false; + delayed_out = 0.0; + + sc_clock clk("Clock", CLOCK_PERIOD, SC_NS); + + biquad filter("BFILTER", sample, reset, result); + testbench TB("TB", clk, delayed_out, reset, sample); + delay_line D("Delay", result, delayed_out, 300); + + int n = 10000; + signal_freq = 100000; + for (int i = 1; i < ac; i++) { + if (av[i][0] == '-') { + signal_freq = atof(av[i+1]); i++; + continue; + } + n = atoi(av[i]); + } + + sc_start(n, SC_NS); + return 0; +} -- cgit v1.2.3