From 16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f Mon Sep 17 00:00:00 2001 From: Gabe Black Date: Thu, 24 May 2018 01:37:55 -0700 Subject: systemc: Import tests from the Accellera systemc distribution. Change-Id: Iad76b398949a55d768a34d027a2d8e3739953da6 Reviewed-on: https://gem5-review.googlesource.com/10845 Reviewed-by: Giacomo Travaglini Maintainer: Gabe Black --- .../tests/systemc/misc/stars/star110998/COMPILE | 0 .../systemc/misc/stars/star110998/star110998.cpp | 57 +++++++++++++++ .../tests/systemc/misc/stars/star110998/test1.h | 83 ++++++++++++++++++++++ 3 files changed, 140 insertions(+) create mode 100644 src/systemc/tests/systemc/misc/stars/star110998/COMPILE create mode 100644 src/systemc/tests/systemc/misc/stars/star110998/star110998.cpp create mode 100644 src/systemc/tests/systemc/misc/stars/star110998/test1.h (limited to 'src/systemc/tests/systemc/misc/stars/star110998') diff --git a/src/systemc/tests/systemc/misc/stars/star110998/COMPILE b/src/systemc/tests/systemc/misc/stars/star110998/COMPILE new file mode 100644 index 000000000..e69de29bb diff --git a/src/systemc/tests/systemc/misc/stars/star110998/star110998.cpp b/src/systemc/tests/systemc/misc/stars/star110998/star110998.cpp new file mode 100644 index 000000000..ba2e25f22 --- /dev/null +++ b/src/systemc/tests/systemc/misc/stars/star110998/star110998.cpp @@ -0,0 +1,57 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + star110998.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + + +#include "systemc.h" +#include "test1.h" +#define SCAN_INTERVAL 200000 // 200 us +#define NS *1e-9 + + +void io_controller_m::control_write() +{ + sc_uint<32> word_cnt; + wait(); + + while(true) + { + wait(unsigned ((SCAN_INTERVAL NS)/40e-9)); + wait(); + + } +} + diff --git a/src/systemc/tests/systemc/misc/stars/star110998/test1.h b/src/systemc/tests/systemc/misc/stars/star110998/test1.h new file mode 100644 index 000000000..e97a607b4 --- /dev/null +++ b/src/systemc/tests/systemc/misc/stars/star110998/test1.h @@ -0,0 +1,83 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + test1.h -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +SC_MODULE(io_controller_m){ + + /* ports */ + sc_in_clk clk_i486_if; + + sc_out > addr30_o; + sc_inout > data32_i; + sc_out > data32_o; + sc_out ads_n_o; + sc_out wr_n_o; + sc_in rdy_n_i; + sc_in ar_i; + sc_in res_n_i; + + sc_out > mii_data4_o; + sc_out mii_en_o; + sc_in > mii_data4_i; + sc_in mii_en_i; + sc_in mii_coll_det; + sc_in_clk clk_mii; + + /* signals */ + sc_signal > mux_data32; + sc_signal > in_fifo_data32; + sc_signal > out_fifo_data32; + sc_signal > control_data32; + sc_signal out_fifo_en; + sc_signal out_fifo_act; + sc_signal in_fifo_en; + sc_signal control_en; + sc_signal out_fifo_reset; + + /* variables */ + sc_uint<32> addr_tx_frame_ptr; + sc_uint<32> rx_ptr_array; + sc_signal value; + + SC_CTOR(io_controller_m) + { + + SC_CTHREAD(control_write, clk_i486_if.pos()); + + } + void control_write(); + +}; -- cgit v1.2.3