From 16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f Mon Sep 17 00:00:00 2001 From: Gabe Black Date: Thu, 24 May 2018 01:37:55 -0700 Subject: systemc: Import tests from the Accellera systemc distribution. Change-Id: Iad76b398949a55d768a34d027a2d8e3739953da6 Reviewed-on: https://gem5-review.googlesource.com/10845 Reviewed-by: Giacomo Travaglini Maintainer: Gabe Black --- .../systemc/misc/synth/concat/fncall/define.h | 55 +++++++++ .../misc/synth/concat/fncall/golden/test.log | 5 + .../systemc/misc/synth/concat/fncall/main.cpp | 80 ++++++++++++ .../systemc/misc/synth/concat/fncall/monitor.cpp | 56 +++++++++ .../systemc/misc/synth/concat/fncall/monitor.h | 101 ++++++++++++++++ .../tests/systemc/misc/synth/concat/fncall/tb.cpp | 52 ++++++++ .../tests/systemc/misc/synth/concat/fncall/tb.h | 101 ++++++++++++++++ .../systemc/misc/synth/concat/fncall/test.cpp | 134 +++++++++++++++++++++ .../tests/systemc/misc/synth/concat/fncall/test.f | 4 + .../tests/systemc/misc/synth/concat/fncall/test.h | 102 ++++++++++++++++ 10 files changed, 690 insertions(+) create mode 100644 src/systemc/tests/systemc/misc/synth/concat/fncall/define.h create mode 100644 src/systemc/tests/systemc/misc/synth/concat/fncall/golden/test.log create mode 100644 src/systemc/tests/systemc/misc/synth/concat/fncall/main.cpp create mode 100644 src/systemc/tests/systemc/misc/synth/concat/fncall/monitor.cpp create mode 100644 src/systemc/tests/systemc/misc/synth/concat/fncall/monitor.h create mode 100644 src/systemc/tests/systemc/misc/synth/concat/fncall/tb.cpp create mode 100644 src/systemc/tests/systemc/misc/synth/concat/fncall/tb.h create mode 100644 src/systemc/tests/systemc/misc/synth/concat/fncall/test.cpp create mode 100644 src/systemc/tests/systemc/misc/synth/concat/fncall/test.f create mode 100644 src/systemc/tests/systemc/misc/synth/concat/fncall/test.h (limited to 'src/systemc/tests/systemc/misc/synth/concat/fncall') diff --git a/src/systemc/tests/systemc/misc/synth/concat/fncall/define.h b/src/systemc/tests/systemc/misc/synth/concat/fncall/define.h new file mode 100644 index 000000000..d671993cd --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/concat/fncall/define.h @@ -0,0 +1,55 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + define.h -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#define CLOCK_PERIOD 100 +#define TB_CLOCK_PERIOD 50 +#define DUTY_CYCLE 0.5 +#define EVENT_TIME 50 +#define TEST_TIME 50 + +#define long_wait wait(10) +#define single_cycle wait(2) +#define set_value(var,val) wait(); var = val; wait() +#define test_value(actual, expected) \ + wait (); if (expected != actual) \ + cout << "Mismatch. Expected: " << expected \ + << ". Actual: " << actual << endl; \ + wait () +#define test_value_now(actual, expected) \ + if (expected != actual) cout << "Mismatch. Expected: " << expected \ + << ". Actual: " << actual << endl; + diff --git a/src/systemc/tests/systemc/misc/synth/concat/fncall/golden/test.log b/src/systemc/tests/systemc/misc/synth/concat/fncall/golden/test.log new file mode 100644 index 000000000..510bd7f39 --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/concat/fncall/golden/test.log @@ -0,0 +1,5 @@ +SystemC Simulation +Begin Simulation +End Simulation + +Info: /OSCI/SystemC: Simulation stopped by user. diff --git a/src/systemc/tests/systemc/misc/synth/concat/fncall/main.cpp b/src/systemc/tests/systemc/misc/synth/concat/fncall/main.cpp new file mode 100644 index 000000000..852905b57 --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/concat/fncall/main.cpp @@ -0,0 +1,80 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + main.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +// Main routine + +#include "systemc.h" +#include "test.h" +#include "tb.h" +#include "monitor.h" +#include "define.h" + +int sc_main(int ac, char *av[]) +{ + sc_clock clock("Clock", CLOCK_PERIOD, SC_NS, DUTY_CYCLE, 0, SC_NS); + sc_clock tb_clock("TBClock", TB_CLOCK_PERIOD, SC_NS, DUTY_CYCLE, 0, SC_NS); + sc_clock mon_clock("MonClock", CLOCK_PERIOD, SC_NS, DUTY_CYCLE, 75, SC_NS); + + sc_signal reset_sig; + + sc_signal i1; + sc_signal i2; + sc_signal i3; + sc_signal i4; + sc_signal i5; + + sc_signal cont1; + sc_signal cont2; + sc_signal cont3; + + sc_signal o1; + sc_signal o2; + sc_signal o3; + sc_signal o4; + sc_signal o5; + + test TEST ("TEST", clock, reset_sig, i1, i2, i3, i4, i5, + cont1, cont2, cont3, o1, o2, o3, o4, o5); + tb TB ("TB", tb_clock, reset_sig, i1, i2, i3, i4, i5, + cont1, cont2, cont3, o1, o2, o3, o4, o5); + monitor MONITOR ("MONITOR", mon_clock, reset_sig, i1, i2, i3, i4, i5, + cont1, cont2, cont3, o1, o2, o3, o4, o5); + + // Simulation Run Control + sc_start(); + return 0; +} diff --git a/src/systemc/tests/systemc/misc/synth/concat/fncall/monitor.cpp b/src/systemc/tests/systemc/misc/synth/concat/fncall/monitor.cpp new file mode 100644 index 000000000..103437eee --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/concat/fncall/monitor.cpp @@ -0,0 +1,56 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + monitor.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#include "systemc.h" +#include "monitor.h" + +void monitor::entry() +{ + int cycleNo = 0; + + while (true) { + cout << "[Cycle No: " << cycleNo << "]" << + " i1 = " << i1 << + " o1 = " << o1 << + " o2 = " << o2 << + " cont1 = " << cont1 << + endl; + cycleNo++; + wait(); + } +} + diff --git a/src/systemc/tests/systemc/misc/synth/concat/fncall/monitor.h b/src/systemc/tests/systemc/misc/synth/concat/fncall/monitor.h new file mode 100644 index 000000000..a827f7c8a --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/concat/fncall/monitor.h @@ -0,0 +1,101 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + monitor.h -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +/* Common interface file for monitor process + Author: PRP + */ + +SC_MODULE( monitor ) +{ + SC_HAS_PROCESS( monitor ); + + sc_in_clk clk; + + // Input Reset Port + const sc_signal& reset_sig; + + // Input Data Ports + const sc_signal& i1; + const sc_signal& i2; + const sc_signal& i3; + const sc_signal& i4; + const sc_signal& i5; + + // Input Control Ports + const sc_signal& cont1; + const sc_signal& cont2; + const sc_signal& cont3; + + // Input Data Ports + const sc_signal& o1; + const sc_signal& o2; + const sc_signal& o3; + const sc_signal& o4; + const sc_signal& o5; + + // Constructor + monitor ( + sc_module_name NAME, + sc_clock& CLK, + + const sc_signal& RESET_SIG, + + const sc_signal& I1, + const sc_signal& I2, + const sc_signal& I3, + const sc_signal& I4, + const sc_signal& I5, + + const sc_signal& CONT1, + const sc_signal& CONT2, + const sc_signal& CONT3, + + const sc_signal& O1, + const sc_signal& O2, + const sc_signal& O3, + const sc_signal& O4, + const sc_signal& O5) + : reset_sig(RESET_SIG), i1(I1), i2(I2), + i3(I3), i4(I4), i5(I5), cont1 (CONT1), cont2 (CONT2), + cont3 (CONT3), o1(O1), o2(O2), o3(O3), o4(O4), o5(O5) + { + clk(CLK); + SC_CTHREAD( entry, clk.pos() ); + } + + void entry(); +}; diff --git a/src/systemc/tests/systemc/misc/synth/concat/fncall/tb.cpp b/src/systemc/tests/systemc/misc/synth/concat/fncall/tb.cpp new file mode 100644 index 000000000..dfc57d75a --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/concat/fncall/tb.cpp @@ -0,0 +1,52 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + tb.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#include "systemc.h" +#include "tb.h" +#include "define.h" + +void tb::entry() +{ + cout << "Begin Simulation" << endl; + + + cout << "End Simulation" << endl; + + sc_stop(); + +} + diff --git a/src/systemc/tests/systemc/misc/synth/concat/fncall/tb.h b/src/systemc/tests/systemc/misc/synth/concat/fncall/tb.h new file mode 100644 index 000000000..5e76fb35d --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/concat/fncall/tb.h @@ -0,0 +1,101 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + tb.h -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +/* Common interface file for test bench + Author: PRP + */ + +SC_MODULE( tb ) +{ + SC_HAS_PROCESS( tb ); + + sc_in_clk clk; + + // Output Reset Port + sc_signal& reset_sig; + + // Output Data Ports + sc_signal& i1; + sc_signal& i2; + sc_signal& i3; + sc_signal& i4; + sc_signal& i5; + + // Output Control Ports + sc_signal& cont1; + sc_signal& cont2; + sc_signal& cont3; + + // Input Data Ports + const sc_signal& o1; + const sc_signal& o2; + const sc_signal& o3; + const sc_signal& o4; + const sc_signal& o5; + + // Constructor + tb ( + sc_module_name NAME, + sc_clock& CLK, + + sc_signal& RESET_SIG, + + sc_signal& I1, + sc_signal& I2, + sc_signal& I3, + sc_signal& I4, + sc_signal& I5, + + sc_signal& CONT1, + sc_signal& CONT2, + sc_signal& CONT3, + + const sc_signal& O1, + const sc_signal& O2, + const sc_signal& O3, + const sc_signal& O4, + const sc_signal& O5) + : reset_sig(RESET_SIG), i1(I1), i2(I2), + i3(I3), i4(I4), i5(I5), cont1 (CONT1), cont2 (CONT2), + cont3 (CONT3), o1(O1), o2(O2), o3(O3), o4(O4), o5(O5) + { + clk(CLK); + SC_CTHREAD( entry, clk.pos() ); + } + + void entry(); +}; diff --git a/src/systemc/tests/systemc/misc/synth/concat/fncall/test.cpp b/src/systemc/tests/systemc/misc/synth/concat/fncall/test.cpp new file mode 100644 index 000000000..1cb0672fc --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/concat/fncall/test.cpp @@ -0,0 +1,134 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + test.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +// +// Verifies the functionality of concanetation operation. +// Operands are arguments to a function +// +// Author: PRP +// Date Created: 19 Feb 99 +// + + +#include "systemc.h" +#include "test.h" + +sc_lv_base AND_fn (const sc_lv_base &a, const sc_lv_base &b) +{ + return a | b; +} + +sc_lv_base OR_fn (const sc_lv_base &a, const sc_lv_base &b) +{ + return a & b; +} + +void test::entry() +{ + sc_lv<8> a; + sc_lv<8> b; + sc_lv<8> c; + sc_lv<8> d; + sc_lv<24> e; + sc_lv<24> f; + + sc_logic k; + sc_logic n; + sc_logic m, o, p, q, r, s, t, u; + + sc_lv<32> x; + sc_lv<32> y; + sc_lv<32> z; + sc_lv<32> z1; + + + while (true) { + + wait (); + + a = "00000000"; // 0 + b = "00000001"; // 1 + c = "00000011"; // 3 + d = "00001111"; // 15 + e = "000000000000000000000001"; // 1 + f = "000000000000000000001010"; // 10 + + // =============== Array + Array ==================================== + // variable + variable, cascading + x = OR_fn ((a, b, c, d), (d, c, b, a)); + // x = 00001111 00000011 00000011 00001111 + + // variable + constant, cascading, composition + y = AND_fn (((a, b, c), "00000000"), (d, "00000000", b, a)); + // y = 00000000 00000000 00000001 00000000 + + // constant + constant + z = OR_fn (( sc_lv_base( "0000000000000000" ), "1000000000000000"), x); + // z = 00001111 00000011 10000011 00001111 + + z = z & (~y); + // z = 00001111 00000011 10000010 00001111 + + // =============== Array (variable) + Scalar ============================== + + n = '1'; + o = '0'; + z = OR_fn (z, ( sc_lv_base( n ), "000000000000000", "0000000000000000")); + // z = 10001111 00000011 10000010 00001111 + + z = OR_fn (z, ( sc_lv_base( "00000000" ), o, n, d, "00000000000000")); + // z = 10001111 01000011 11000010 00001111 + + k = '1'; + z = OR_fn (z, ( sc_lv_base( "00000000" ), "00", k, "00000", "0000000000000000")); + // z = 10001111 01100011 11000010 00001111 + + // =============== Null Vector ==================================== + + z = AND_fn (z, (( sc_lv_base( o ), sc_lv_base( k ), "111111"), "111111111111111111111111")); + // z = 00001111 01100011 11000010 00001111 + + // =============== LHS/RHS of different widths ============================== + + z1 = OR_fn (z, sc_lv_base( "00000000000000000000000000000000" )); // length of string = 32 + + o1 = z.to_int(); // o1 = 00001111 01100011 11000010 00001111 + wait(); + + } +} + diff --git a/src/systemc/tests/systemc/misc/synth/concat/fncall/test.f b/src/systemc/tests/systemc/misc/synth/concat/fncall/test.f new file mode 100644 index 000000000..050983dc0 --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/concat/fncall/test.f @@ -0,0 +1,4 @@ +fncall/test.cpp +fncall/tb.cpp +fncall/monitor.cpp +fncall/main.cpp diff --git a/src/systemc/tests/systemc/misc/synth/concat/fncall/test.h b/src/systemc/tests/systemc/misc/synth/concat/fncall/test.h new file mode 100644 index 000000000..5596f1f8d --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/concat/fncall/test.h @@ -0,0 +1,102 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + test.h -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +/* Common interface file for test cases + Author: PRP + */ + +SC_MODULE( test ) +{ + SC_HAS_PROCESS( test ); + + sc_in_clk clk; + + // Input Reset Port + const sc_signal& reset_sig; + + // Input Data Ports + const sc_signal& i1; + const sc_signal& i2; + const sc_signal& i3; + const sc_signal& i4; + const sc_signal& i5; + + // Input Control Ports + const sc_signal& cont1; + const sc_signal& cont2; + const sc_signal& cont3; + + // Output Data Ports + sc_signal& o1; + sc_signal& o2; + sc_signal& o3; + sc_signal& o4; + sc_signal& o5; + + // Constructor + test ( + sc_module_name NAME, + sc_clock& CLK, + + const sc_signal& RESET_SIG, + + const sc_signal& I1, + const sc_signal& I2, + const sc_signal& I3, + const sc_signal& I4, + const sc_signal& I5, + + const sc_signal& CONT1, + const sc_signal& CONT2, + const sc_signal& CONT3, + + sc_signal& O1, + sc_signal& O2, + sc_signal& O3, + sc_signal& O4, + sc_signal& O5) + : reset_sig(RESET_SIG), i1(I1), i2(I2), + i3(I3), i4(I4), i5(I5), cont1 (CONT1), cont2 (CONT2), + cont3 (CONT3), o1(O1), o2(O2), o3(O3), o4(O4), o5(O5) + { + clk(CLK); + SC_CTHREAD( entry, clk.pos() ); + reset_signal_is(reset_sig,true); + } + + void entry(); +}; -- cgit v1.2.3