From 16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f Mon Sep 17 00:00:00 2001 From: Gabe Black Date: Thu, 24 May 2018 01:37:55 -0700 Subject: systemc: Import tests from the Accellera systemc distribution. Change-Id: Iad76b398949a55d768a34d027a2d8e3739953da6 Reviewed-on: https://gem5-review.googlesource.com/10845 Reviewed-by: Giacomo Travaglini Maintainer: Gabe Black --- .../systemc/misc/synth/inlining/test3/define.h | 54 +++++++++++ .../misc/synth/inlining/test3/golden/test.log | 3 + .../systemc/misc/synth/inlining/test3/main.cpp | 75 +++++++++++++++ .../tests/systemc/misc/synth/inlining/test3/tb.cpp | 48 ++++++++++ .../tests/systemc/misc/synth/inlining/test3/tb.h | 101 ++++++++++++++++++++ .../systemc/misc/synth/inlining/test3/test.cpp | 72 +++++++++++++++ .../tests/systemc/misc/synth/inlining/test3/test.f | 3 + .../tests/systemc/misc/synth/inlining/test3/test.h | 102 +++++++++++++++++++++ 8 files changed, 458 insertions(+) create mode 100644 src/systemc/tests/systemc/misc/synth/inlining/test3/define.h create mode 100644 src/systemc/tests/systemc/misc/synth/inlining/test3/golden/test.log create mode 100644 src/systemc/tests/systemc/misc/synth/inlining/test3/main.cpp create mode 100644 src/systemc/tests/systemc/misc/synth/inlining/test3/tb.cpp create mode 100644 src/systemc/tests/systemc/misc/synth/inlining/test3/tb.h create mode 100644 src/systemc/tests/systemc/misc/synth/inlining/test3/test.cpp create mode 100644 src/systemc/tests/systemc/misc/synth/inlining/test3/test.f create mode 100644 src/systemc/tests/systemc/misc/synth/inlining/test3/test.h (limited to 'src/systemc/tests/systemc/misc/synth/inlining/test3') diff --git a/src/systemc/tests/systemc/misc/synth/inlining/test3/define.h b/src/systemc/tests/systemc/misc/synth/inlining/test3/define.h new file mode 100644 index 000000000..9b4c36e61 --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/inlining/test3/define.h @@ -0,0 +1,54 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + define.h -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#define CLOCK_PERIOD 100 +#define DUTY_CYCLE 0.5 +#define EVENT_TIME 50 +#define TEST_TIME 50 + +#define long_wait wait(5*CLOCK_PERIOD) +#define single_cycle wait() +#define set_value(var,val) wait(EVENT_TIME); var = val; wait(CLOCK_PERIOD - EVENT_TIME) +#define test_value(actual, expected) \ + wait (TEST_TIME); if (expected != actual) \ + cout << "Mismatch. Expected: " << expected \ + << ". Actual: " << actual << endl; \ + wait (CLOCK_PERIOD - TEST_TIME) +#define test_value_now(actual, expected) \ + if (expected != actual) cout << "Mismatch. Expected: " << expected \ + << ". Actual: " << actual << endl; + diff --git a/src/systemc/tests/systemc/misc/synth/inlining/test3/golden/test.log b/src/systemc/tests/systemc/misc/synth/inlining/test3/golden/test.log new file mode 100644 index 000000000..1f4fa7045 --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/inlining/test3/golden/test.log @@ -0,0 +1,3 @@ +SystemC Simulation + +Info: /OSCI/SystemC: Simulation stopped by user. diff --git a/src/systemc/tests/systemc/misc/synth/inlining/test3/main.cpp b/src/systemc/tests/systemc/misc/synth/inlining/test3/main.cpp new file mode 100644 index 000000000..60cc94641 --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/inlining/test3/main.cpp @@ -0,0 +1,75 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + main.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +// Main routine + +#include "systemc.h" +#include "test.h" +#include "tb.h" +#include "define.h" + +int sc_main(int ac, char *av[]) +{ + sc_clock clock("Clock", CLOCK_PERIOD, SC_NS, DUTY_CYCLE, 0, SC_NS); + + sc_signal reset_sig; + + sc_signal i1; + sc_signal i2; + sc_signal i3; + sc_signal i4; + sc_signal i5; + + sc_signal cont1; + sc_signal cont2; + sc_signal cont3; + + sc_signal o1; + sc_signal o2; + sc_signal o3; + sc_signal o4; + sc_signal o5; + + test TEST ("TEST", clock, reset_sig, i1, i2, i3, i4, i5, + cont1, cont2, cont3, o1, o2, o3, o4, o5); + tb TB ("TB", clock, reset_sig, i1, i2, i3, i4, i5, + cont1, cont2, cont3, o1, o2, o3, o4, o5); + + // Simulation Run Control + sc_start(); + return 0; +} diff --git a/src/systemc/tests/systemc/misc/synth/inlining/test3/tb.cpp b/src/systemc/tests/systemc/misc/synth/inlining/test3/tb.cpp new file mode 100644 index 000000000..a412a90f4 --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/inlining/test3/tb.cpp @@ -0,0 +1,48 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + tb.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#include "systemc.h" +#include "tb.h" +#include "define.h" + +void tb::entry() +{ + + sc_stop(); + +} + diff --git a/src/systemc/tests/systemc/misc/synth/inlining/test3/tb.h b/src/systemc/tests/systemc/misc/synth/inlining/test3/tb.h new file mode 100644 index 000000000..5e76fb35d --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/inlining/test3/tb.h @@ -0,0 +1,101 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + tb.h -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +/* Common interface file for test bench + Author: PRP + */ + +SC_MODULE( tb ) +{ + SC_HAS_PROCESS( tb ); + + sc_in_clk clk; + + // Output Reset Port + sc_signal& reset_sig; + + // Output Data Ports + sc_signal& i1; + sc_signal& i2; + sc_signal& i3; + sc_signal& i4; + sc_signal& i5; + + // Output Control Ports + sc_signal& cont1; + sc_signal& cont2; + sc_signal& cont3; + + // Input Data Ports + const sc_signal& o1; + const sc_signal& o2; + const sc_signal& o3; + const sc_signal& o4; + const sc_signal& o5; + + // Constructor + tb ( + sc_module_name NAME, + sc_clock& CLK, + + sc_signal& RESET_SIG, + + sc_signal& I1, + sc_signal& I2, + sc_signal& I3, + sc_signal& I4, + sc_signal& I5, + + sc_signal& CONT1, + sc_signal& CONT2, + sc_signal& CONT3, + + const sc_signal& O1, + const sc_signal& O2, + const sc_signal& O3, + const sc_signal& O4, + const sc_signal& O5) + : reset_sig(RESET_SIG), i1(I1), i2(I2), + i3(I3), i4(I4), i5(I5), cont1 (CONT1), cont2 (CONT2), + cont3 (CONT3), o1(O1), o2(O2), o3(O3), o4(O4), o5(O5) + { + clk(CLK); + SC_CTHREAD( entry, clk.pos() ); + } + + void entry(); +}; diff --git a/src/systemc/tests/systemc/misc/synth/inlining/test3/test.cpp b/src/systemc/tests/systemc/misc/synth/inlining/test3/test.cpp new file mode 100644 index 000000000..b40e892be --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/inlining/test3/test.cpp @@ -0,0 +1,72 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + test.cpp -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + + +// +// Verifies function inlining +// +// Author: PRP +// Date Created: 26 Feb 99 +// + +#include "systemc.h" +#include "define.h" +#include "test.h" + + + +int incr (int x, int y) +{ + if (y > 0) + return y; + if (x > 0) + return x; + else + return x + y; +} + + +void test::entry() +{ + int i; + + wait(); + + i = incr (i1.read(), i2.read()); + o1 = i; +} + diff --git a/src/systemc/tests/systemc/misc/synth/inlining/test3/test.f b/src/systemc/tests/systemc/misc/synth/inlining/test3/test.f new file mode 100644 index 000000000..9cb5d8fb4 --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/inlining/test3/test.f @@ -0,0 +1,3 @@ +test3/test.cpp +test3/tb.cpp +test3/main.cpp diff --git a/src/systemc/tests/systemc/misc/synth/inlining/test3/test.h b/src/systemc/tests/systemc/misc/synth/inlining/test3/test.h new file mode 100644 index 000000000..5596f1f8d --- /dev/null +++ b/src/systemc/tests/systemc/misc/synth/inlining/test3/test.h @@ -0,0 +1,102 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + test.h -- + + Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +/* Common interface file for test cases + Author: PRP + */ + +SC_MODULE( test ) +{ + SC_HAS_PROCESS( test ); + + sc_in_clk clk; + + // Input Reset Port + const sc_signal& reset_sig; + + // Input Data Ports + const sc_signal& i1; + const sc_signal& i2; + const sc_signal& i3; + const sc_signal& i4; + const sc_signal& i5; + + // Input Control Ports + const sc_signal& cont1; + const sc_signal& cont2; + const sc_signal& cont3; + + // Output Data Ports + sc_signal& o1; + sc_signal& o2; + sc_signal& o3; + sc_signal& o4; + sc_signal& o5; + + // Constructor + test ( + sc_module_name NAME, + sc_clock& CLK, + + const sc_signal& RESET_SIG, + + const sc_signal& I1, + const sc_signal& I2, + const sc_signal& I3, + const sc_signal& I4, + const sc_signal& I5, + + const sc_signal& CONT1, + const sc_signal& CONT2, + const sc_signal& CONT3, + + sc_signal& O1, + sc_signal& O2, + sc_signal& O3, + sc_signal& O4, + sc_signal& O5) + : reset_sig(RESET_SIG), i1(I1), i2(I2), + i3(I3), i4(I4), i5(I5), cont1 (CONT1), cont2 (CONT2), + cont3 (CONT3), o1(O1), o2(O2), o3(O3), o4(O4), o5(O5) + { + clk(CLK); + SC_CTHREAD( entry, clk.pos() ); + reset_signal_is(reset_sig,true); + } + + void entry(); +}; -- cgit v1.2.3