From 16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f Mon Sep 17 00:00:00 2001 From: Gabe Black Date: Thu, 24 May 2018 01:37:55 -0700 Subject: systemc: Import tests from the Accellera systemc distribution. Change-Id: Iad76b398949a55d768a34d027a2d8e3739953da6 Reviewed-on: https://gem5-review.googlesource.com/10845 Reviewed-by: Giacomo Travaglini Maintainer: Gabe Black --- src/systemc/tests/systemc/misc/v1.0/dash0/main.cpp | 112 +++++++++++++++++++++ 1 file changed, 112 insertions(+) create mode 100644 src/systemc/tests/systemc/misc/v1.0/dash0/main.cpp (limited to 'src/systemc/tests/systemc/misc/v1.0/dash0/main.cpp') diff --git a/src/systemc/tests/systemc/misc/v1.0/dash0/main.cpp b/src/systemc/tests/systemc/misc/v1.0/dash0/main.cpp new file mode 100644 index 000000000..f31ae8c8a --- /dev/null +++ b/src/systemc/tests/systemc/misc/v1.0/dash0/main.cpp @@ -0,0 +1,112 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + main.cpp -- Main function for the dashboard controller for a + car. This controller contains a speedometer, two odometers (total + and partial distance), a clock, and the pulse generator. The + pulses are generated by the sensors placed around one of the wheel + shafts. The rate of pulse generation is determined by the speed of + the car, which is constant at 120 km/h. The clock represents the + real time. The signals in this program are traced. The simulation + is stopped by the odometers module. + + purpose -- no environment module; multiple modules at one level; + single processes within each module; input, output and clock + ports; internal and external signals; asynchronous function and + thread processes; one clock; tracing. + + Original Author: Ali Dasdan, Synopsys, Inc. + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +// $Log: main.cpp,v $ +// Revision 1.2 2011/01/07 01:20:19 acg +// Andy Goodrich: update for new IEEE 1666. +// +// Revision 1.1.1.1 2006/12/15 20:26:24 acg +// systemc_tests-2.3 +// +// Revision 1.5 2006/01/24 21:05:51 acg +// Andy Goodrich: replacement of deprecated features with their non-deprecated +// counterparts. +// +// Revision 1.4 2006/01/20 00:43:24 acg +// Andy Goodrich: Changed over to use putenv() instead of setenv() to accommodate old versions of Solaris. +// +// Revision 1.3 2006/01/19 00:48:10 acg +// Andy Goodrich: Changes for the fact signal write checking is enabled. +// +// Revision 1.2 2006/01/18 00:23:44 acg +// Change over from SC_NO_WRITE_CHECK to sc_write_check_enable() call. +// + +#define SC_NO_WRITE_CHECK +#include "systemc.h" +#include "const.h" +#include "pulse.h" +#include "speed.h" +#include "dist.h" + +int +sc_main(int argc, char *argv[]) +{ + // Pulses for the speedometer and odometers, generated by the pulse + // generator. + sc_signal speed_pulses("speed_pulses"); + sc_signal dist_pulses("dist_pulses"); + // Clocks. + sc_clock clk1("fast_clk", FAST_CLOCK_PERIOD1, SC_NS, 0.5, 0.0, SC_NS, false); + + gen_pulse_mod gen_pulse("gen_pulse"); + gen_pulse(clk1, speed_pulses, dist_pulses); + + speed_mod speedometer("speedometer"); + speedometer(clk1, speed_pulses); + + dist_mod odometers("odometers"); + odometers(dist_pulses); + + // Tracing: + // Trace file creation. + sc_trace_file *tf = sc_create_vcd_trace_file("dash"); + // External signals. + sc_trace(tf, clk1, "fast_clk"); + sc_trace(tf, speed_pulses, "speed_pulses"); + sc_trace(tf, dist_pulses, "dist_pulses"); + // Internal signals. + sc_trace(tf, speedometer.elapsed_time, "elapsed_time"); + + sc_start(); + + return 0; +} + +// End of file -- cgit v1.2.3