From 16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f Mon Sep 17 00:00:00 2001 From: Gabe Black Date: Thu, 24 May 2018 01:37:55 -0700 Subject: systemc: Import tests from the Accellera systemc distribution. Change-Id: Iad76b398949a55d768a34d027a2d8e3739953da6 Reviewed-on: https://gem5-review.googlesource.com/10845 Reviewed-by: Giacomo Travaglini Maintainer: Gabe Black --- .../wif_trace/test02/golden/test02.awif.cygwin64 | 154 +++++++++++++++++++++ 1 file changed, 154 insertions(+) create mode 100644 src/systemc/tests/systemc/tracing/wif_trace/test02/golden/test02.awif.cygwin64 (limited to 'src/systemc/tests/systemc/tracing/wif_trace/test02/golden/test02.awif.cygwin64') diff --git a/src/systemc/tests/systemc/tracing/wif_trace/test02/golden/test02.awif.cygwin64 b/src/systemc/tests/systemc/tracing/wif_trace/test02/golden/test02.awif.cygwin64 new file mode 100644 index 000000000..e6673ef1e --- /dev/null +++ b/src/systemc/tests/systemc/tracing/wif_trace/test02/golden/test02.awif.cygwin64 @@ -0,0 +1,154 @@ + +type scalar "BIT" enum '0', '1' ; +type scalar "MVL" enum '0', '1', 'X', 'Z', '?' ; + +declare O0 "Char" BIT 0 7 variable ; +start_trace O0 ; +declare O1 "Short" BIT 0 15 variable ; +start_trace O1 ; +declare O2 "Int" BIT 0 31 variable ; +start_trace O2 ; +declare O3 "Long" BIT 0 63 variable ; +start_trace O3 ; +declare O4 "Clock" BIT variable ; +start_trace O4 ; +comment "All initial values are dumped below at time 0 sec = 0 timescale units." ; +assign O0 "00000111" ; +assign O1 "0000000000011111" ; +assign O2 "00000000000000000000001111111111" ; +assign O3 "0000000000000000000000000000000000000000000000000000011111111111" ; +assign O4 '1' ; + +delta_time 10000 ; +assign O0 "00000001" ; +assign O1 "0000000000100000" ; +assign O2 "00000000000000000000010000000000" ; +assign O3 "0000000000000000000000000000000000000000000000000000100000000000" ; +assign O4 '0' ; + +delta_time 10000 ; +assign O0 "00000111" ; +assign O1 "0000000000011111" ; +assign O2 "00000000000000000000001111111111" ; +assign O3 "0000000000000000000000000000000000000000000000000000011111111111" ; +assign O4 '1' ; + +delta_time 10000 ; +assign O0 "00000001" ; +assign O1 "0000000000100000" ; +assign O2 "00000000000000000000010000000000" ; +assign O3 "0000000000000000000000000000000000000000000000000000100000000000" ; +assign O4 '0' ; + +delta_time 10000 ; +assign O0 "00000111" ; +assign O1 "0000000000011111" ; +assign O2 "00000000000000000000001111111111" ; +assign O3 "0000000000000000000000000000000000000000000000000000011111111111" ; +assign O4 '1' ; + +delta_time 10000 ; +assign O0 "00000001" ; +assign O1 "0000000000100000" ; +assign O2 "00000000000000000000010000000000" ; +assign O3 "0000000000000000000000000000000000000000000000000000100000000000" ; +assign O4 '0' ; + +delta_time 10000 ; +assign O0 "00000111" ; +assign O1 "0000000000011111" ; +assign O2 "00000000000000000000001111111111" ; +assign O3 "0000000000000000000000000000000000000000000000000000011111111111" ; +assign O4 '1' ; + +delta_time 10000 ; +assign O0 "00000001" ; +assign O1 "0000000000100000" ; +assign O2 "00000000000000000000010000000000" ; +assign O3 "0000000000000000000000000000000000000000000000000000100000000000" ; +assign O4 '0' ; + +delta_time 10000 ; +assign O0 "00000111" ; +assign O1 "0000000000011111" ; +assign O2 "00000000000000000000001111111111" ; +assign O3 "0000000000000000000000000000000000000000000000000000011111111111" ; +assign O4 '1' ; + +delta_time 10000 ; +assign O0 "00000001" ; +assign O1 "0000000000100000" ; +assign O2 "00000000000000000000010000000000" ; +assign O3 "0000000000000000000000000000000000000000000000000000100000000000" ; +assign O4 '0' ; + +delta_time 10000 ; +assign O0 "00000111" ; +assign O1 "0000000000011111" ; +assign O2 "00000000000000000000001111111111" ; +assign O3 "0000000000000000000000000000000000000000000000000000011111111111" ; +assign O4 '1' ; + +delta_time 10000 ; +assign O0 "00000001" ; +assign O1 "0000000000100000" ; +assign O2 "00000000000000000000010000000000" ; +assign O3 "0000000000000000000000000000000000000000000000000000100000000000" ; +assign O4 '0' ; + +delta_time 10000 ; +assign O0 "00000111" ; +assign O1 "0000000000011111" ; +assign O2 "00000000000000000000001111111111" ; +assign O3 "0000000000000000000000000000000000000000000000000000011111111111" ; +assign O4 '1' ; + +delta_time 10000 ; +assign O0 "00000001" ; +assign O1 "0000000000100000" ; +assign O2 "00000000000000000000010000000000" ; +assign O3 "0000000000000000000000000000000000000000000000000000100000000000" ; +assign O4 '0' ; + +delta_time 10000 ; +assign O0 "00000111" ; +assign O1 "0000000000011111" ; +assign O2 "00000000000000000000001111111111" ; +assign O3 "0000000000000000000000000000000000000000000000000000011111111111" ; +assign O4 '1' ; + +delta_time 10000 ; +assign O0 "00000001" ; +assign O1 "0000000000100000" ; +assign O2 "00000000000000000000010000000000" ; +assign O3 "0000000000000000000000000000000000000000000000000000100000000000" ; +assign O4 '0' ; + +delta_time 10000 ; +assign O0 "00000111" ; +assign O1 "0000000000011111" ; +assign O2 "00000000000000000000001111111111" ; +assign O3 "0000000000000000000000000000000000000000000000000000011111111111" ; +assign O4 '1' ; + +delta_time 10000 ; +assign O0 "00000001" ; +assign O1 "0000000000100000" ; +assign O2 "00000000000000000000010000000000" ; +assign O3 "0000000000000000000000000000000000000000000000000000100000000000" ; +assign O4 '0' ; + +delta_time 10000 ; +assign O0 "00000111" ; +assign O1 "0000000000011111" ; +assign O2 "00000000000000000000001111111111" ; +assign O3 "0000000000000000000000000000000000000000000000000000011111111111" ; +assign O4 '1' ; + +delta_time 10000 ; +assign O0 "00000001" ; +assign O1 "0000000000100000" ; +assign O2 "00000000000000000000010000000000" ; +assign O3 "0000000000000000000000000000000000000000000000000000100000000000" ; +assign O4 '0' ; + -- cgit v1.2.3