From 16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f Mon Sep 17 00:00:00 2001 From: Gabe Black Date: Thu, 24 May 2018 01:37:55 -0700 Subject: systemc: Import tests from the Accellera systemc distribution. Change-Id: Iad76b398949a55d768a34d027a2d8e3739953da6 Reviewed-on: https://gem5-review.googlesource.com/10845 Reviewed-by: Giacomo Travaglini Maintainer: Gabe Black --- .../tracing/wif_trace/test12/golden/test12.awif | 132 +++++++++++++++++++++ 1 file changed, 132 insertions(+) create mode 100644 src/systemc/tests/systemc/tracing/wif_trace/test12/golden/test12.awif (limited to 'src/systemc/tests/systemc/tracing/wif_trace/test12/golden') diff --git a/src/systemc/tests/systemc/tracing/wif_trace/test12/golden/test12.awif b/src/systemc/tests/systemc/tracing/wif_trace/test12/golden/test12.awif new file mode 100644 index 000000000..aadce2805 --- /dev/null +++ b/src/systemc/tests/systemc/tracing/wif_trace/test12/golden/test12.awif @@ -0,0 +1,132 @@ + +type scalar "BIT" enum '0', '1' ; +type scalar "MVL" enum '0', '1', 'X', 'Z', '?' ; + +declare O0 "Signed" BIT 0 9 variable ; +start_trace O0 ; +declare O1 "Unsigned" BIT 0 9 variable ; +start_trace O1 ; +declare O2 "BV" BIT 0 9 variable ; +start_trace O2 ; +declare O3 "SV" BIT 0 9 variable ; +start_trace O3 ; +comment "All initial values are dumped below at time 0 sec = 0 timescale units." ; +assign O0 "0000000011" ; +assign O1 "0000000111" ; +assign O2 "0000000011" ; +assign O3 "0000000111" ; + +delta_time 10000 ; +assign O0 "1111111101" ; +assign O1 "0000000101" ; +assign O2 "1111111101" ; +assign O3 "0000000101" ; + +delta_time 10000 ; +assign O0 "0000000011" ; +assign O1 "0000000111" ; +assign O2 "0000000011" ; +assign O3 "0000000111" ; + +delta_time 10000 ; +assign O0 "1111111101" ; +assign O1 "0000000101" ; +assign O2 "1111111101" ; +assign O3 "0000000101" ; + +delta_time 10000 ; +assign O0 "0000000011" ; +assign O1 "0000000111" ; +assign O2 "0000000011" ; +assign O3 "0000000111" ; + +delta_time 10000 ; +assign O0 "1111111101" ; +assign O1 "0000000101" ; +assign O2 "1111111101" ; +assign O3 "0000000101" ; + +delta_time 10000 ; +assign O0 "0000000011" ; +assign O1 "0000000111" ; +assign O2 "0000000011" ; +assign O3 "0000000111" ; + +delta_time 10000 ; +assign O0 "1111111101" ; +assign O1 "0000000101" ; +assign O2 "1111111101" ; +assign O3 "0000000101" ; + +delta_time 10000 ; +assign O0 "0000000011" ; +assign O1 "0000000111" ; +assign O2 "0000000011" ; +assign O3 "0000000111" ; + +delta_time 10000 ; +assign O0 "1111111101" ; +assign O1 "0000000101" ; +assign O2 "1111111101" ; +assign O3 "0000000101" ; + +delta_time 10000 ; +assign O0 "0000000011" ; +assign O1 "0000000111" ; +assign O2 "0000000011" ; +assign O3 "0000000111" ; + +delta_time 10000 ; +assign O0 "1111111101" ; +assign O1 "0000000101" ; +assign O2 "1111111101" ; +assign O3 "0000000101" ; + +delta_time 10000 ; +assign O0 "0000000011" ; +assign O1 "0000000111" ; +assign O2 "0000000011" ; +assign O3 "0000000111" ; + +delta_time 10000 ; +assign O0 "1111111101" ; +assign O1 "0000000101" ; +assign O2 "1111111101" ; +assign O3 "0000000101" ; + +delta_time 10000 ; +assign O0 "0000000011" ; +assign O1 "0000000111" ; +assign O2 "0000000011" ; +assign O3 "0000000111" ; + +delta_time 10000 ; +assign O0 "1111111101" ; +assign O1 "0000000101" ; +assign O2 "1111111101" ; +assign O3 "0000000101" ; + +delta_time 10000 ; +assign O0 "0000000011" ; +assign O1 "0000000111" ; +assign O2 "0000000011" ; +assign O3 "0000000111" ; + +delta_time 10000 ; +assign O0 "1111111101" ; +assign O1 "0000000101" ; +assign O2 "1111111101" ; +assign O3 "0000000101" ; + +delta_time 10000 ; +assign O0 "0000000011" ; +assign O1 "0000000111" ; +assign O2 "0000000011" ; +assign O3 "0000000111" ; + +delta_time 10000 ; +assign O0 "1111111101" ; +assign O1 "0000000101" ; +assign O2 "1111111101" ; +assign O3 "0000000101" ; + -- cgit v1.2.3