From 04d7c4e71047fe830e4477768b8bc19b60b513b9 Mon Sep 17 00:00:00 2001 From: Gabe Black Date: Fri, 27 Jul 2018 23:01:55 -0700 Subject: systemc: Remove some junk test reference files. These files have truncated names, and are identical to correct versions of the log files. Change-Id: I1e5e3c8c489d41dea21f62b4664b05bf6a742117 Reviewed-on: https://gem5-review.googlesource.com/12058 Reviewed-by: Gabe Black Maintainer: Gabe Black --- .../ch9/std_ulogic_datatype/golden/std_ulogic_da | 106 ----------- .../ch9/std_ulogic_vector_datatype/golden/std_ul | 203 --------------------- .../input_char_sc_signed/golden/input_char_s | 2 - 3 files changed, 311 deletions(-) delete mode 100644 src/systemc/tests/systemc/misc/unit/data/user_guide/ch9/std_ulogic_datatype/golden/std_ulogic_da delete mode 100644 src/systemc/tests/systemc/misc/unit/data/user_guide/ch9/std_ulogic_vector_datatype/golden/std_ul delete mode 100644 src/systemc/tests/systemc/misc/unit/methodology/file_io/input_char_sc_signed/golden/input_char_s (limited to 'src') diff --git a/src/systemc/tests/systemc/misc/unit/data/user_guide/ch9/std_ulogic_datatype/golden/std_ulogic_da b/src/systemc/tests/systemc/misc/unit/data/user_guide/ch9/std_ulogic_datatype/golden/std_ulogic_da deleted file mode 100644 index 989b39e58..000000000 --- a/src/systemc/tests/systemc/misc/unit/data/user_guide/ch9/std_ulogic_datatype/golden/std_ulogic_da +++ /dev/null @@ -1,106 +0,0 @@ -SystemC Simulation - -std_ulogic <= std_ulogic -------------------------------------------- -UNINITIALIZED = X 'U' -UNKNOWN = X 'X' -ZERO = 0 '0' -ONE = 1 '1' -TRISTATE = Z 'Z' -WEAK-UNKNOWN = X 'W' -WEAK-ZERO = X 'L' -WEAK-ONE = X 'H' -DONT-CARE = X '-' -TRUE = 1 true -FALSE = 0 false - -std_ulogic <= literals -------------------------- -A = 1 true -B = 0 false -C = 1 1 -D = 0 0 - -std_ulogic <= bool ---------------------- -TRUE = 1 1 -FALSE = 0 0 -1 = 1 1 -0 = 0 0 - -std_ulogic <= char ---------------------- -SC1 = X 'U' -SC2 = X 'u' -SC3 = X 'X' -SC4 = X 'x' -SC5 = 0 '0' -SC6 = 1 '1' -SC7 = Z 'Z' -SC8 = Z 'z' -SC9 = X 'W' -SC10 = X 'w' -SC11 = X 'L' -SC12 = X 'l' -SC13 = X 'H' -SC14 = X 'h' -SC15 = X '-' -SC16 = X 'D' -SC17 = X 'd' -SC18 = X 'B' -SC19 = X 'F' - -op1 operator op2 result [All operands are std_ulogic] ----------------------------------------------------------------- -1 &= 1 = 1 -1 ^= 1 = 0 -1 |= 1 = 1 -~(1) = 0 -1 & 1 = 1 -1 ^ 1 = 0 -1 | 1 = 1 -1 == 1 -> true -1 != 1 -> false -0 = 0 - -+-------------------------+ -| AND (&) | X | 0 | 1 | Z | -+-------------------------+ -| X | X | 0 | X | X | -+-------------------------+ -| 0 | 0 | 0 | 0 | 0 | -+-------------------------+ -| 1 | X | 0 | 1 | X | -+-------------------------+ -| Z | X | 0 | X | X | -+-------------------------+ - -+-------------------------+ -| OR (|) | X | 0 | 1 | Z | -+-------------------------+ -| X | X | X | 1 | X | -+-------------------------+ -| 0 | X | 0 | 1 | X | -+-------------------------+ -| 1 | 1 | 1 | 1 | 1 | -+-------------------------+ -| Z | X | X | 1 | X | -+-------------------------+ - -+-------------------------+ -| XOR (^) | X | 0 | 1 | Z | -+-------------------------+ -| X | X | X | X | X | -+-------------------------+ -| 0 | X | 0 | 1 | X | -+-------------------------+ -| 1 | X | 1 | 0 | X | -+-------------------------+ -| Z | X | X | X | X | -+-------------------------+ - -+-------------------------+ -| NOT (~) | X | 0 | 1 | Z | -+-------------------------+ -| | X | 1 | 0 | X | -+-------------------------+ diff --git a/src/systemc/tests/systemc/misc/unit/data/user_guide/ch9/std_ulogic_vector_datatype/golden/std_ul b/src/systemc/tests/systemc/misc/unit/data/user_guide/ch9/std_ulogic_vector_datatype/golden/std_ul deleted file mode 100644 index 7947cc42e..000000000 --- a/src/systemc/tests/systemc/misc/unit/data/user_guide/ch9/std_ulogic_vector_datatype/golden/std_ul +++ /dev/null @@ -1,203 +0,0 @@ -SystemC Simulation - -INTEGER SIZE = 4 bytes -SHORT INTEGER SIZE = 2 bytes -LONG INTEGER SIZE = 4 bytes -UNSIGNED LONG SIZE = 4 bytes -SIGNED LONG SIZE = 4 bytes - -std_ulogic_vector <= C++ string -------------------------------------------- -A = 01XZXXXXX "01XZUWLH-" -B = XX0XX1XXX "ZZ1XX0UU1WWW" -BIG = 11110000111100001111000011110000111100001111000011110000111100001111 - "11110000111100001111000011110000111100001111000011110000111100001111" -HUGE = 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111000011110000111100001111000011110000111100001111000011110000 - 1111 - -std_ulogic_vector <= std_ulogic_vector --------------------------------------------------- -C = XX0XX1XXX ZZ1XX0XX1XXX -BIG2 = 11110000111100001111000011110000111100001111000011110000111100001111 - "11110000111100001111000011110000111100001111000011110000111100001111" - -std_ulogic_vector <= C++ array of bool --------------------------------------------------- -D = XXXXZX10X -, L, H, W, Z, X, 1, 0, U -E = 10011XXXX X, X, 1, 1, 0, 0, 1, 1, X, X, U, U -BIG3 = 11110000111100001111000011110000111100001111000011110000111100001111 - "11110000111100001111000011110000111100001111000011110000111100001111 - 000011110000" - -std_ulogic_vector <= bool_vector --------------------------------------------- -F = 1010 "1010" - -std_ulogic_vector <= unsigned long ----------------------------------------------- -H = 1001 ...10001001 (137) -I = 00000000000000000000000010001001 ...10001001 (137) -J = 0000000000000000000000000000000010001001 ...10001001 (137) - -std_ulogic_vector <= sc_unsigned --------------------------------------------- -K = 0011 11 (3) -L = 1101 1101 (13) -M = 1001 10001001 (137) - -std_ulogic_vector <= signed long --------------------------------------------- -N = 01001 ...010001001 (137) -O = 00000000000000000000000010001001 ...010001001 (137) -P = 0000000000000000000000000000000010001001 ...010001001 (137) -Q = 10111 ...101110111 (-137) -R = 11111111111111111111111101110111 ...101110111 (-137) -S = 1111111111111111111111111111111101110111 ...101110111 (-137) - -std_ulogic_vector <= sc_signed ------------------------------------------- -T = 00011 011 (3) -U = 01101 01101 (13) -V = 01001 010001001 (137) -W = 11101 101 (-3) -X = 10011 10011 (-13) -Y = 10111 101110111 (-137) - -std_ulogic_vector <= to_uint() ------------------------------------------------------------------ -TU1 = 1001 9 -TU2 = 10000000000000000000000000000001 2147483649 -TU3 = 0000000110000000000000000000000000000001 2147483649 -TU4 = 1101 1 (01) -TU4 = 1101 13 (1101) -TU4 = 1101 13 (00001101) - -std_ulogic_vector <= to_int() ------------------------------------------------------------------ -TS1 = 1001 -7 -TS2 = 11111111111111111111101111111001 -1031 -TS3 = 0000000111111111111111111111101111111001 -1031 -TS4 = 11001 1 (001) -TS4 = 11001 -7 (11001) -TS4 = 11001 -7 (111111001) - -std_ulogic_vector <= Typecast sc_unsigned ------------------------------------------------------------------ -TCU1 = 1101 1 (01) -TCU1 = 1101 13 (1101) -TCU1 = 1101 13 (00001101) - -std_ulogic_vector <= Typecast sc_signed ------------------------------------------------------------------ -TCS1 = 11001 1 (001) -TCS1 = 11001 -7 (11001) -TCS1 = 11001 25 (000011001) - -std_ulogic_vector <= to_string() --------------------------------------------- -TSTR = XXZ01XXXX XXZ01XXXX - -range() tests ------------------------------------------------------------------ -INITIAL 4-BIT 1000 -INITIAL 9-BIT XXZ01XXXX - -LVALUE RISE 1 0 0 0 -LVALUE FALL 0 0 0 1 -LVALUE SUB RISE 0 1 0 0 -LVALUE SUB FALL X X X X 1 0 Z X X -LVALUE BIT 1 1 0 1 - -RVALUE RISE 1 0 0 0 -RVALUE FALL 0 0 0 1 -RVALUE SUB FALL X X X X 1 0 Z X X -RVALUE SUB RISE 1 0 0 0 -RVALUE BIT [] 1 0 1 1 -RVALUE BIT 0 0 1 0 - -op1 operator op2 result [All operands are std_ulogic_vector] ----------------------------------------------------------------- -1010 &= 1000 = 1000 -1010 ^= 1000 = 0010 -1010 |= 1000 = 1010 -~(1010) = 0101 -1010 & 1000 = 1000 -1010 ^ 1000 = 0010 -1010 | 1000 = 1010 - -1010 &= 111011 = 1010 -1010 ^= 111011 = 0001 -1010 |= 111011 = 1011 -1010 & 111011 = 1010 -1010 ^ 111011 = 0001 -1010 | 111011 = 1011 - -1010 and_reduce() = 0 -1010 or_reduce() = 1 -1010 xor_reduce() = 0 - -1010 == 1000 -> false -1010 != 1000 -> true - -1111 = 1111 - -+-------------------------+ -| AND (&) | X | 0 | 1 | Z | -+-------------------------+ -| X | X | 0 | X | X | -+-------------------------+ -| 0 | 0 | 0 | 0 | 0 | -+-------------------------+ -| 1 | X | 0 | 1 | X | -+-------------------------+ -| Z | X | 0 | X | X | -+-------------------------+ - -+-------------------------+ -| OR (|) | X | 0 | 1 | Z | -+-------------------------+ -| X | X | X | 1 | X | -+-------------------------+ -| 0 | X | 0 | 1 | X | -+-------------------------+ -| 1 | 1 | 1 | 1 | 1 | -+-------------------------+ -| Z | X | X | 1 | X | -+-------------------------+ - -+-------------------------+ -| XOR (^) | X | 0 | 1 | Z | -+-------------------------+ -| X | X | X | X | X | -+-------------------------+ -| 0 | X | 0 | 1 | X | -+-------------------------+ -| 1 | X | 1 | 0 | X | -+-------------------------+ -| Z | X | X | X | X | -+-------------------------+ - -+-------------------------+ -| NOT (~) | X | 0 | 1 | Z | -+-------------------------+ -| | X | 1 | 0 | X | -+-------------------------+ diff --git a/src/systemc/tests/systemc/misc/unit/methodology/file_io/input_char_sc_signed/golden/input_char_s b/src/systemc/tests/systemc/misc/unit/methodology/file_io/input_char_sc_signed/golden/input_char_s deleted file mode 100644 index e6a7910b1..000000000 --- a/src/systemc/tests/systemc/misc/unit/methodology/file_io/input_char_sc_signed/golden/input_char_s +++ /dev/null @@ -1,2 +0,0 @@ -SystemC Simulation -SAMPLE DATA = 12 -- cgit v1.2.3