From 0aaa7d10d8ce4bc004de29526930305414295b4a Mon Sep 17 00:00:00 2001 From: Nilay Vaish Date: Fri, 23 May 2014 06:07:02 -0500 Subject: stats: changes due to o3 cpu and ruby message buffer patches --- .../linux/simple-timing-ruby-MESI_Two_Level/config.ini | 17 +++-------------- .../linux/simple-timing-ruby-MESI_Two_Level/stats.txt | 12 ++++++------ 2 files changed, 9 insertions(+), 20 deletions(-) (limited to 'tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_Two_Level') diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_Two_Level/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_Two_Level/config.ini index 3f8bf1cf2..27b1ed20b 100644 --- a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_Two_Level/config.ini +++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_Two_Level/config.ini @@ -10,7 +10,7 @@ time_sync_spin_threshold=100000 [system] type=System -children=clk_domain cpu physmem piobus ruby sys_port_proxy voltage_domain +children=clk_domain cpu physmem ruby sys_port_proxy voltage_domain boot_osflags=a cache_line_size=64 clk_domain=system.clk_domain @@ -65,6 +65,7 @@ numThreads=1 profile=0 progress_interval=0 simpoint_start_insts= +socket_id=0 switched_out=false system=system tracer=system.cpu.tracer @@ -133,16 +134,6 @@ latency_var=0 null=true range=0:134217727 -[system.piobus] -type=NoncoherentBus -clk_domain=system.clk_domain -eventq_index=0 -header_cycles=1 -use_default_range=false -width=8 -master=system.ruby.l1_cntrl0.sequencer.pio_slave_port -slave=system.ruby.l1_cntrl0.sequencer.pio_master_port system.ruby.l1_cntrl0.sequencer.mem_master_port - [system.ruby] type=RubySystem children=clk_domain dir_cntrl0 l1_cntrl0 l2_cntrl0 memctrl_clk_domain network @@ -294,9 +285,6 @@ system=system using_network_tester=false using_ruby_tester=false version=0 -mem_master_port=system.piobus.slave[1] -pio_master_port=system.piobus.slave[0] -pio_slave_port=system.piobus.master[0] slave=system.cpu.icache_port system.cpu.dcache_port [system.ruby.l2_cntrl0] @@ -349,6 +337,7 @@ endpoint_bandwidth=1000 eventq_index=0 ext_links=system.ruby.network.ext_links0 system.ruby.network.ext_links1 system.ruby.network.ext_links2 int_links=system.ruby.network.int_links0 system.ruby.network.int_links1 system.ruby.network.int_links2 +netifs= number_of_virtual_networks=10 routers=system.ruby.network.routers0 system.ruby.network.routers1 system.ruby.network.routers2 system.ruby.network.routers3 ruby_system=system.ruby diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_Two_Level/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_Two_Level/stats.txt index 351b1338b..088293227 100644 --- a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_Two_Level/stats.txt +++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_Two_Level/stats.txt @@ -17,9 +17,9 @@ system.ruby.clk_domain.clock 1 # Cl system.ruby.delayHist::bucket_size 1 # delay histogram for all message system.ruby.delayHist::max_bucket 9 # delay histogram for all message system.ruby.delayHist::samples 9645 # delay histogram for all message -system.ruby.delayHist::mean 0.060135 # delay histogram for all message -system.ruby.delayHist::stdev 0.486773 # delay histogram for all message -system.ruby.delayHist | 9500 98.50% 98.50% | 0 0.00% 98.50% | 0 0.00% 98.50% | 0 0.00% 98.50% | 145 1.50% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% # delay histogram for all message +system.ruby.delayHist::mean 0.120270 # delay histogram for all message +system.ruby.delayHist::stdev 0.973545 # delay histogram for all message +system.ruby.delayHist | 9500 98.50% 98.50% | 0 0.00% 98.50% | 0 0.00% 98.50% | 0 0.00% 98.50% | 0 0.00% 98.50% | 0 0.00% 98.50% | 0 0.00% 98.50% | 0 0.00% 98.50% | 145 1.50% 100.00% | 0 0.00% 100.00% # delay histogram for all message system.ruby.delayHist::total 9645 # delay histogram for all message system.ruby.outstanding_req_hist::bucket_size 1 system.ruby.outstanding_req_hist::max_bucket 9 @@ -333,9 +333,9 @@ system.ruby.network.routers3.throttle2.msg_bytes.Response_Control::1 940 system.ruby.delayVCHist.vnet_0::bucket_size 1 # delay histogram for vnet_0 system.ruby.delayVCHist.vnet_0::max_bucket 9 # delay histogram for vnet_0 system.ruby.delayVCHist.vnet_0::samples 2725 # delay histogram for vnet_0 -system.ruby.delayVCHist.vnet_0::mean 0.212844 # delay histogram for vnet_0 -system.ruby.delayVCHist.vnet_0::stdev 0.897981 # delay histogram for vnet_0 -system.ruby.delayVCHist.vnet_0 | 2580 94.68% 94.68% | 0 0.00% 94.68% | 0 0.00% 94.68% | 0 0.00% 94.68% | 145 5.32% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% # delay histogram for vnet_0 +system.ruby.delayVCHist.vnet_0::mean 0.425688 # delay histogram for vnet_0 +system.ruby.delayVCHist.vnet_0::stdev 1.795962 # delay histogram for vnet_0 +system.ruby.delayVCHist.vnet_0 | 2580 94.68% 94.68% | 0 0.00% 94.68% | 0 0.00% 94.68% | 0 0.00% 94.68% | 0 0.00% 94.68% | 0 0.00% 94.68% | 0 0.00% 94.68% | 0 0.00% 94.68% | 145 5.32% 100.00% | 0 0.00% 100.00% # delay histogram for vnet_0 system.ruby.delayVCHist.vnet_0::total 2725 # delay histogram for vnet_0 system.ruby.delayVCHist.vnet_1::bucket_size 1 # delay histogram for vnet_1 system.ruby.delayVCHist.vnet_1::max_bucket 9 # delay histogram for vnet_1 -- cgit v1.2.3