SystemC Simulation Error: (E115) sc_signal cannot have more than one driver: signal `signal_0' (sc_signal) first driver `a.port_2' (sc_out) second driver `a.port_1' (sc_out) In file: