SystemC Simulation Stimuli : 0 0 at 23 ns Display : 3 00011 at 27 ns Stimuli : 4 4 at 44 ns Display : 7 00111 at 48 ns Stimuli : 8 8 at 65 ns Display : 11 01011 at 69 ns Stimuli : 12 12 at 86 ns Display : 15 01111 at 90 ns Stimuli : 16 -16 at 107 ns Display : 19 10011 at 111 ns Stimuli : 20 -12 at 128 ns Display : 23 10111 at 132 ns Stimuli : 24 -8 at 149 ns Display : 27 11011 at 153 ns Stimuli : 28 -4 at 170 ns Display : 31 11111 at 174 ns Stimuli : 32 0 at 191 ns Display : 35 00011 at 195 ns Stimuli : 36 4 at 212 ns Display : 39 00111 at 216 ns Stimuli : 40 8 at 233 ns Display : 43 01011 at 237 ns Stimuli : 44 12 at 254 ns Display : 47 01111 at 258 ns Stimuli : 48 -16 at 275 ns Display : 51 10011 at 279 ns Stimuli : 52 -12 at 296 ns Display : 55 10111 at 300 ns Stimuli : 56 -8 at 317 ns Display : 59 11011 at 321 ns Stimuli : 60 -4 at 338 ns Display : 63 11111 at 342 ns Stimuli : 64 0 at 359 ns Display : 67 00011 at 363 ns Stimuli : 68 4 at 380 ns Display : 71 00111 at 384 ns Stimuli : 72 8 at 401 ns Display : 75 01011 at 405 ns Stimuli : 76 12 at 422 ns Display : 79 01111 at 426 ns Stimuli : 80 -16 at 443 ns Display : 83 10011 at 447 ns Stimuli : 84 -12 at 464 ns Display : 87 10111 at 468 ns Stimuli : 88 -8 at 485 ns Display : 91 11011 at 489 ns Stimuli : 92 -4 at 506 ns Display : 95 11111 at 510 ns Info: /OSCI/SystemC: Simulation stopped by user.