SystemC Simulation Stimuli : 0 0 0 0 0 at 23 ns Display : 1010 001010 001010 0001010 00001010 at 27 ns Display : 1011 001011 001011 0010100 00001011 at 30 ns Stimuli : 1 1 1 1 1 at 44 ns Display : 1011 001011 001011 0001011 00001011 at 48 ns Display : 1100 001100 001100 0010101 00001100 at 51 ns Stimuli : 2 2 2 2 2 at 65 ns Display : 1100 001100 001100 0001100 00001100 at 69 ns Display : 1101 001101 001101 0010110 00001101 at 72 ns Stimuli : 3 3 3 3 3 at 86 ns Display : 1101 001101 001101 0001101 00001101 at 90 ns Display : 1110 001110 001110 0010111 00001110 at 93 ns Stimuli : 4 4 4 4 4 at 107 ns Display : 1110 001110 001110 0001110 00001110 at 111 ns Display : 1111 001111 001111 0011000 00001111 at 114 ns Stimuli : 5 5 5 5 5 at 128 ns Display : 1111 001111 001111 0001111 00001111 at 132 ns Display : 0000 010000 010000 0011001 00010000 at 135 ns Stimuli : 6 6 6 6 6 at 149 ns Display : 0000 010000 010000 0010000 00010000 at 153 ns Display : 0001 010001 010001 0011010 00010001 at 156 ns Stimuli : 7 7 7 7 7 at 170 ns Display : 0001 010001 010001 0010001 00010001 at 174 ns Display : 0010 010010 010010 0011011 00010010 at 177 ns Stimuli : -8 8 8 8 8 at 191 ns Display : 0010 010010 010010 0010010 00010010 at 195 ns Display : 0011 010011 010011 0011100 00010011 at 198 ns Stimuli : -7 9 9 9 9 at 212 ns Display : 0011 010011 010011 0010011 00010011 at 216 ns Display : 0100 010100 010100 0011101 00010100 at 219 ns Stimuli : -6 10 10 10 10 at 233 ns Display : 0100 010100 010100 0010100 00010100 at 237 ns Display : 0101 010101 010101 0011110 00010101 at 240 ns Stimuli : -5 11 11 11 11 at 254 ns Display : 0101 010101 010101 0010101 00010101 at 258 ns Display : 0110 010110 010110 0011111 00010110 at 261 ns Info: /OSCI/SystemC: Simulation stopped by user.