/***************************************************************************** Licensed to Accellera Systems Initiative Inc. (Accellera) under one or more contributor license agreements. See the NOTICE file distributed with this work for additional information regarding copyright ownership. Accellera licenses this file to you under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License for the specific language governing permissions and limitations under the License. *****************************************************************************/ /***************************************************************************** mean.h -- Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15 *****************************************************************************/ /***************************************************************************** MODIFICATION LOG - modifiers, enter your name, affiliation, date and changes you are making here. Name, Affiliation, Date: Description of Modification: *****************************************************************************/ /* Filename mean.h */ /* This is the interface file for synchronous process `mean' */ #include "systemc.h" typedef sc_signal > sc_signal_bool_vector; SC_MODULE( mean ) { SC_HAS_PROCESS( mean ); sc_in_clk clk; const sc_signal_bool_vector& in; //input sc_signal_bool_vector& out; //output const sc_signal& input_available; //input sc_signal& send_data; //output sc_signal& output_ready; //output const sc_signal& receiver_ready; //input //Constructor mean(sc_module_name NAME, sc_clock& CLK, const sc_signal_bool_vector& IN_, sc_signal_bool_vector& OUT_, const sc_signal& INPUT_AVAILABLE, sc_signal& SEND_DATA, sc_signal& OUTPUT_READY, const sc_signal& RECEIVER_READY) : in(IN_), out(OUT_), receiver_ready(RECEIVER_READY), send_data(SEND_DATA), input_available(INPUT_AVAILABLE), output_ready(OUTPUT_READY) { clk(CLK); SC_CTHREAD( entry, clk.pos() ); } // Process functionality in member function below void entry(); };