$timescale 1 ps $end $scope module SystemC $end $var wire 8 aaaaa Char [7:0] $end $var wire 16 aaaab Short [15:0] $end $var wire 32 aaaac Int [31:0] $end $var wire 64 aaaad Long [63:0] $end $var wire 64 aaaae Int64 [63:0] $end $var wire 1 aaaaf Clock $end $upscope $end $enddefinitions $end $comment All initial values are dumped below at time 0 sec = 0 timescale units. $end $dumpvars b111 aaaaa b11111 aaaab b11111111111111111111110000000001 aaaac b11111111111 aaaad b1111111111111111111111111111111111111111 aaaae 1aaaaf $end #10000 b1 aaaaa b1111111111111110 aaaab b10000000000 aaaac b1111111111111111111111111111111111111111111111111111100000000000 aaaad b1111111111111111111111110000000000000000000000000000000000000000 aaaae 0aaaaf #20000 b111 aaaaa b11111 aaaab b11111111111111111111110000000001 aaaac b11111111111 aaaad b1111111111111111111111111111111111111111 aaaae 1aaaaf #30000 b1 aaaaa b1111111111111110 aaaab b10000000000 aaaac b1111111111111111111111111111111111111111111111111111100000000000 aaaad b1111111111111111111111110000000000000000000000000000000000000000 aaaae 0aaaaf #40000 b111 aaaaa b11111 aaaab b11111111111111111111110000000001 aaaac b11111111111 aaaad b1111111111111111111111111111111111111111 aaaae 1aaaaf #50000 b1 aaaaa b1111111111111110 aaaab b10000000000 aaaac b1111111111111111111111111111111111111111111111111111100000000000 aaaad b1111111111111111111111110000000000000000000000000000000000000000 aaaae 0aaaaf #60000 b111 aaaaa b11111 aaaab b11111111111111111111110000000001 aaaac b11111111111 aaaad b1111111111111111111111111111111111111111 aaaae 1aaaaf #70000 b1 aaaaa b1111111111111110 aaaab b10000000000 aaaac b1111111111111111111111111111111111111111111111111111100000000000 aaaad b1111111111111111111111110000000000000000000000000000000000000000 aaaae 0aaaaf #80000 b111 aaaaa b11111 aaaab b11111111111111111111110000000001 aaaac b11111111111 aaaad b1111111111111111111111111111111111111111 aaaae 1aaaaf #90000 b1 aaaaa b1111111111111110 aaaab b10000000000 aaaac b1111111111111111111111111111111111111111111111111111100000000000 aaaad b1111111111111111111111110000000000000000000000000000000000000000 aaaae 0aaaaf #100000 b111 aaaaa b11111 aaaab b11111111111111111111110000000001 aaaac b11111111111 aaaad b1111111111111111111111111111111111111111 aaaae 1aaaaf #110000 b1 aaaaa b1111111111111110 aaaab b10000000000 aaaac b1111111111111111111111111111111111111111111111111111100000000000 aaaad b1111111111111111111111110000000000000000000000000000000000000000 aaaae 0aaaaf #120000 b111 aaaaa b11111 aaaab b11111111111111111111110000000001 aaaac b11111111111 aaaad b1111111111111111111111111111111111111111 aaaae 1aaaaf #130000 b1 aaaaa b1111111111111110 aaaab b10000000000 aaaac b1111111111111111111111111111111111111111111111111111100000000000 aaaad b1111111111111111111111110000000000000000000000000000000000000000 aaaae 0aaaaf #140000 b111 aaaaa b11111 aaaab b11111111111111111111110000000001 aaaac b11111111111 aaaad b1111111111111111111111111111111111111111 aaaae 1aaaaf #150000 b1 aaaaa b1111111111111110 aaaab b10000000000 aaaac b1111111111111111111111111111111111111111111111111111100000000000 aaaad b1111111111111111111111110000000000000000000000000000000000000000 aaaae 0aaaaf #160000 b111 aaaaa b11111 aaaab b11111111111111111111110000000001 aaaac b11111111111 aaaad b1111111111111111111111111111111111111111 aaaae 1aaaaf #170000 b1 aaaaa b1111111111111110 aaaab b10000000000 aaaac b1111111111111111111111111111111111111111111111111111100000000000 aaaad b1111111111111111111111110000000000000000000000000000000000000000 aaaae 0aaaaf #180000 b111 aaaaa b11111 aaaab b11111111111111111111110000000001 aaaac b11111111111 aaaad b1111111111111111111111111111111111111111 aaaae 1aaaaf #190000 b1 aaaaa b1111111111111110 aaaab b10000000000 aaaac b1111111111111111111111111111111111111111111111111111100000000000 aaaad b1111111111111111111111110000000000000000000000000000000000000000 aaaae 0aaaaf #200000