From 673de16e42b57b3e01147ae6da0bbb87ed63cd76 Mon Sep 17 00:00:00 2001 From: raywu Date: Tue, 30 Oct 2018 13:51:44 +0800 Subject: DW01 - Add Customer Request List --- ...\227\256\351\242\230\346\261\207\346\200\273.xlsx" | Bin 0 -> 2382206 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 "DWI-AH810-R10\346\265\213\350\257\225\351\227\256\351\242\230\346\261\207\346\200\273.xlsx" diff --git "a/DWI-AH810-R10\346\265\213\350\257\225\351\227\256\351\242\230\346\261\207\346\200\273.xlsx" "b/DWI-AH810-R10\346\265\213\350\257\225\351\227\256\351\242\230\346\261\207\346\200\273.xlsx" new file mode 100644 index 0000000..373d44b Binary files /dev/null and "b/DWI-AH810-R10\346\265\213\350\257\225\351\227\256\351\242\230\346\261\207\346\200\273.xlsx" differ -- cgit v1.2.3 From 3555fa3e90144b4206806405020d19f535d6ec03 Mon Sep 17 00:00:00 2001 From: raywu Date: Tue, 30 Oct 2018 13:52:34 +0800 Subject: DW01 - Support Legacy LAN PXE Boot / Move Setup Option to Boot Page --- Board/EM/Setup/Boot.vfr | 2 ++ Board/SB/SB.sdl | 14 ++++++++++++++ Chipset/SB/RTEG263.LOM | Bin 0 -> 71680 bytes Core/EM/CsmOptOut/CsmOptOut.sd | 2 +- 4 files changed, 17 insertions(+), 1 deletion(-) create mode 100644 Chipset/SB/RTEG263.LOM diff --git a/Board/EM/Setup/Boot.vfr b/Board/EM/Setup/Boot.vfr index 8010c28..43e625a 100644 --- a/Board/EM/Setup/Boot.vfr +++ b/Board/EM/Setup/Boot.vfr @@ -184,6 +184,8 @@ formset guid = BOOT_FORM_SET_GUID, #include #undef FORM_SET_ITEM + CSM_OPT_OUT_PXE_OPROM + SEPARATOR SUBTITLE(STRING_TOKEN(STR_BOOT_ORDER_SUBTITLE)) suppressif ideqvallist BOOT_MANAGER.BootCount == 0xFFFF; diff --git a/Board/SB/SB.sdl b/Board/SB/SB.sdl index 3931349..5199327 100644 --- a/Board/SB/SB.sdl +++ b/Board/SB/SB.sdl @@ -873,6 +873,13 @@ PCIDEVICE Token = "RC_PORT_0" "=" "1" DeviceType = Slot PCIBusSize = PciEx +##DW01_Custom_Support_LAN_PXE_Boot >> + ROMFile = "Chipset\SB\RTEG263.LOM" + OptionROM = Yes + CompressedROM = Yes + DeviceID = 08168h + VendorID = 010ech +##DW01_Custom_Support_LAN_PXE_Boot << End PCIDEVICE @@ -888,6 +895,13 @@ PCIDEVICE Token = "RC_PORT_1" "=" "1" DeviceType = Slot PCIBusSize = PciEx +##DW01_Custom_Support_LAN_PXE_Boot >> + ROMFile = "Chipset\SB\RTEG263.LOM" + OptionROM = Yes + CompressedROM = Yes + DeviceID = 08168h + VendorID = 010ech +##DW01_Custom_Support_LAN_PXE_Boot << End PCIDEVICE diff --git a/Chipset/SB/RTEG263.LOM b/Chipset/SB/RTEG263.LOM new file mode 100644 index 0000000..7b96161 Binary files /dev/null and b/Chipset/SB/RTEG263.LOM differ diff --git a/Core/EM/CsmOptOut/CsmOptOut.sd b/Core/EM/CsmOptOut/CsmOptOut.sd index ce0bba0..f88e724 100644 --- a/Core/EM/CsmOptOut/CsmOptOut.sd +++ b/Core/EM/CsmOptOut/CsmOptOut.sd @@ -224,7 +224,7 @@ CSM_OPT_OUT_OLD_OPROM suppressif ideqval SETUP_DATA.CsmLaunchPolicy == 0; CSM_OPT_OUT_BOOT_OPTION_FILTER - CSM_OPT_OUT_PXE_OPROM +// CSM_OPT_OUT_PXE_OPROM CSM_OPT_OUT_MASS_STORAGE_OPROM CSM_OPT_OUT_VIDEO_OPROM SEPARATOR -- cgit v1.2.3 From 64ba2a17a34969a80bb3894899ae65669e9ad542 Mon Sep 17 00:00:00 2001 From: raywu Date: Tue, 30 Oct 2018 13:53:10 +0800 Subject: DW01 - Support RTC Wake from S5 --- Board/SB/SBSetup/SB.sd | 159 ++++++++++++++++++++++++++++++++++++++++++++++-- Board/SB/SBSetup/SB.uni | Bin 144652 -> 150328 bytes Chipset/SB/SleepSmi.c | 135 +++++++++++++++++++++++++++++++++++++++- 3 files changed, 287 insertions(+), 7 deletions(-) diff --git a/Board/SB/SBSetup/SB.sd b/Board/SB/SBSetup/SB.sd index d944b68..05d0f79 100644 --- a/Board/SB/SBSetup/SB.sd +++ b/Board/SB/SBSetup/SB.sd @@ -691,6 +691,15 @@ UINT8 ADspMode; UINT8 NFCE; + + UINT8 AaeonWakeOnRtc; //AAEON_RTC_001_Elflo+ +// UINT8 FixedWakeOnRTCS5; //AAEON_RTC_001_Elflo- +// UINT8 DynamicWakeOnRTCS5; //AAEON_RTC_001_Elflo- + UINT8 AaeonRTCWakeupTimeDay; + UINT8 AaeonRTCWakeupTimeHour; + UINT8 AaeonRTCWakeupTimeMinute; + UINT8 AaeonRTCWakeupTimeSecond; + UINT8 AaeonRTCWakeupTimeMinuteIncrease; #endif #ifdef FORM_SET_TYPEDEF @@ -709,6 +718,98 @@ //--------------------------------------------------------------------------- #ifdef CONTROL_DEFINITION + #define AAEON_WAKEONRTC_DIS\ + text \ + help = STRING_TOKEN(STR_WAKE_ON_RTC_PROMPT_HELP), \ + text = STRING_TOKEN(STR_WAKE_ON_RTC_PROMPT), \ + text = STRING_TOKEN(STR_DISABLED), \ + flags = 0, \ + key = 0; + + #define AAEON_WAKEONRTC\ + oneof varid = SETUP_DATA.AaeonWakeOnRtc,\ + prompt = STRING_TOKEN(STR_WAKE_ON_RTC_PROMPT),\ + help = STRING_TOKEN(STR_WAKE_ON_RTC_PROMPT_HELP),\ + option text = STRING_TOKEN(STR_DISABLED), value=0, flags= DEFAULT | MANUFACTURING | RESET_REQUIRED;\ + option text = STRING_TOKEN(STR_WOR_FIXED), value=1, flags= RESET_REQUIRED;\ + option text = STRING_TOKEN(STR_WOR_DYNAMIC), value=2, flags= RESET_REQUIRED;\ + endoneof; + +//AAEON_RTC_001_Elflo- >> +// #define AAEON_ONEOF_FIXED_WAKEONRTCS5\ +// oneof varid = SETUP_DATA.FixedWakeOnRTCS5,\ +// prompt = STRING_TOKEN(STR_FIXED_WAKE_ON_RTCS5_PROMPT),\ +// help = STRING_TOKEN(STR_FIXED_WAKE_ON_RTCS5_HELP),\ +// option text = STRING_TOKEN(STR_DISABLED), value=0, flags= DEFAULT | MANUFACTURING | RESET_REQUIRED;\ +// option text = STRING_TOKEN(STR_ENABLED), value=1, flags= RESET_REQUIRED;\ +// endoneof; +//AAEON_RTC_001_Elflo- << + + #define AAEON_NUM_RTCWAKEUP_TIMEDAY\ + numeric varid = SETUP_DATA.AaeonRTCWakeupTimeDay,\ + prompt = STRING_TOKEN(STR_RTC_WAKEUP_DAY_PROMPT),\ + help = STRING_TOKEN(STR_RTC_DAY_HELP),\ + flags = RESET_REQUIRED,\ + minimum = 0,\ + maximum = 31,\ + step = 1,\ + default = 0,\ + endnumeric; + + #define AAEON_NUM_RTCWAKEUP_TIMEHOUR\ + numeric varid = SETUP_DATA.AaeonRTCWakeupTimeHour,\ + prompt = STRING_TOKEN(STR_RTC_WAKEUP_HOUR_PROMPT),\ + help = STRING_TOKEN(STR_RTC_HOUR_HELP),\ + flags = RESET_REQUIRED,\ + minimum = 0,\ + maximum = 23,\ + step = 1,\ + default = 0,\ + endnumeric; + + #define AAEON_NUM_RTCWAKEUP_TIMEMINUTE\ + numeric varid = SETUP_DATA.AaeonRTCWakeupTimeMinute,\ + prompt = STRING_TOKEN(STR_RTC_WAKEUP_MINUTE_PROMPT),\ + help = STRING_TOKEN(STR_TIME_MINUTE_HELP),\ + flags = RESET_REQUIRED,\ + minimum = 0,\ + maximum = 59,\ + step = 1,\ + default = 0,\ + endnumeric; + + #define AAEON_NUM_RTCWAKEUP_TIMESECOND\ + numeric varid = SETUP_DATA.AaeonRTCWakeupTimeSecond,\ + prompt = STRING_TOKEN(STR_RTC_WAKEUP_SECOND_PROMPT),\ + help = STRING_TOKEN(STR_TIME_SECOND_HELP),\ + flags = RESET_REQUIRED,\ + minimum = 0,\ + maximum = 59,\ + step = 1,\ + default = 0,\ + endnumeric; + +//AAEON_RTC_001_Elflo- >> +// #define AAEON_ONEOF_DYNAMIC_WAKEONRTCS5\ +// oneof varid = SETUP_DATA.DynamicWakeOnRTCS5,\ +// prompt = STRING_TOKEN(STR_DYNAMIC_WAKE_ON_RTCS5_PROMPT),\ +// help = STRING_TOKEN(STR_DYNAMIC_WAKE_ON_RTCS5_HELP),\ +// option text = STRING_TOKEN(STR_DISABLED), value=0, flags= DEFAULT | MANUFACTURING | RESET_REQUIRED;\ +// option text = STRING_TOKEN(STR_ENABLED), value=1, flags= RESET_REQUIRED;\ +// endoneof; +//AAEON_RTC_001_Elflo- << + + #define AAEON_NUM_RTCWAKEUP_TIMEMINUTEINCREASE\ + numeric varid = SETUP_DATA.AaeonRTCWakeupTimeMinuteIncrease,\ + prompt = STRING_TOKEN(STR_RTC_WAKEUP_MINUTE_INCREASE_PROMPT),\ + help = STRING_TOKEN(STR_TIME_MINUTE_INCREASE_HELP),\ + flags = RESET_REQUIRED,\ + minimum = 1,\ + maximum = 5,\ + step = 1,\ + default = 1,\ + endnumeric; + #define SB_ONEOF_ECTG\ oneof varid = SETUP_DATA.ECTG,\ prompt = STRING_TOKEN (STR_ECTG),\ @@ -1034,11 +1135,11 @@ oneof varid = SETUP_DATA.PchUsb30Mode,\ prompt = STRING_TOKEN (STR_PCH_USB30_MODE_PROMPT),\ help = STRING_TOKEN (STR_PCH_USB30_MODE_HELP),\ - option text = STRING_TOKEN (STR_COMMON_SMART_AUTO), value = 3, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN (STR_COMMON_SMART_AUTO), value = 3, flags = RESET_REQUIRED, key = 0;\ option text = STRING_TOKEN (STR_COMMON_AUTO), value = 2, flags = RESET_REQUIRED, key = 0;\ option text = STRING_TOKEN (STR_COMMON_ENABLED), value = 1, flags = RESET_REQUIRED, key = 0;\ option text = STRING_TOKEN (STR_COMMON_DISABLED), value = 0, flags = RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN (STR_COMMON_MANUAL), value = 4, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN (STR_COMMON_MANUAL), value = 4, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ endoneof; #define SB_ONEOF_PCHENABLERMH1\ @@ -1087,8 +1188,8 @@ prompt = STRING_TOKEN (STR_PCH_ENABLE_USB30_PIN),\ help = STRING_TOKEN (STR_PCH_ENABLE_USB30_PIN_HELP),\ option text = STRING_TOKEN (STR_SELECT_PER_PIN), value = 0, flags = RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN (STR_SELECT_ALL_PIN_DISABLE), value = 1, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN (STR_SELECT_ALL_PIN_ENABLE), value = 2, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN (STR_SELECT_ALL_PIN_DISABLE), value = 1, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN (STR_SELECT_ALL_PIN_ENABLE), value = 2, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ endoneof; #define SB_ONEOF_USB20PERPINROUTE00\ @@ -1311,8 +1412,8 @@ oneof varid = SETUP_DATA.PchUsbPerPortCtl,\ prompt = STRING_TOKEN (STR_PCH_USB_PER_PORT_PROMPT),\ help = STRING_TOKEN (STR_PCH_USB_PER_PORT_HELP),\ - option text = STRING_TOKEN (STR_COMMON_DISABLED), value = 0, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN (STR_COMMON_ENABLED), value = 1, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN (STR_COMMON_DISABLED), value = 0, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN (STR_COMMON_ENABLED), value = 1, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ endoneof; #if EHCI_CON_DISCON_WAKE_UP_SUPPORT @@ -5416,6 +5517,14 @@ //--------------------------------------------------------------------------- #ifdef CONTROLS_WITH_DEFAULTS + AAEON_WAKEONRTC //AAEON_RTC_001_Elflo+ +// AAEON_ONEOF_FIXED_WAKEONRTCS5 //AAEON_RTC_001_Elflo- + AAEON_NUM_RTCWAKEUP_TIMEDAY + AAEON_NUM_RTCWAKEUP_TIMEHOUR + AAEON_NUM_RTCWAKEUP_TIMEMINUTE + AAEON_NUM_RTCWAKEUP_TIMESECOND +// AAEON_ONEOF_DYNAMIC_WAKEONRTCS5 //AAEON_RTC_001_Elflo- + AAEON_NUM_RTCWAKEUP_TIMEMINUTEINCREASE SB_ONEOF_ECTG SB_ONEOF_PCHLAN @@ -7348,6 +7457,11 @@ goto THERMAL_CONFIG_FORM_ID, prompt = STRING_TOKEN (STR_THERMAL_CONFIGURATION), help = STRING_TOKEN (STR_THERMAL_CONFIGURATION_HELP); + + goto S5_RTCWAKE_FORM_ID, + prompt = STRING_TOKEN(STR_S5_RTCWAKE_FORM), + help = STRING_TOKEN(STR_S5_RTCWAKE_FORM_HELP); + #endif //---------------------------------------------------------------------------- @@ -7851,6 +7965,39 @@ #endif // End of SB_FORM_SATA_SFMS_OPTIONS +//DW01_Custom_Support_RTC_Wake >> + form formid = AUTO_ID(S5_RTCWAKE_FORM_ID), + title = STRING_TOKEN(STR_S5_RTCWAKE_FORM); + + AAEON_WAKEONRTC //AAEON_RTC_001_Elflo+ + +//AAEON_RTC_001_Elflo- >> +// grayoutif ideqval SETUP_DATA.DynamicWakeOnRTCS5 == 1; +// AAEON_ONEOF_FIXED_WAKEONRTCS5 +// endif; +//AAEON_RTC_001_Elflo- << + +// suppressif ideqval SETUP_DATA.FixedWakeOnRTCS5 == 0; //AAEON_RTC_001_Elflo- + suppressif NOT ideqval SETUP_DATA.AaeonWakeOnRtc == 1; //AAEON_RTC_001_Elflo+ + AAEON_NUM_RTCWAKEUP_TIMEDAY + AAEON_NUM_RTCWAKEUP_TIMEHOUR + AAEON_NUM_RTCWAKEUP_TIMEMINUTE + AAEON_NUM_RTCWAKEUP_TIMESECOND + endif; +//AAEON_RTC_001_Elflo- >> +// SEPARATOR +// grayoutif ideqval SETUP_DATA.FixedWakeOnRTCS5 == 1; +// AAEON_ONEOF_DYNAMIC_WAKEONRTCS5 +// endif; +//AAEON_RTC_001_Elflo- << + +// suppressif ideqval SETUP_DATA.DynamicWakeOnRTCS5 == 0; //AAEON_RTC_001_Elflo- + suppressif NOT ideqval SETUP_DATA.AaeonWakeOnRtc == 2; //AAEON_RTC_001_Elflo+ + AAEON_NUM_RTCWAKEUP_TIMEMINUTEINCREASE + endif; + endform; // AAEON_FORM_ID +//DW01_Custom_Support_RTC_Wake << + #endif //---------------------------------------------------------------------------- // diff --git a/Board/SB/SBSetup/SB.uni b/Board/SB/SBSetup/SB.uni index ceb801a..69d1bdb 100644 Binary files a/Board/SB/SBSetup/SB.uni and b/Board/SB/SBSetup/SB.uni differ diff --git a/Chipset/SB/SleepSmi.c b/Chipset/SB/SleepSmi.c index 6f17597..86debbe 100644 --- a/Chipset/SB/SleepSmi.c +++ b/Chipset/SB/SleepSmi.c @@ -120,8 +120,89 @@ EFI_GUID gThisFileGuid = \ // External Declaration(s) // Function Definition(s) - +// DW01_Custom_Support_RTC_Wake >> +typedef struct { + UINT8 AaeonRTCWakeupTimeHour ; + UINT8 AaeonRTCWakeupTimeMinute ; + UINT8 AaeonRTCWakeupTimeSecond ; + UINT8 AaeonRTCWakeupDateDay; +} SMMData ; + +SMMData gSmmData ; + +EFI_GUID gSetupGuid = SETUP_GUID; +SETUP_DATA SetupData; //--------------------------------------------------------------------------- +UINT8 DecToBCD(UINT8 Dec) +{ + UINT8 FirstDigit = Dec % 10; + UINT8 SecondDigit = Dec / 10; + + return (SecondDigit << 4) + FirstDigit; +} +UINT8 BCDToDec(UINT8 BCD) +{ + UINT8 FirstDigit = BCD & 0xf; + UINT8 SecondDigit = BCD >> 4;; + + return SecondDigit * 10 + FirstDigit; +} +UINT8 ReadRtcIndex(IN UINT8 Index) +{ + UINT8 volatile Value; + + // Check if Data Time is valid + if(Index <= 9) do { + IoWrite8(0x70, 0x0A | 0x80); + Value = IoRead8(0x71); + } while (Value & 0x80); + + IoWrite8(0x70, Index | 0x80); + // Read register + Value = IoRead8(0x71); + if (Index <= 9) Value = BCDToDec(Value); + return (UINT8)Value; +} +void WriteRtcIndex(IN UINT8 Index, IN UINT8 Value) +{ + IoWrite8(0x70,Index | 0x80); + if (Index <= 9 ) Value = DecToBCD(Value); + // Write Register + IoWrite8(0x71,Value); +} +void SetWakeupTime ( + IN EFI_TIME *Time +) +{ + UINT8 Value; + + WriteRtcIndex(5,Time->Hour); + WriteRtcIndex(3,Time->Minute); + WriteRtcIndex(1,Time->Second); + Value = ReadRtcIndex(0x0D) & 0xC0; + WriteRtcIndex(0x0D,(Value|DecToBCD(Time->Day))); + + //Set Enable + Value = ReadRtcIndex(0xB); + Value |= 1 << 5; + WriteRtcIndex(0xB,Value); +} +VOID RTCWakeFunc(){ + UINT32 i = 0; + EFI_TIME Time; + + Time.Hour = gSmmData.AaeonRTCWakeupTimeHour; + Time.Minute = gSmmData.AaeonRTCWakeupTimeMinute; + Time.Second = gSmmData.AaeonRTCWakeupTimeSecond; + Time.Day = gSmmData.AaeonRTCWakeupDateDay; + + SetWakeupTime(&Time); + //Clear RTC PM1 status + IoWrite16(PM_BASE_ADDRESS , ( IoRead16(PM_BASE_ADDRESS) | (1 << 10) )); + //set RTC_EN bit to wake up from the alarm + IoWrite32(PM_BASE_ADDRESS, ( IoRead32(PM_BASE_ADDRESS) | (1 << 26) )); +} +// DW01_Custom_Support_RTC_Wake << // //---------------------------------------------------------------------------- @@ -311,6 +392,49 @@ VOID S5SleepSmiOccurred ( if (gPchWakeOnLan) Enable_GbE_PME(); +// DW01_Custom_Support_RTC_Wake >> + { + EFI_STATUS Status = EFI_SUCCESS; + UINT8 Value; + + //Disable RTC alarm and clear RTC PM1 status + Value = ReadRtcIndex(0xB); + Value &= ~((UINT8)1 << 5); + WriteRtcIndex(0xB,Value); + //Clear Alarm Flag (AF) by reading RTC Reg C + Value = ReadRtcIndex(0xC); + IoWrite16(PM_BASE_ADDRESS , ( IoRead16(PM_BASE_ADDRESS) | (1 << 10) )); + + if(!EFI_ERROR(Status)){ + //if(gSetupData.FixedWakeOnRTCS5 == 1){ + if(SetupData.AaeonWakeOnRtc == 1){ + gSmmData.AaeonRTCWakeupTimeHour = SetupData.AaeonRTCWakeupTimeHour ; + gSmmData.AaeonRTCWakeupTimeMinute = SetupData.AaeonRTCWakeupTimeMinute ; + gSmmData.AaeonRTCWakeupTimeSecond = SetupData.AaeonRTCWakeupTimeSecond ; + gSmmData.AaeonRTCWakeupDateDay = SetupData.AaeonRTCWakeupTimeDay; + } + + //if(gSetupData.DynamicWakeOnRTCS5 == 1){ + if(SetupData.AaeonWakeOnRtc == 2){ + gSmmData.AaeonRTCWakeupTimeHour = ReadRtcIndex(4); + gSmmData.AaeonRTCWakeupTimeMinute = ReadRtcIndex(2); + gSmmData.AaeonRTCWakeupTimeSecond = ReadRtcIndex(0); + gSmmData.AaeonRTCWakeupTimeMinute += SetupData.AaeonRTCWakeupTimeMinuteIncrease; + if (gSmmData.AaeonRTCWakeupTimeMinute >= 60) + { + gSmmData.AaeonRTCWakeupTimeMinute = 0; + ++gSmmData.AaeonRTCWakeupTimeHour; + if (gSmmData.AaeonRTCWakeupTimeHour == 24) + gSmmData.AaeonRTCWakeupTimeHour = 0; + } + } + } + + if(SetupData.AaeonWakeOnRtc == 1 || SetupData.AaeonWakeOnRtc == 2) + RTCWakeFunc(); + } +// DW01_Custom_Support_RTC_Wake << + // Program AfterG3 bit depend the setup question. ProgramAfterG3Bit(); @@ -439,6 +563,15 @@ EFI_STATUS InitSleepSmi ( gPchWakeOnLan = (SbSetupData->PchWakeOnLan == 1) ? TRUE : FALSE; Status = pBS->FreePool( SbSetupData ); +// DW01_Custom_Support_RTC_Wake >> + VariableSize = sizeof(SETUP_DATA); + Status = pRS->GetVariable( L"Setup", \ + &gSetupGuid, \ + NULL, \ + &VariableSize, \ + &SetupData ); + +// DW01_Custom_Support_RTC_Wake << // Porting End return InitSmmHandler( ImageHandle, SystemTable, InSmmFunction, NULL ); -- cgit v1.2.3 From 99ef951afaf0415b6927f02e41721259e855b9b8 Mon Sep 17 00:00:00 2001 From: raywu Date: Tue, 30 Oct 2018 13:54:21 +0800 Subject: DW01 - POST Logo Delayed Display / Fixed Setup String Lost --- CRB/CSP.sdl | 17 +++++++++++++++++ 1 file changed, 17 insertions(+) diff --git a/CRB/CSP.sdl b/CRB/CSP.sdl index 5c6b4bf..e27e5b7 100644 --- a/CRB/CSP.sdl +++ b/CRB/CSP.sdl @@ -1723,3 +1723,20 @@ TOKEN Token = "BASE_BOARD_INFO" "=" "1" # Token = "NUMBER_OF_BASEBOARDS" "=" "1" End + +TOKEN + Name = "TSE_MULTILINE_CONTROLS" + Value = "1" + Help = "Turns on multi line controls. Currently subtitle and static text controls are supported. Default is DISABLE" + TokenType = Boolean + TargetH = Yes +End + +TOKEN + Name = "DEFAULT_BOOT_TIMEOUT" + Value = "3" + Help = "Number of seconds that the firmware will wait before initiating the original default boot selection. \A value of 0 indicates that the default boot selection is to be initiated immediately on boot. \A value of 65535(0xFFFF) indicates that firmware will wait for user input before booting. \This means the default boot selection is not automatically started by the firmware." + TokenType = Integer + TargetH = Yes + Range = "0-65535" +End -- cgit v1.2.3 From 85db34e860d2daa7e236db6204c15db3a724af02 Mon Sep 17 00:00:00 2001 From: raywu Date: Tue, 30 Oct 2018 13:55:08 +0800 Subject: DW01 - Rearrange Setup Menu (Graphics Configuration) / DPtoVGA as Primary in Dual Display --- Board/NB/NBSetup/NB.sd | 49 +++++++++++++++++++++++++++++++++++------------- Board/NB/NBSetup/NB.uni | Bin 172600 -> 172598 bytes 2 files changed, 36 insertions(+), 13 deletions(-) diff --git a/Board/NB/NBSetup/NB.sd b/Board/NB/NBSetup/NB.sd index 88b5f95..089831b 100644 --- a/Board/NB/NBSetup/NB.sd +++ b/Board/NB/NBSetup/NB.sd @@ -641,8 +641,8 @@ oneof varid = SETUP_DATA.PrimaryDisplay,\ prompt = STRING_TOKEN(STR_PRIMARY_VIDEO),\ help = STRING_TOKEN(STR_PRIMARY_VIDEO_HELP3),\ - option text = STRING_TOKEN(STR_COMMON_AUTO), value = 3, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN(STR_IGFX_STRING), value = 0, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN(STR_COMMON_AUTO), value = 3, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN(STR_IGFX_STRING), value = 0, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ option text = STRING_TOKEN(STR_PEG_STRING), value = 1, flags = RESET_REQUIRED, key = 0;\ option text = STRING_TOKEN(STR_PCIE_STRING), value = 2, flags = RESET_REQUIRED, key = 0;\ endoneof; @@ -651,18 +651,27 @@ oneof varid = SETUP_DATA.PrimaryDisplay,\ prompt = STRING_TOKEN(STR_PRIMARY_VIDEO),\ help = STRING_TOKEN(STR_PRIMARY_VIDEO_HELP4),\ - option text = STRING_TOKEN(STR_COMMON_AUTO), value = 3, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN(STR_IGFX_STRING), value = 0, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN(STR_COMMON_AUTO), value = 3, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN(STR_IGFX_STRING), value = 0, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ option text = STRING_TOKEN(STR_PCIE_STRING), value = 2, flags = RESET_REQUIRED, key = 0;\ endoneof; +//#define NB_ONEOF_PRIMARYDISPLAY1\ +// oneof varid = SETUP_DATA.PrimaryDisplay,\ +// prompt = STRING_TOKEN(STR_PRIMARY_VIDEO),\ +// help = STRING_TOKEN(STR_PRIMARY_VIDEO_HELP5),\ +// option text = STRING_TOKEN(STR_COMMON_AUTO), value = 3, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ +// option text = STRING_TOKEN(STR_PEG_STRING), value = 1, flags = RESET_REQUIRED, key = 0;\ +// option text = STRING_TOKEN(STR_PCIE_STRING), value = 2, flags = RESET_REQUIRED, key = 0;\ +// endoneof; #define NB_ONEOF_PRIMARYDISPLAY1\ oneof varid = SETUP_DATA.PrimaryDisplay,\ prompt = STRING_TOKEN(STR_PRIMARY_VIDEO),\ help = STRING_TOKEN(STR_PRIMARY_VIDEO_HELP5),\ - option text = STRING_TOKEN(STR_COMMON_AUTO), value = 3, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN(STR_COMMON_AUTO), value = 3, flags = RESET_REQUIRED, key = 0;\ option text = STRING_TOKEN(STR_PEG_STRING), value = 1, flags = RESET_REQUIRED, key = 0;\ option text = STRING_TOKEN(STR_PCIE_STRING), value = 2, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN(STR_IGFX_STRING), value = 0, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ endoneof; #endif // SwitchableGraphics_SUPPORT @@ -877,6 +886,18 @@ option text = STRING_TOKEN (STR_COMMON_DISABLED), value = 0, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ endoneof; +//#define NB_ONEOF_IGDBOOTTYPE\ +// oneof varid = SETUP_DATA.IgdBootType,\ +// prompt = STRING_TOKEN(STR_VIDEO_BT_PROMPT),\ +// help = STRING_TOKEN(STR_VIDEO_BT_HELP),\ +// option text = STRING_TOKEN(STR_VIDEO_BT_DEFAULT), value = 0, flags = RESET_REQUIRED | DEFAULT | MANUFACTURING, key = 0;\ +// option text = STRING_TOKEN(STR_VIDEO_BT_CRT), value = 0x01, flags = RESET_REQUIRED, key = 0;\ +// option text = STRING_TOKEN(STR_VIDEO_BT_EFP), value = 0x04, flags = RESET_REQUIRED, key = 0;\ +// option text = STRING_TOKEN(STR_VIDEO_BT_LFP), value = 0x08, flags = RESET_REQUIRED, key = 0;\ +// option text = STRING_TOKEN(STR_VIDEO_BT_EFP3), value = 0x20, flags = RESET_REQUIRED, key = 0;\ +// option text = STRING_TOKEN(STR_VIDEO_BT_EFP2), value = 0x40, flags = RESET_REQUIRED, key = 0;\ +// option text = STRING_TOKEN(STR_VIDEO_BT_LFP2), value = 0x80, flags = RESET_REQUIRED, key = 0;\ +// endoneof; #define NB_ONEOF_IGDBOOTTYPE\ oneof varid = SETUP_DATA.IgdBootType,\ prompt = STRING_TOKEN(STR_VIDEO_BT_PROMPT),\ @@ -884,10 +905,6 @@ option text = STRING_TOKEN(STR_VIDEO_BT_DEFAULT), value = 0, flags = RESET_REQUIRED | DEFAULT | MANUFACTURING, key = 0;\ option text = STRING_TOKEN(STR_VIDEO_BT_CRT), value = 0x01, flags = RESET_REQUIRED, key = 0;\ option text = STRING_TOKEN(STR_VIDEO_BT_EFP), value = 0x04, flags = RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN(STR_VIDEO_BT_LFP), value = 0x08, flags = RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN(STR_VIDEO_BT_EFP3), value = 0x20, flags = RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN(STR_VIDEO_BT_EFP2), value = 0x40, flags = RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN(STR_VIDEO_BT_LFP2), value = 0x80, flags = RESET_REQUIRED, key = 0;\ endoneof; #define NB_ONEOF_DISPLAYPIPEB\ @@ -3802,7 +3819,7 @@ NB_ONEOF_GDXCENABLE // GdxcEnable form formid = AUTO_ID(INTEL_IGFX_ID), title = STRING_TOKEN(STR_INTEL_IGFX_FORM); SUBTITLE(STRING_TOKEN(STR_INTEL_IGFX_FORM)) - +suppressif TRUE ; suppressif ideqval NB_PLATFORM_DATA.IGFXAvailable == 0 OR ideqval SETUP_DATA.InternalGraphics == 0 OR ideqval NB_PLATFORM_DATA.IGFXGopAvailable == 1; @@ -3824,7 +3841,7 @@ NB_ONEOF_GDXCENABLE // GdxcEnable NB_NUMERIC_GFXTURBOIMON endif; - +endif ; SEPARATOR suppressif ideqval NB_PLATFORM_DATA.PegAvailable == 0 OR @@ -3859,6 +3876,8 @@ NB_ONEOF_GDXCENABLE // GdxcEnable // endif; + NB_ONEOF_IGDBOOTTYPE + #if defined(RC_PEG_0) && (RC_PEG_0 == 1) #if ( defined(SwitchableGraphics_SUPPORT) && (SwitchableGraphics_SUPPORT == 1) ) suppressif NOT ideqval SETUP_DATA.PrimaryDisplay == 4 OR @@ -3902,23 +3921,27 @@ NB_ONEOF_GDXCENABLE // GdxcEnable endif; +suppressif TRUE ; suppressif NOT ideqval NB_PLATFORM_DATA.IGFXCapability == 1; NB_ONEOF_INTERNALGRAPHICS endif; +endif ; suppressif ideqval NB_PLATFORM_DATA.IGFXAvailable == 0 OR ideqval SETUP_DATA.InternalGraphics == 0; // NB_ONEOF_GTTSIZE +suppressif TRUE ; NB_ONEOF_APERTURESIZE +endif ; NB_ONEOF_IGDDVMT50PREALLOC NB_ONEOF_IGDDVMT50TOTALALLOC - +suppressif TRUE ; NB_ONEOF_LOWPOWERMODE NB_ONEOF_PANELPOWERE @@ -3926,7 +3949,7 @@ NB_ONEOF_GDXCENABLE // GdxcEnable goto IGFX_LCD_FORM_ID, prompt = STRING_TOKEN(STR_IGFX_LCD), help = STRING_TOKEN(STR_IGFX_LCD); - +endif ; endif; endform; diff --git a/Board/NB/NBSetup/NB.uni b/Board/NB/NBSetup/NB.uni index cf6eb1c..8f768d1 100644 Binary files a/Board/NB/NBSetup/NB.uni and b/Board/NB/NBSetup/NB.uni differ -- cgit v1.2.3 From ad7170b13dcee8c956a13f5908fa93e036ba3cc7 Mon Sep 17 00:00:00 2001 From: raywu Date: Tue, 30 Oct 2018 13:55:30 +0800 Subject: DW01 - DPtoVGA as Primary in Dual Display --- Chipset/NB/hsw_1039.dat | Bin 65536 -> 65536 bytes Chipset/NB/hsw_1039.ssf | 2 +- 2 files changed, 1 insertion(+), 1 deletion(-) diff --git a/Chipset/NB/hsw_1039.dat b/Chipset/NB/hsw_1039.dat index 718b10a..eeb5cd3 100644 Binary files a/Chipset/NB/hsw_1039.dat and b/Chipset/NB/hsw_1039.dat differ diff --git a/Chipset/NB/hsw_1039.ssf b/Chipset/NB/hsw_1039.ssf index 8add22a..5e293fa 100644 --- a/Chipset/NB/hsw_1039.ssf +++ b/Chipset/NB/hsw_1039.ssf @@ -22,7 +22,7 @@ $Hotplug_Support_Enb 00 $Enable_Panel_Timing 00 PAGE Boot Display Algorithm -TABLE $Dev_Boot_Table 09 08 01 0C 08 04 48 08 40 28 08 20 05 01 04 41 01 40 21 01 20 44 04 40 0D 01 04 45 01 04 64 04 40 49 01 40 29 01 20 4C 04 40 24 04 20 2C 04 20 +TABLE $Dev_Boot_Table 09 08 01 0C 08 04 48 08 40 28 08 20 05 04 01 41 01 40 21 01 20 44 04 40 0D 01 04 45 01 04 64 04 40 49 01 40 29 01 20 4C 04 40 24 04 20 2C 04 20 PAGE System BIOS Hooks $H14_Get_Misc_Status 00 -- cgit v1.2.3 From 5d7c0b6e49db31980c6a2f208f82ec985bca2fe9 Mon Sep 17 00:00:00 2001 From: raywu Date: Tue, 30 Oct 2018 13:56:28 +0800 Subject: DW01 - Release [DW01AT11] --- Board/EM/Setup/Setup.uni | Bin 24786 -> 24786 bytes DW01AT11.zip | Bin 0 -> 2825755 bytes RomImage/FitcBuild.bat | 2 +- 3 files changed, 1 insertion(+), 1 deletion(-) create mode 100644 DW01AT11.zip diff --git a/Board/EM/Setup/Setup.uni b/Board/EM/Setup/Setup.uni index 4dc7cff..d9c6c13 100644 Binary files a/Board/EM/Setup/Setup.uni and b/Board/EM/Setup/Setup.uni differ diff --git a/DW01AT11.zip b/DW01AT11.zip new file mode 100644 index 0000000..05c608c Binary files /dev/null and b/DW01AT11.zip differ diff --git a/RomImage/FitcBuild.bat b/RomImage/FitcBuild.bat index 7728e0b..ef83740 100644 --- a/RomImage/FitcBuild.bat +++ b/RomImage/FitcBuild.bat @@ -103,7 +103,7 @@ GOTO ImageOutput :ImageOutput IF EXIST %DestDir%\OutImage.bin ECHO Rom_%RomSize%%RomSkue%.bin && move /Y %DestDir%\OutImage.bin Rom_%RomSize%%RomSkue%.bin -IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AR10.bin +IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AT11.bin IF EXIST %DestDir%\OutImage_1.bin ECHO Rom00%RomSkue%.bin && move /Y %DestDir%\OutImage_1.bin Rom00%RomSkue%.bin IF EXIST %DestDir%\OutImage_2.bin ECHO Rom01%RomSkue%.bin && move /Y %DestDir%\OutImage_2.bin Rom01%RomSkue%.bin echo Rom_%RomSize%%RomSkue%.bin>ForPfatName.txt -- cgit v1.2.3 From dd6cd23939ecc74185756193ee3f72e0bfe712b9 Mon Sep 17 00:00:00 2001 From: raywu Date: Wed, 31 Oct 2018 10:41:21 +0800 Subject: DW01 - Re-arrange USB Per Port Setup Option --- Board/SB/SBSetup/SB.sd | 45 +++++++++++++++++++++++++++++++-------------- Board/SB/SBSetup/SB.uni | Bin 150328 -> 150330 bytes 2 files changed, 31 insertions(+), 14 deletions(-) diff --git a/Board/SB/SBSetup/SB.sd b/Board/SB/SBSetup/SB.sd index 05d0f79..7e9da0f 100644 --- a/Board/SB/SBSetup/SB.sd +++ b/Board/SB/SBSetup/SB.sd @@ -6328,23 +6328,40 @@ // SB_ONEOF_PCHUSBPERPORTCTL +// suppressif ideqval SETUP_DATA.PchUsbPerPortCtl == 0x0; +// SB_ONEOF_PCHUSBPORT00 +// SB_ONEOF_PCHUSBPORT01 +// SB_ONEOF_PCHUSBPORT02 +//// SB_ONEOF_PCHUSBPORT03 +// SB_ONEOF_PCHUSBPORT04 +// SB_ONEOF_PCHUSBPORT05 +//// SB_ONEOF_PCHUSBPORT06 +//// SB_ONEOF_PCHUSBPORT07 +// suppressif ideqval SB_PLATFORM_DATA.LPTType == 1; +//// SB_ONEOF_PCHUSBPORT08 +// SB_ONEOF_PCHUSBPORT09 +// SB_ONEOF_PCHUSBPORT10 +// SB_ONEOF_PCHUSBPORT11 +//// SB_ONEOF_PCHUSBPORT12 +//// SB_ONEOF_PCHUSBPORT13 +// endif; suppressif ideqval SETUP_DATA.PchUsbPerPortCtl == 0x0; - SB_ONEOF_PCHUSBPORT00 - SB_ONEOF_PCHUSBPORT01 - SB_ONEOF_PCHUSBPORT02 - SB_ONEOF_PCHUSBPORT03 - SB_ONEOF_PCHUSBPORT04 SB_ONEOF_PCHUSBPORT05 - SB_ONEOF_PCHUSBPORT06 - SB_ONEOF_PCHUSBPORT07 - suppressif ideqval SB_PLATFORM_DATA.LPTType == 1; - SB_ONEOF_PCHUSBPORT08 - SB_ONEOF_PCHUSBPORT09 - SB_ONEOF_PCHUSBPORT10 + SB_ONEOF_PCHUSBPORT04 + SB_ONEOF_PCHUSBPORT03 + SB_ONEOF_PCHUSBPORT02 SB_ONEOF_PCHUSBPORT11 - SB_ONEOF_PCHUSBPORT12 - SB_ONEOF_PCHUSBPORT13 - endif; + SB_ONEOF_PCHUSBPORT10 + SB_ONEOF_PCHUSBPORT09 + SB_ONEOF_PCHUSBPORT08 + SB_ONEOF_PCHUSBPORT01 + SB_ONEOF_PCHUSBPORT00 +// SB_ONEOF_PCHUSBPORT06 +// SB_ONEOF_PCHUSBPORT07 +// suppressif ideqval SB_PLATFORM_DATA.LPTType == 1; +// SB_ONEOF_PCHUSBPORT12 +// SB_ONEOF_PCHUSBPORT13 +// endif; // SEPARATOR diff --git a/Board/SB/SBSetup/SB.uni b/Board/SB/SBSetup/SB.uni index 69d1bdb..22a2ffc 100644 Binary files a/Board/SB/SBSetup/SB.uni and b/Board/SB/SBSetup/SB.uni differ -- cgit v1.2.3 From 3fbdcb52cfc00b11b1a1a427d2831dbf0f7fbc97 Mon Sep 17 00:00:00 2001 From: raywu Date: Wed, 31 Oct 2018 10:48:14 +0800 Subject: DW01 - Release [DW01AT12] --- Board/EM/Setup/Setup.uni | Bin 24786 -> 24786 bytes DW01AT12.zip | Bin 0 -> 2825606 bytes RomImage/FitcBuild.bat | 2 +- 3 files changed, 1 insertion(+), 1 deletion(-) create mode 100644 DW01AT12.zip diff --git a/Board/EM/Setup/Setup.uni b/Board/EM/Setup/Setup.uni index d9c6c13..254c635 100644 Binary files a/Board/EM/Setup/Setup.uni and b/Board/EM/Setup/Setup.uni differ diff --git a/DW01AT12.zip b/DW01AT12.zip new file mode 100644 index 0000000..640bfee Binary files /dev/null and b/DW01AT12.zip differ diff --git a/RomImage/FitcBuild.bat b/RomImage/FitcBuild.bat index ef83740..9b931d0 100644 --- a/RomImage/FitcBuild.bat +++ b/RomImage/FitcBuild.bat @@ -103,7 +103,7 @@ GOTO ImageOutput :ImageOutput IF EXIST %DestDir%\OutImage.bin ECHO Rom_%RomSize%%RomSkue%.bin && move /Y %DestDir%\OutImage.bin Rom_%RomSize%%RomSkue%.bin -IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AT11.bin +IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AT12.bin IF EXIST %DestDir%\OutImage_1.bin ECHO Rom00%RomSkue%.bin && move /Y %DestDir%\OutImage_1.bin Rom00%RomSkue%.bin IF EXIST %DestDir%\OutImage_2.bin ECHO Rom01%RomSkue%.bin && move /Y %DestDir%\OutImage_2.bin Rom01%RomSkue%.bin echo Rom_%RomSize%%RomSkue%.bin>ForPfatName.txt -- cgit v1.2.3 From 7fcf9549028c359f120fca26a51006d2e52be165 Mon Sep 17 00:00:00 2001 From: raywu Date: Thu, 1 Nov 2018 14:25:35 +0800 Subject: DW01 - Spec. Changed : Security String Changed --- Board/EM/AAEONSecurity/AAEONSecurity.sdl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Board/EM/AAEONSecurity/AAEONSecurity.sdl b/Board/EM/AAEONSecurity/AAEONSecurity.sdl index b557745..2467d0b 100644 --- a/Board/EM/AAEONSecurity/AAEONSecurity.sdl +++ b/Board/EM/AAEONSecurity/AAEONSecurity.sdl @@ -43,7 +43,7 @@ End TOKEN Name = "SIGNATURE_MODEL_TAG" - Value = "'$$IEI-SA93$$'" + Value = "'$$IEI-SA22$$'" TokenType = Expression TargetMAK = Yes TargetH = Yes -- cgit v1.2.3 From ae6ea7bd47f2f55f46c5d25a24cca3ec2425184c Mon Sep 17 00:00:00 2001 From: raywu Date: Thu, 1 Nov 2018 14:35:00 +0800 Subject: DW01 - Release [DW01AR11] --- Board/EM/Setup/Setup.uni | Bin 24786 -> 24786 bytes DW01AR11.zip | Bin 0 -> 2825723 bytes RomImage/FitcBuild.bat | 2 +- 3 files changed, 1 insertion(+), 1 deletion(-) create mode 100644 DW01AR11.zip diff --git a/Board/EM/Setup/Setup.uni b/Board/EM/Setup/Setup.uni index 254c635..946f14b 100644 Binary files a/Board/EM/Setup/Setup.uni and b/Board/EM/Setup/Setup.uni differ diff --git a/DW01AR11.zip b/DW01AR11.zip new file mode 100644 index 0000000..2f5eb27 Binary files /dev/null and b/DW01AR11.zip differ diff --git a/RomImage/FitcBuild.bat b/RomImage/FitcBuild.bat index 9b931d0..a6d960b 100644 --- a/RomImage/FitcBuild.bat +++ b/RomImage/FitcBuild.bat @@ -103,7 +103,7 @@ GOTO ImageOutput :ImageOutput IF EXIST %DestDir%\OutImage.bin ECHO Rom_%RomSize%%RomSkue%.bin && move /Y %DestDir%\OutImage.bin Rom_%RomSize%%RomSkue%.bin -IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AT12.bin +IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AR11.bin IF EXIST %DestDir%\OutImage_1.bin ECHO Rom00%RomSkue%.bin && move /Y %DestDir%\OutImage_1.bin Rom00%RomSkue%.bin IF EXIST %DestDir%\OutImage_2.bin ECHO Rom01%RomSkue%.bin && move /Y %DestDir%\OutImage_2.bin Rom01%RomSkue%.bin echo Rom_%RomSize%%RomSkue%.bin>ForPfatName.txt -- cgit v1.2.3 From 2d8de35d7e77ad6cd4e87c5b90dd96c9df57a245 Mon Sep 17 00:00:00 2001 From: raywu Date: Wed, 7 Nov 2018 16:12:44 +0800 Subject: DW01 - Release [DW01AT13] : Changed F81216D to 0x4E/0x4F , 0x67 --- Board/EM/Setup/Setup.uni | Bin 24786 -> 24786 bytes CRB/CSP.sdl | 2 +- DW01AT13.zip | Bin 0 -> 2825743 bytes RomImage/FitcBuild.bat | 2 +- 4 files changed, 2 insertions(+), 2 deletions(-) create mode 100644 DW01AT13.zip diff --git a/Board/EM/Setup/Setup.uni b/Board/EM/Setup/Setup.uni index 946f14b..4f3545f 100644 Binary files a/Board/EM/Setup/Setup.uni and b/Board/EM/Setup/Setup.uni differ diff --git a/CRB/CSP.sdl b/CRB/CSP.sdl index e27e5b7..1242bd0 100644 --- a/CRB/CSP.sdl +++ b/CRB/CSP.sdl @@ -1455,7 +1455,7 @@ TOKEN End TOKEN Name = "F81216SEC_CONFIG_MODE_ENTER_VALUE" - Value = "0x87" + Value = "0x67" Help = "Value to enter Configuration Mode.Please check your hardware\Default is 0x77.\others are 0xA0, 0x87, 0x67" TokenType = Integer TargetH = Yes diff --git a/DW01AT13.zip b/DW01AT13.zip new file mode 100644 index 0000000..bf53a96 Binary files /dev/null and b/DW01AT13.zip differ diff --git a/RomImage/FitcBuild.bat b/RomImage/FitcBuild.bat index a6d960b..e7a36e8 100644 --- a/RomImage/FitcBuild.bat +++ b/RomImage/FitcBuild.bat @@ -103,7 +103,7 @@ GOTO ImageOutput :ImageOutput IF EXIST %DestDir%\OutImage.bin ECHO Rom_%RomSize%%RomSkue%.bin && move /Y %DestDir%\OutImage.bin Rom_%RomSize%%RomSkue%.bin -IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AR11.bin +IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AT13.bin IF EXIST %DestDir%\OutImage_1.bin ECHO Rom00%RomSkue%.bin && move /Y %DestDir%\OutImage_1.bin Rom00%RomSkue%.bin IF EXIST %DestDir%\OutImage_2.bin ECHO Rom01%RomSkue%.bin && move /Y %DestDir%\OutImage_2.bin Rom01%RomSkue%.bin echo Rom_%RomSize%%RomSkue%.bin>ForPfatName.txt -- cgit v1.2.3 From 76d61986ddb44544766b3f3a2be37a882f9de3fe Mon Sep 17 00:00:00 2001 From: raywu Date: Wed, 7 Nov 2018 17:39:20 +0800 Subject: DW01 - Release [DW01AR12] --- Board/EM/Setup/Setup.uni | Bin 24786 -> 24786 bytes DW01AR12.zip | Bin 0 -> 2825831 bytes RomImage/FitcBuild.bat | 2 +- 3 files changed, 1 insertion(+), 1 deletion(-) create mode 100644 DW01AR12.zip diff --git a/Board/EM/Setup/Setup.uni b/Board/EM/Setup/Setup.uni index 4f3545f..08479df 100644 Binary files a/Board/EM/Setup/Setup.uni and b/Board/EM/Setup/Setup.uni differ diff --git a/DW01AR12.zip b/DW01AR12.zip new file mode 100644 index 0000000..7b5514c Binary files /dev/null and b/DW01AR12.zip differ diff --git a/RomImage/FitcBuild.bat b/RomImage/FitcBuild.bat index e7a36e8..40ce9d3 100644 --- a/RomImage/FitcBuild.bat +++ b/RomImage/FitcBuild.bat @@ -103,7 +103,7 @@ GOTO ImageOutput :ImageOutput IF EXIST %DestDir%\OutImage.bin ECHO Rom_%RomSize%%RomSkue%.bin && move /Y %DestDir%\OutImage.bin Rom_%RomSize%%RomSkue%.bin -IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AT13.bin +IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AR12.bin IF EXIST %DestDir%\OutImage_1.bin ECHO Rom00%RomSkue%.bin && move /Y %DestDir%\OutImage_1.bin Rom00%RomSkue%.bin IF EXIST %DestDir%\OutImage_2.bin ECHO Rom01%RomSkue%.bin && move /Y %DestDir%\OutImage_2.bin Rom01%RomSkue%.bin echo Rom_%RomSize%%RomSkue%.bin>ForPfatName.txt -- cgit v1.2.3 From d2dc5cbc9cd416c3fa100f1d9fa610a02ec41d14 Mon Sep 17 00:00:00 2001 From: raywu Date: Fri, 23 Nov 2018 08:29:59 +0800 Subject: DW01 - Add Customer's Request --- ...7\256\351\242\230\346\261\207\346\200\273.xlsx" | Bin 1324319 -> 2421442 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git "a/BIOS_CUSTOM/DWI-AH810-R10\346\265\213\350\257\225\351\227\256\351\242\230\346\261\207\346\200\273.xlsx" "b/BIOS_CUSTOM/DWI-AH810-R10\346\265\213\350\257\225\351\227\256\351\242\230\346\261\207\346\200\273.xlsx" index 10f4ffc..0b0bf6b 100644 Binary files "a/BIOS_CUSTOM/DWI-AH810-R10\346\265\213\350\257\225\351\227\256\351\242\230\346\261\207\346\200\273.xlsx" and "b/BIOS_CUSTOM/DWI-AH810-R10\346\265\213\350\257\225\351\227\256\351\242\230\346\261\207\346\200\273.xlsx" differ -- cgit v1.2.3 From 896910163decdc83e8264cefe02f07c34408c387 Mon Sep 17 00:00:00 2001 From: raywu Date: Fri, 23 Nov 2018 08:37:56 +0800 Subject: DW01 - Add Customer's Logo --- "BIOS_CUSTOM/GRG\302\240Logo_800 x 600.png" | Bin 0 -> 35798 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 "BIOS_CUSTOM/GRG\302\240Logo_800 x 600.png" diff --git "a/BIOS_CUSTOM/GRG\302\240Logo_800 x 600.png" "b/BIOS_CUSTOM/GRG\302\240Logo_800 x 600.png" new file mode 100644 index 0000000..cdb5771 Binary files /dev/null and "b/BIOS_CUSTOM/GRG\302\240Logo_800 x 600.png" differ -- cgit v1.2.3 From f2cb49771ba483825400cd636042ffa715ff148e Mon Sep 17 00:00:00 2001 From: raywu Date: Fri, 23 Nov 2018 09:44:49 +0800 Subject: DW01 - Support Full Screen Logo --- BIOS_CUSTOM/GRG_Logo_800x600.jpg | Bin 0 -> 32284 bytes CRB/CRB.sdl | 16 ++++++++-------- CRB/CSP.sdl | 4 ++-- 3 files changed, 10 insertions(+), 10 deletions(-) create mode 100644 BIOS_CUSTOM/GRG_Logo_800x600.jpg diff --git a/BIOS_CUSTOM/GRG_Logo_800x600.jpg b/BIOS_CUSTOM/GRG_Logo_800x600.jpg new file mode 100644 index 0000000..db8bb3f Binary files /dev/null and b/BIOS_CUSTOM/GRG_Logo_800x600.jpg differ diff --git a/CRB/CRB.sdl b/CRB/CRB.sdl index 8b19f99..1900690 100644 --- a/CRB/CRB.sdl +++ b/CRB/CRB.sdl @@ -2337,14 +2337,14 @@ TOKEN Token = "WIN8_FEATURE_SUPPORT" "=" "0" End -TOKEN - Name = "GC_MODE0" - Value = "{ 0, 80, 25, 0, 0 }" - Help = "Parameters of the graphica console text mode 0.\NOTE: Text resolution of the mode 0 must be 80 by 25\Format: {ModeNumber, TextColomns,TextRows, HorizontalPixels,VerticalPixels}" - TokenType = Expression - TargetH = Yes - Token = "WIN8_FEATURE_SUPPORT" "=" "1" -End +##TOKEN +## Name = "GC_MODE0" +## Value = "{ 0, 80, 25, 0, 0 }" +## Help = "Parameters of the graphica console text mode 0.\NOTE: Text resolution of the mode 0 must be 80 by 25\Format: {ModeNumber, TextColomns,TextRows, HorizontalPixels,VerticalPixels}" +## TokenType = Expression +## TargetH = Yes +## Token = "WIN8_FEATURE_SUPPORT" "=" "1" +##End TOKEN Name = "DEFAULT_QUIET_BOOT" diff --git a/CRB/CSP.sdl b/CRB/CSP.sdl index 1242bd0..83240be 100644 --- a/CRB/CSP.sdl +++ b/CRB/CSP.sdl @@ -1582,7 +1582,7 @@ TOKEN End TOKEN Name = "GC_MODE0" - Value = "{ 0, 80, 25, 640, 480 }" + Value = "{ 0, 80, 25, 800, 600 }" Help = "Parameters of the graphica console text mode 0.\NOTE: Text resolution of the mode 0 must be 80 by 25\Format: {ModeNumber, TextColomns,TextRows, HorizontalPixels,VerticalPixels}" TokenType = Expression TargetH = Yes @@ -1607,7 +1607,7 @@ TOKEN End TOKEN Name = "LOGO_FILE_NAME" - Value = "BIOS_CUSTOM\logo.jpg" + Value = "BIOS_CUSTOM\GRG_Logo_800x600.jpg" TokenType = Expression TargetMAK = Yes End -- cgit v1.2.3 From 86c27aa9e50e0a2228aec39be9f5f3a24a62fcff Mon Sep 17 00:00:00 2001 From: raywu Date: Fri, 23 Nov 2018 09:45:51 +0800 Subject: DW01 - Restore AC Power Loss default as ON --- Board/SB/SBSetup/SB.sd | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Board/SB/SBSetup/SB.sd b/Board/SB/SBSetup/SB.sd index 7e9da0f..471edc7 100644 --- a/Board/SB/SBSetup/SB.sd +++ b/Board/SB/SBSetup/SB.sd @@ -1111,8 +1111,8 @@ prompt = STRING_TOKEN (STR_LAST_STATE_PROMPT),\ help = STRING_TOKEN (STR_LAST_STATE_HELP),\ option text = STRING_TOKEN (STR_POWER_OFF), value = 0, flags = RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN (STR_POWER_ON), value = 1, flags = RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN (STR_LAST_STATE), value = 2, flags = RESET_REQUIRED | DEFAULT | MANUFACTURING, key = 0;\ + option text = STRING_TOKEN (STR_POWER_ON), value = 1, flags = RESET_REQUIRED | DEFAULT | MANUFACTURING, key = 0;\ + option text = STRING_TOKEN (STR_LAST_STATE), value = 2, flags = RESET_REQUIRED, key = 0;\ endoneof; #define SB_ONEOF_PORT80\ -- cgit v1.2.3 From 16d5c5c726756bb38f8b5d2865da7659cb97a76e Mon Sep 17 00:00:00 2001 From: raywu Date: Fri, 23 Nov 2018 10:32:14 +0800 Subject: DW01 - Do Not Support Jack Detection : Commit Audio Verb Configurations --- HDACfg-0662-10EC0000.txt | 12 ++++++------ New_Project_01/HDACfg.bak | Bin 0 -> 552 bytes New_Project_01/HDACfg.dat | Bin 552 -> 552 bytes 3 files changed, 6 insertions(+), 6 deletions(-) create mode 100644 New_Project_01/HDACfg.bak diff --git a/HDACfg-0662-10EC0000.txt b/HDACfg-0662-10EC0000.txt index bd91b0f..a630a7f 100644 --- a/HDACfg-0662-10EC0000.txt +++ b/HDACfg-0662-10EC0000.txt @@ -10,12 +10,12 @@ ;HDA Codec PnP ID : HDAUDIO\FUNC_01&VEN_10EC&DEV_0662&SUBSYS_10EC0000 ;The number of verb command block : 13 -; NID 0x14 : 0x02014010 +; NID 0x14 : 0x02014110 ; NID 0x15 : 0x4000C000 ; NID 0x16 : 0x411111F0 -; NID 0x18 : 0x01A19020 +; NID 0x18 : 0x01A19120 ; NID 0x19 : 0x411111F0 -; NID 0x1A : 0x0181302F +; NID 0x1A : 0x0181312F ; NID 0x1B : 0x411111F0 ; NID 0x1C : 0x411111F0 ; NID 0x1D : 0x40B00001 @@ -38,7 +38,7 @@ dd 0017FF00h dd 0017FF00h ;Pin widget 0x14 - FRONT (Port-D) dd 01471C10h -dd 01471D40h +dd 01471D41h dd 01471E01h dd 01471F02h ;Pin widget 0x15 - SURR (Port-A) @@ -53,7 +53,7 @@ dd 01671E11h dd 01671F41h ;Pin widget 0x18 - MIC1 (Port-B) dd 01871C20h -dd 01871D90h +dd 01871D91h dd 01871EA1h dd 01871F01h ;Pin widget 0x19 - MIC2 (Port-F) @@ -63,7 +63,7 @@ dd 01971E11h dd 01971F41h ;Pin widget 0x1A - LINE1 (Port-C) dd 01A71C2Fh -dd 01A71D30h +dd 01A71D31h dd 01A71E81h dd 01A71F01h ;Pin widget 0x1B - LINE2 (Port-E) diff --git a/New_Project_01/HDACfg.bak b/New_Project_01/HDACfg.bak new file mode 100644 index 0000000..19760fc Binary files /dev/null and b/New_Project_01/HDACfg.bak differ diff --git a/New_Project_01/HDACfg.dat b/New_Project_01/HDACfg.dat index 19760fc..68f316f 100644 Binary files a/New_Project_01/HDACfg.dat and b/New_Project_01/HDACfg.dat differ -- cgit v1.2.3 From 28d4aff5d6b62104573b7e6785c11776a5afdb1f Mon Sep 17 00:00:00 2001 From: raywu Date: Fri, 23 Nov 2018 10:34:37 +0800 Subject: DW01 - Do Not Support Jack Detection : Verb Table Modification --- CRB/CRBLib.c | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/CRB/CRBLib.c b/CRB/CRBLib.c index 5369e6d..1a34a09 100644 --- a/CRB/CRBLib.c +++ b/CRB/CRBLib.c @@ -344,12 +344,12 @@ UINT32 ZprjHdaVerbTbl[] = { // HDA Codec PnP ID : HDAUDIO\FUNC_01&VEN_10EC&DEV_0662&SUBSYS_10EC0000 // The number of verb command block : 13 -// NID 0x14 : 0x02014010 +// NID 0x14 : 0x02014110 // NID 0x15 : 0x4000C000 // NID 0x16 : 0x411111F0 -// NID 0x18 : 0x01A19020 +// NID 0x18 : 0x01A19120 // NID 0x19 : 0x411111F0 -// NID 0x1A : 0x0181302F +// NID 0x1A : 0x0181312F // NID 0x1B : 0x411111F0 // NID 0x1C : 0x411111F0 // NID 0x1D : 0x40B00001 @@ -372,7 +372,7 @@ UINT32 ZprjHdaVerbTbl[] = { 0x0017FF00, // Pin widget 0x14 - FRONT (Port-D) 0x01471C10, -0x01471D40, +0x01471D41, 0x01471E01, 0x01471F02, // Pin widget 0x15 - SURR (Port-A) @@ -387,7 +387,7 @@ UINT32 ZprjHdaVerbTbl[] = { 0x01671F41, // Pin widget 0x18 - MIC1 (Port-B) 0x01871C20, -0x01871D90, +0x01871D91, 0x01871EA1, 0x01871F01, // Pin widget 0x19 - MIC2 (Port-F) @@ -397,7 +397,7 @@ UINT32 ZprjHdaVerbTbl[] = { 0x01971F41, // Pin widget 0x1A - LINE1 (Port-C) 0x01A71C2F, -0x01A71D30, +0x01A71D31, 0x01A71E81, 0x01A71F01, // Pin widget 0x1B - LINE2 (Port-E) -- cgit v1.2.3 From d09773e153cb6725d6e124c6dc42f5ea4b73348b Mon Sep 17 00:00:00 2001 From: raywu Date: Fri, 23 Nov 2018 11:10:41 +0800 Subject: DW01 - Spec. Changed : BIOS Default Modifications --- Board/NB/NBSetup/NB.sd | 5 +++++ Board/SB/SBSetup/SB.sd | 12 ++++++------ 2 files changed, 11 insertions(+), 6 deletions(-) diff --git a/Board/NB/NBSetup/NB.sd b/Board/NB/NBSetup/NB.sd index 089831b..15db267 100644 --- a/Board/NB/NBSetup/NB.sd +++ b/Board/NB/NBSetup/NB.sd @@ -3877,6 +3877,11 @@ endif ; // endif; NB_ONEOF_IGDBOOTTYPE + suppressif ideqval SETUP_DATA.IgdBootType == 0x0; + + NB_ONEOF_DISPLAYPIPEB + + endif; #if defined(RC_PEG_0) && (RC_PEG_0 == 1) #if ( defined(SwitchableGraphics_SUPPORT) && (SwitchableGraphics_SUPPORT == 1) ) diff --git a/Board/SB/SBSetup/SB.sd b/Board/SB/SBSetup/SB.sd index 471edc7..c5b20c6 100644 --- a/Board/SB/SBSetup/SB.sd +++ b/Board/SB/SBSetup/SB.sd @@ -1178,8 +1178,8 @@ oneof varid = SETUP_DATA.PchUsb20PinRoute,\ prompt = STRING_TOKEN (STR_PCH_ROUTE_USB20_PIN_TO_HC),\ help = STRING_TOKEN (STR_PCH_ROUTE_USB20_PIN_TO_HC_HELP),\ - option text = STRING_TOKEN (STR_ROUTE_PER_PIN), value = 0, flags = RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN (STR_ROUTE_ALL_PIN_EHCI), value = 1, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN (STR_ROUTE_PER_PIN), value = 0, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN (STR_ROUTE_ALL_PIN_EHCI), value = 1, flags = RESET_REQUIRED, key = 0;\ option text = STRING_TOKEN (STR_ROUTE_ALL_PIN_XHCI), value = 2, flags = RESET_REQUIRED, key = 0;\ endoneof; @@ -1196,16 +1196,16 @@ oneof varid = SETUP_DATA.ManualModeUsb20PerPinRoute[OFFSET_0],\ prompt = STRING_TOKEN (STR_PCH_USB_20_PIN0),\ help = STRING_TOKEN (STR_EMPTY_STRING),\ - option text = STRING_TOKEN (STR_ROUTE_TO_EHCI), value = 0, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN (STR_ROUTE_TO_XHCI), value = 1, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN (STR_ROUTE_TO_EHCI), value = 0, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN (STR_ROUTE_TO_XHCI), value = 1, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ endoneof; #define SB_ONEOF_USB20PERPINROUTE01\ oneof varid = SETUP_DATA.ManualModeUsb20PerPinRoute[OFFSET_1],\ prompt = STRING_TOKEN (STR_PCH_USB_20_PIN1),\ help = STRING_TOKEN (STR_EMPTY_STRING),\ - option text = STRING_TOKEN (STR_ROUTE_TO_EHCI), value = 0, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN (STR_ROUTE_TO_XHCI), value = 1, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN (STR_ROUTE_TO_EHCI), value = 0, flags = RESET_REQUIRED, key = 0;\ + option text = STRING_TOKEN (STR_ROUTE_TO_XHCI), value = 1, flags = DEFAULT | MANUFACTURING | RESET_REQUIRED, key = 0;\ endoneof; #define SB_ONEOF_USB20PERPINROUTE02\ -- cgit v1.2.3 From 16d9c6b8f5a95724f70ad3af0dbed5cccd57b6ec Mon Sep 17 00:00:00 2001 From: raywu Date: Fri, 23 Nov 2018 15:15:07 +0800 Subject: DW01 - Support WDT Function --- Board/EM/Setup/Advanced.vfr | 7 +++++++ Board/IO/F81866/BSP/PeiIoTable.h | 2 +- Board/IO/F81866/F81866.ASL | 4 ++++ Board/IO/F81866/F81866.SD | 30 ++++++++++++++++++++++++++++ Board/IO/F81866/F81866.UNI | Bin 39290 -> 39562 bytes CRB/CRBPei.c | 38 ++++++++++++++++++++++++++++++++++++ Core/EM/AMITSE/commonoem.c | 41 +++++++++++++++++++++++++++++++++++++++ 7 files changed, 121 insertions(+), 1 deletion(-) diff --git a/Board/EM/Setup/Advanced.vfr b/Board/EM/Setup/Advanced.vfr index d6a6fe7..425c9dd 100644 --- a/Board/EM/Setup/Advanced.vfr +++ b/Board/EM/Setup/Advanced.vfr @@ -121,6 +121,13 @@ formset guid = ADVANCED_FORM_SET_GUID, #include #undef FORM_SET_GOTO + SEPARATOR + + CHECKBOX_F81866_WDT_ENABLE + suppressif ideqval SETUP_DATA.F81866WdtEnable == 0x0; + NUMERIC_F81866_WDT_TIMER + endif; + #if SETUP_GROUP_DYNAMIC_PAGES SEPARATOR suppressif ideqvallist DYNAMIC_PAGE_COUNT.PageCount == 0xFFFF; diff --git a/Board/IO/F81866/BSP/PeiIoTable.h b/Board/IO/F81866/BSP/PeiIoTable.h index ff51254..4c5e205 100644 --- a/Board/IO/F81866/BSP/PeiIoTable.h +++ b/Board/IO/F81866/BSP/PeiIoTable.h @@ -251,7 +251,7 @@ SIO_DATA F81866_PEI_Init_Table[] = { {F81866_CONFIG_INDEX, 0xFF, 0x27}, {F81866_CONFIG_DATA, 0xF2, 0x04}, // GPIO_PROG_SEL = 01b , CLK_TUNE_PROG_EN = 0b {F81866_CONFIG_INDEX, 0xFF, 0x2C}, - {F81866_CONFIG_DATA, 0x10, 0x6F}, + {F81866_CONFIG_DATA, 0x10, 0x4F}, {F81866_CONFIG_INDEX, 0xFF, 0x27}, {F81866_CONFIG_DATA, 0xF2, 0x08}, // GPIO_PROG_SEL = 10b , CLK_TUNE_PROG_EN = 0b {F81866_CONFIG_INDEX, 0xFF, 0x2C}, diff --git a/Board/IO/F81866/F81866.ASL b/Board/IO/F81866/F81866.ASL index 8899ae8..ae13582 100644 --- a/Board/IO/F81866/F81866.ASL +++ b/Board/IO/F81866/F81866.ASL @@ -884,6 +884,10 @@ Method(SIOW, 1){ And(0xF0, ^SIO1.CR2D,^SIO1.CR2D) //disable kbc/mouse wakeup And(0x7F, ^SIO1.RGE0, ^SIO1.RGE0) //disable ERP function,bit7 + ^SIO1.ENFG(0x07) + And(^SIO1.OPT5, 0xDF, ^SIO1.OPT5) + Store(^SIO1.OPT5, ^SIO1.OPT5) + ^SIO1.EXFG() } diff --git a/Board/IO/F81866/F81866.SD b/Board/IO/F81866/F81866.SD index 440861f..d48621d 100644 --- a/Board/IO/F81866/F81866.SD +++ b/Board/IO/F81866/F81866.SD @@ -150,8 +150,38 @@ UINT8 F81866LptGpioConfiguration7xLevel[8]; UINT8 F81866LptGpioConfiguration8x[8]; // GPIO8x UINT8 F81866LptGpioConfiguration8xLevel[8]; + + UINT8 F81866WdtEnable ; + UINT8 F81866WdtTimer ; #endif //SETUP_DATA_DEFINITION +#if defined(VFRCOMPILE) && !defined(CONTROLS_ARE_DEFINED) +#define CONTROL_DEFINITION +#endif + +#ifdef CONTROL_DEFINITION + + #define CHECKBOX_F81866_WDT_ENABLE\ + checkbox varid = SETUP_DATA.F81866WdtEnable,\ + prompt = STRING_TOKEN(STR_F81866_WDT_ENABLE),\ + help = STRING_TOKEN(STR_F81866_WDT_ENABLE),\ + flags = 1 | MANUFACTURING | RESET_REQUIRED,\ + key = 0,\ + endcheckbox; + + #define NUMERIC_F81866_WDT_TIMER\ + numeric varid = SETUP_DATA.F81866WdtTimer,\ + prompt = STRING_TOKEN(STR_F81866_WDT_TIMER),\ + help = STRING_TOKEN(STR_F81866_WDT_TIMER),\ + flags = 0 | RESET_REQUIRED,\ + minimum = 0,\ + maximum = 255,\ + step = 1,\ + default = 16,\ + endnumeric; + +#endif //#ifdef CONTROL_DEFINITION + //------------------------------------------------------------------------- //Select Top level menu itmem (forset) for you pages //------------------------------------------------------------------------- diff --git a/Board/IO/F81866/F81866.UNI b/Board/IO/F81866/F81866.UNI index 26468f4..7b45f2d 100644 Binary files a/Board/IO/F81866/F81866.UNI and b/Board/IO/F81866/F81866.UNI differ diff --git a/CRB/CRBPei.c b/CRB/CRBPei.c index fc93a20..e8cb3ef 100644 --- a/CRB/CRBPei.c +++ b/CRB/CRBPei.c @@ -558,6 +558,44 @@ EFI_STATUS EFIAPI CRBPEI_Init ( F81866ExitConfigMode(); } // Parallel Port / Gpio multi function selection _End << + + { + F81866EnterConfigMode() ; + F81866LDNSelect(F81866_LDN_WDT) ; + + switch( SetupData.F81866WdtEnable ) { + case 1 : // WDT Enabled + // Disable WDT + F81866ConfigRegisterWrite( 0xF5 , F81866ConfigRegisterRead(0xF5) & ~BIT5 ) ; + // Clear WDT Status + F81866ConfigRegisterWrite( 0xF5 , F81866ConfigRegisterRead(0xF5) | BIT6 ) ; + + // Configure WDT to Pulse Mode + F81866ConfigRegisterWrite( 0xF5 , F81866ConfigRegisterRead(0xF5) | BIT4 ) ; + // Configure WDT Pulse Width to 25ms + F81866ConfigRegisterWrite( 0xF5 , F81866ConfigRegisterRead(0xF5) & ~(BIT1 + BIT0) ) ; + F81866ConfigRegisterWrite( 0xF5 , F81866ConfigRegisterRead(0xF5) | BIT0 ) ; + // Configure WDT Polarity to Low Active + F81866ConfigRegisterWrite( 0xF5 , F81866ConfigRegisterRead(0xF5) & ~BIT2 ) ; + // Configure WDT Reset via WDTRST# + F81866ConfigRegisterWrite( 0xFA , F81866ConfigRegisterRead(0xFA) | BIT0 ) ; + + // Configure WDT Timer + F81866ConfigRegisterWrite( 0xF6 , SetupData.F81866WdtTimer ) ; + F81866ConfigRegisterWrite( 0xF5 , F81866ConfigRegisterRead(0xF5) & ~BIT3 ) ; // Configure Timer Unit : 1 Second + + // Enable WDT + F81866ConfigRegisterWrite( 0xF5 , F81866ConfigRegisterRead(0xF5) | BIT5 ) ; + break ; + + default : + case 0 : // WDT Disabled + F81866ConfigRegisterWrite( 0xF5 , F81866ConfigRegisterRead(0xF5) & ~BIT5 ) ; + break ; + } + + F81866ExitConfigMode() ; + } } return EFI_SUCCESS; } diff --git a/Core/EM/AMITSE/commonoem.c b/Core/EM/AMITSE/commonoem.c index cdc4d35..ab5364c 100644 --- a/Core/EM/AMITSE/commonoem.c +++ b/Core/EM/AMITSE/commonoem.c @@ -849,6 +849,43 @@ UINT16 gRootPageOrderIndex = 0; UINTN CurrentScreenresolutionX, CurrentScreenresolutionY; extern UINTN gPostStatus; //EIP-140123 +VOID F81866ConfigRegisterWrite(UINT8 Index, UINT8 Data) +{ + IoWrite8(F81866_CONFIG_INDEX, Index); + IoWrite8(F81866_CONFIG_DATA, Data); +} +UINT8 F81866ConfigRegisterRead(UINT8 Index) +{ + UINT8 Data8; + IoWrite8(F81866_CONFIG_INDEX, Index); + Data8 = IoRead8(F81866_CONFIG_DATA); + return Data8; +} +VOID F81866LDNSelect(UINT8 Ldn) +{ + IoWrite8(F81866_CONFIG_INDEX, F81866_LDN_SEL_REGISTER); + IoWrite8(F81866_CONFIG_DATA, Ldn); +} +VOID F81866EnterConfigMode() +{ + IoWrite8(F81866_CONFIG_INDEX, F81866_CONFIG_MODE_ENTER_VALUE); + IoWrite8(F81866_CONFIG_INDEX, F81866_CONFIG_MODE_ENTER_VALUE); +} +VOID F81866ExitConfigMode() +{ + // Exit config mode + IoWrite8(F81866_CONFIG_INDEX, F81866_CONFIG_MODE_EXIT_VALUE); +} + +VOID F81866WDTDisable() +{ + F81866EnterConfigMode() ; + F81866LDNSelect(F81866_LDN_WDT) ; + // WDT Disabled + F81866ConfigRegisterWrite( 0xF5 , F81866ConfigRegisterRead(0xF5) & ~BIT5 ) ; + F81866ExitConfigMode() ; +} + // //---------------------------------------------------------------------------- // Procedure: DrawQuietBootLogo @@ -1639,6 +1676,7 @@ VOID CheckForKey (EFI_EVENT Event, VOID *Context) ) { + F81866WDTDisable() ; CheckandDeactivateSoftkbd();// EIP62763 : Check and Deactivate if softkbd present PrintEnterSetupMessage(); } @@ -1652,6 +1690,7 @@ VOID CheckForKey (EFI_EVENT Event, VOID *Context) #endif ) { + F81866WDTDisable() ; CheckandDeactivateSoftkbd();// EIP62763 : Check and Deactivate if softkbd present PrintEnterBBSPopupMessage (); gBootFlow = BOOT_FLOW_CONDITION_BBS_POPUP; @@ -1792,6 +1831,7 @@ VOID CheckForClick (EFI_EVENT Event, VOID *Context) // VOID BeforeLegacyBootLaunch(VOID) { + F81866WDTDisable() ; } // @@ -1830,6 +1870,7 @@ VOID MouseDestroy(VOID); // VOID BeforeEfiBootLaunch(VOID) { + F81866WDTDisable() ; StopClickEvent();//EIP 86253 : Mouse and SoftKbd does not work after displaying "No option to boot to" in POST MouseDestroy(); -- cgit v1.2.3 From 8a5d7221bdf4dfa57476de4c5be27c7ee2dacd40 Mon Sep 17 00:00:00 2001 From: raywu Date: Fri, 23 Nov 2018 15:16:09 +0800 Subject: DW01 - Release [DW01AR13] --- Board/EM/Setup/Setup.uni | Bin 24786 -> 24786 bytes DW01AR13.zip | Bin 0 -> 2852320 bytes RomImage/FitcBuild.bat | 2 +- 3 files changed, 1 insertion(+), 1 deletion(-) create mode 100644 DW01AR13.zip diff --git a/Board/EM/Setup/Setup.uni b/Board/EM/Setup/Setup.uni index 08479df..9f9dd79 100644 Binary files a/Board/EM/Setup/Setup.uni and b/Board/EM/Setup/Setup.uni differ diff --git a/DW01AR13.zip b/DW01AR13.zip new file mode 100644 index 0000000..f96afba Binary files /dev/null and b/DW01AR13.zip differ diff --git a/RomImage/FitcBuild.bat b/RomImage/FitcBuild.bat index 40ce9d3..28f0758 100644 --- a/RomImage/FitcBuild.bat +++ b/RomImage/FitcBuild.bat @@ -103,7 +103,7 @@ GOTO ImageOutput :ImageOutput IF EXIST %DestDir%\OutImage.bin ECHO Rom_%RomSize%%RomSkue%.bin && move /Y %DestDir%\OutImage.bin Rom_%RomSize%%RomSkue%.bin -IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AR12.bin +IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AR13.bin IF EXIST %DestDir%\OutImage_1.bin ECHO Rom00%RomSkue%.bin && move /Y %DestDir%\OutImage_1.bin Rom00%RomSkue%.bin IF EXIST %DestDir%\OutImage_2.bin ECHO Rom01%RomSkue%.bin && move /Y %DestDir%\OutImage_2.bin Rom01%RomSkue%.bin echo Rom_%RomSize%%RomSkue%.bin>ForPfatName.txt -- cgit v1.2.3 From 875d03f07f6a2ba88b4dc237f08fd299da65efc2 Mon Sep 17 00:00:00 2001 From: raywu Date: Thu, 13 Dec 2018 16:23:28 +0800 Subject: DW01 - Supported FixedBootOrder Module --- Board/EM/Setup/Boot.vfr | 4 ++++ CRB/CSP.sdl | 2 +- SharkBayDT.veb | 4 +++- 3 files changed, 8 insertions(+), 2 deletions(-) diff --git a/Board/EM/Setup/Boot.vfr b/Board/EM/Setup/Boot.vfr index 43e625a..f0ea151 100644 --- a/Board/EM/Setup/Boot.vfr +++ b/Board/EM/Setup/Boot.vfr @@ -186,6 +186,7 @@ formset guid = BOOT_FORM_SET_GUID, CSM_OPT_OUT_PXE_OPROM +#if !FIXED_BOOT_ORDER_SUPPORT //(EIP9585) SEPARATOR SUBTITLE(STRING_TOKEN(STR_BOOT_ORDER_SUBTITLE)) suppressif ideqvallist BOOT_MANAGER.BootCount == 0xFFFF; @@ -211,10 +212,12 @@ formset guid = BOOT_FORM_SET_GUID, help = STRING_TOKEN(STR_BBS_ORDER_HELP); endif; #endif +#endif //#if !FIXED_BOOT_ORDER_SUPPORT //(EIP9585) #define FORM_SET_GOTO #include #undef FORM_SET_GOTO endform; +#if !FIXED_BOOT_ORDER_SUPPORT //(EIP9585) #if !defined(GROUP_BOOT_OPTIONS_BY_TAG) || GROUP_BOOT_OPTIONS_BY_TAG==1 form formid = AUTO_ID(BBS_ORDER_FORM), @@ -237,6 +240,7 @@ formset guid = BOOT_FORM_SET_GUID, endif; endform; #endif +#endif //#if !FIXED_BOOT_ORDER_SUPPORT //(EIP9585) #define FORM_SET_FORM #include #undef FORM_SET_FORM diff --git a/CRB/CSP.sdl b/CRB/CSP.sdl index 83240be..7a7b226 100644 --- a/CRB/CSP.sdl +++ b/CRB/CSP.sdl @@ -1654,7 +1654,7 @@ End TOKEN Name = "BBS_USB_DEVICE_TYPE_SUPPORT" - Value = "1" + Value = "0" Help = "Treat USB devices as a separate BBS device type" TokenType = Boolean TargetH = Yes diff --git a/SharkBayDT.veb b/SharkBayDT.veb index 3845b63..648abc8 100644 --- a/SharkBayDT.veb +++ b/SharkBayDT.veb @@ -799,7 +799,9 @@ "Core\EM\AMIDebugRx\binaries\LIBS\DbgrUsbCableSelectLib.cif"= "SS: Alaska;$/Alaska/BIN/Modules/AMIDebugRx\binaries/LIBS;4.6.2_AMIDebugRx_2.04.0074" "Core\EM\AMIDebugRx\binaries\LIBS\DbgrX64Lib\DbgrX64Lib.cif"= "SS: Alaska;$/Alaska/BIN/Modules/AMIDebugRx\binaries/LIBS/DbgrX64Lib;4.6.2_AMIDebugRx_2.04.0074" "Core\EM\AMIDebugRx\binaries\LIBS\DebuggerLib\DebuggerLib.cif"= "SS: Alaska;$/Alaska/BIN/Modules/AMIDebugRx\binaries/LIBS/DebuggerLib;4.6.2_AMIDebugRx_2.04.0074" - +"FixedBootOrder.cif"= "SS: ALASKA;$/Alaska/BIN/Modules/Template/FixedBootOrder Demo;4.6.5.1_FixedBootOrder_17" +"Board\EM\FixedBootOrder\DefaultFixedBootOrder\DefaultFixedBootOrder.cif"= "SS: ALASKA;$/Alaska/BIN/Modules/Template/FixedBootOrder Demo\Board/em/FixedBootOrder;4.6.5.1_FixedBootOrder_17" +"Board\EM\FixedBootOrder\FixedBootOrderStyle\FixedBootOrderStyle.cif"= "SS: ALASKA;$/Alaska/BIN/Modules/Template/FixedBootOrder Demo\Board/em/FixedBootOrder;4.6.5.1_FixedBootOrder_17" "Board\Board.cif"= "SS: Alaska;$/Alaska/BIN/Board;4.6.5.4_Board_31" "Board\em\Setup\Setup.cif"= "SS: Alaska;$/Alaska/BIN/Board\Setup;4.6.5.4_Board_31" "Include\Protocol\AmiSetupProtocol.cif"= "SS: ALASKA;$/Alaska/BIN/Board\Setup;4.6.5.4_Board_31" -- cgit v1.2.3 From 00a749954601529725caeb687156280bc9fd98f3 Mon Sep 17 00:00:00 2001 From: raywu Date: Thu, 13 Dec 2018 16:24:13 +0800 Subject: DW01 - Re-define OnlyBootHdd Condition --- Core/EM/EfiOsBootOptionNames/EfiOsBootOrder.c | 120 +++++++++++++++++++++++++- 1 file changed, 119 insertions(+), 1 deletion(-) diff --git a/Core/EM/EfiOsBootOptionNames/EfiOsBootOrder.c b/Core/EM/EfiOsBootOptionNames/EfiOsBootOrder.c index df5ef53..3501a4b 100644 --- a/Core/EM/EfiOsBootOptionNames/EfiOsBootOrder.c +++ b/Core/EM/EfiOsBootOptionNames/EfiOsBootOrder.c @@ -245,6 +245,123 @@ extern UINT16 gSATA[3][2] ; EFI_DEVICE_PATH_PROTOCOL **NewEfiOsOptionDpList = NULL ; UINTN NewEfiOsOptionDpListCount = 0 ; +#define CONFIG_PORT0 0x2E +#define INDEX_PORT0 0x2E +#define DATA_PORT0 0x2F +#define SEND_BYTE_DELEY 0x200 +#define SEND_BYTE_ATEMPTS 0x10 + +#define COM_BASE_ADDR 0x03f8 + +#define LSR_OFFSET 0x05 +#define LCR_OFFSET 0x03 +#define DIV_MSB 0x01 +#define DIV_LSB 0 + +#define TRANSMIT_READY_BIT 0x020 +//#ifdef UART_INPUT_CLOCK +//UINTN UartInputClock=UART_INPUT_CLOCK; +//#else +//// +//// Set the default value((24000000/13)MHz input clock) if the UART_INPUT_CLOCK SDL token is not present. +//// +//UINTN UartInputClock=1843200; +//#endif + +#define BAUD_RATE_DIVISOR 115200 + +#define DATA_BITS 3 // 0 - 5 bits / 1 - 6 bits +// 2 - 7 bits / 3 - 8 bits +// RSP BUGBUG #define STOP_BIT 0 // 0 - 1 stop bit +#define STOP_BIT 1 // 0 - 1 stop bit +// 1 - mutiple bits depending on the databits +#define PARITY_BITS 0 // 0 - None / 1 enables parity +#define BREAK_BIT 0 // 0 - No break +#define DLAB_BIT 1 // 0 - Divisor Latch Disabled + +BOOLEAN EfiOsBootOrder_SendByte(UINT8 Byte) +{ + UINT8 Buffer8; + UINT32 Cntr = 0; + + do + { + // Read the ready signal to see if the serial port is + // ready for the next byte. + Buffer8 = IoRead8(COM_BASE_ADDR + LSR_OFFSET); + // Increment timeout counter. + Cntr++; + // Loop until the serial port is ready for the next byte. + } + while ( (Cntr < SEND_BYTE_DELEY) && + ((Buffer8 & TRANSMIT_READY_BIT) == FALSE) ); + if (Cntr < SEND_BYTE_DELEY){ + + IoWrite8(COM_BASE_ADDR, Byte); + return TRUE; + } else return FALSE; + +} + +// +//---------------------------------------------------------------------------- +// Procedure: EfiOsBootOrder_SerialOutput +// +// Description: Writes the given string to the serial port byte by byte +// using the function EfiOsBootOrder_SendByte. +// +// Input: +// IN EFI_PEI_SERVICES **PeiServices - pointer to the PEI Boot Services table +// CHAR8 *String - String to be sent over the serial port +// +// Output: EFI_SUCCESS +// +//---------------------------------------------------------------------------- +// +EFI_STATUS EfiOsBootOrder_SerialOutput( + IN CHAR8 *String +) +{ +//#if SERIAL_STATUS_SUPPORT + UINT8 *Buffer, i; + UINT32 Delay; + BOOLEAN ByteSent; + + if (String == NULL) return EFI_SUCCESS; + // first make data useable + Buffer = String; + + // now send data one byte at a time until the string hits the end of string + // or we hit the max number of characters + while (*Buffer) + { + // replace "\n" with "\r\n" + for (i = 0; i <= SEND_BYTE_ATEMPTS; i++){ + ByteSent = TRUE; + + if (*Buffer=='\n') ByteSent = EfiOsBootOrder_SendByte('\r'); + + if (ByteSent) ByteSent = EfiOsBootOrder_SendByte(*Buffer); + + if (ByteSent) break; + else + for (Delay = 0; Delay <= 100000; Delay++); + } + + // move Data pointer to the next byte if previous was sent + if (ByteSent) Buffer++; + else return EFI_SUCCESS; + } +//#endif + return EFI_SUCCESS; +} +VOID EfiOsBootOrder_SerialCheckpoint(UINT16 Checkpoint) +{ + char s[20]; + Sprintf_s(s, sizeof(s), "=== %X\n",Checkpoint); + EfiOsBootOrder_SerialOutput(s); +} + // //--------------------------------------------------------------------------- // @@ -1432,7 +1549,8 @@ BOOLEAN RemoveLegacyGptHdd(BOOT_DEVICE *Device){ Status = pRS->GetVariable(L"Setup", &SetupGuid, NULL, &Size, &SetupData); - if( Device->BbsEntry->DeviceType != BBS_HARDDISK && SetupData.OnlyBootHDD == 1 ) return TRUE; +// if( Device->BbsEntry->DeviceType != BBS_HARDDISK && SetupData.OnlyBootHDD == 1 ) return TRUE; + if( Device->BbsEntry->Class != PCI_CL_MASS_STOR && SetupData.OnlyBootHDD == 1 ) return TRUE; return FALSE; } #endif -- cgit v1.2.3 From 7226fa0aa4172328b99b9cad872f24d66c5a52ae Mon Sep 17 00:00:00 2001 From: raywu Date: Thu, 13 Dec 2018 16:25:19 +0800 Subject: DW01 - Dynamic Refresh FixBootOrder Setup Items --- .../DefaultFixedBootOrder/DefaultFixedBootOrder.sd | 30 +++++++++++++++++++--- 1 file changed, 27 insertions(+), 3 deletions(-) diff --git a/Board/EM/FixedBootOrder/DefaultFixedBootOrder/DefaultFixedBootOrder.sd b/Board/EM/FixedBootOrder/DefaultFixedBootOrder/DefaultFixedBootOrder.sd index 97811b7..15e44b6 100644 --- a/Board/EM/FixedBootOrder/DefaultFixedBootOrder/DefaultFixedBootOrder.sd +++ b/Board/EM/FixedBootOrder/DefaultFixedBootOrder/DefaultFixedBootOrder.sd @@ -180,6 +180,7 @@ STRING_TOKEN(STR_BOOT_ORDER_16) SEPARATOR SUBTITLE(STRING_TOKEN(STR_FIXED_BOOT_ORDER_SUBTITLE)) +suppressif ideqvallist SETUP_DATA.OnlyBootHDD == 1 ; //LEGACY BOOT ITEM suppressif ideqvallist SETUP_DATA.BootMode == 1 2; label AUTO_ID(FIXED_BOOT_ITEM_LABEL1); @@ -195,6 +196,28 @@ STRING_TOKEN(STR_BOOT_ORDER_16) label AUTO_ID(FIXED_BOOT_ITEM_LABEL3); endif; //suppressif #endif +endif ; // suppressif ideqvallist SETUP_DATA.OnlyBootHDD == 1 ; +suppressif ideqvallist SETUP_DATA.OnlyBootHDD == 0 ; + suppressif ideqvallist SETUP_DATA.BootMode == 1 2; + grayoutif TRUE ; + oneof varid = SETUP_DATA.LegacyPriorities[0], + prompt = STRING_TOKEN(STR_BOOT_ORDER_1), + help = STRING_TOKEN(STR_BOOT_OPTION_HELP), + option text = STRING_TOKEN(STR_BOOT_HDD), value = 0, flags = MANUFACTURING | DEFAULT; + endoneof; + endif ; // grayoutif TRUE ; + endif ; // suppressif ideqvallist SETUP_DATA.BootMode == 1 2; + + suppressif ideqvallist SETUP_DATA.BootMode == 0 2; + grayoutif TRUE ; + oneof varid = SETUP_DATA.UefiPriorities[0], + prompt = STRING_TOKEN(STR_BOOT_ORDER_1), + help = STRING_TOKEN(STR_BOOT_OPTION_HELP), + option text = STRING_TOKEN(STR_BOOT_HDD), value = 0, flags = MANUFACTURING | DEFAULT; + endoneof; + endif ; // grayoutif TRUE ; + endif ; // suppressif ideqvallist SETUP_DATA.BootMode == 0 2; +endif ; // suppressif ideqvallist SETUP_DATA.OnlyBootHDD == 0 ; //(EIP107268+)> #if USE_BCP_DEFAULT_PRIORITIES //----------------------------------------------------------------------------------// @@ -749,7 +772,7 @@ STRING_TOKEN(STR_BOOT_ORDER_16) help = STRING_TOKEN(STR_HDD_BOOT_ORDER_HELP); endif; endif; - +suppressif ideqvallist SETUP_DATA.OnlyBootHDD == 1 ; suppressif ideqvallist SETUP_DATA.BootMode == 1; suppressif ideqvallist FIXED_BOOT_GROUP.LegacyODD == 0; goto ODD_BOOT_FORM_ID, @@ -797,6 +820,7 @@ STRING_TOKEN(STR_BOOT_ORDER_16) help = STRING_TOKEN(STR_USBFDD_BOOT_ORDER_HELP); endif; endif; +endif ; // suppressif ideqvallist SETUP_DATA.OnlyBootHDD == 1 ; #endif //-------------------------------------------------- // UEFI GROUP FORM GOTO ITEM @@ -808,7 +832,7 @@ STRING_TOKEN(STR_BOOT_ORDER_16) help = STRING_TOKEN(STR_UEFI_HDD_BOOT_ORDER_HELP); endif; endif; - +suppressif ideqvallist SETUP_DATA.OnlyBootHDD == 1 ; suppressif ideqvallist SETUP_DATA.BootMode == 0; suppressif ideqvallist FIXED_BOOT_GROUP.UefiODD == 0; goto UEFI_ODD_BOOT_FORM_ID, @@ -857,7 +881,7 @@ STRING_TOKEN(STR_BOOT_ORDER_16) help = STRING_TOKEN(STR_UEFI_USBFDD_BOOT_ORDER_HELP); endif; endif; - +endif ; // suppressif ideqvallist SETUP_DATA.OnlyBootHDD == 1 ; #endif //#ifdef FORM_SET_GOTO -- cgit v1.2.3 From 5a6ea16122a38cf5e26ac06eb4460545cb945389 Mon Sep 17 00:00:00 2001 From: raywu Date: Thu, 13 Dec 2018 16:26:00 +0800 Subject: DW01 - Adjust Setup Menu Layout --- Board/EM/Csm/csm.sd | 1 + Board/EM/Setup/Boot.vfr | 3 ++- 2 files changed, 3 insertions(+), 1 deletion(-) diff --git a/Board/EM/Csm/csm.sd b/Board/EM/Csm/csm.sd index 9106247..165fea4 100644 --- a/Board/EM/Csm/csm.sd +++ b/Board/EM/Csm/csm.sd @@ -216,6 +216,7 @@ #ifdef FORM_SET_GOTO // Define goto commands for the forms defined in this file + SEPARATOR goto CSM_FORM_ID, prompt = STRING_TOKEN(STR_CSM_FORM), help = STRING_TOKEN(STR_CSM_FORM_HELP); diff --git a/Board/EM/Setup/Boot.vfr b/Board/EM/Setup/Boot.vfr index f0ea151..bb80a8b 100644 --- a/Board/EM/Setup/Boot.vfr +++ b/Board/EM/Setup/Boot.vfr @@ -180,11 +180,12 @@ formset guid = BOOT_FORM_SET_GUID, #endif endcheckbox; + CSM_OPT_OUT_PXE_OPROM + #define FORM_SET_ITEM #include #undef FORM_SET_ITEM - CSM_OPT_OUT_PXE_OPROM #if !FIXED_BOOT_ORDER_SUPPORT //(EIP9585) SEPARATOR -- cgit v1.2.3 From dd6f907985482d9f94c7f1159364d6fd1f046896 Mon Sep 17 00:00:00 2001 From: raywu Date: Thu, 13 Dec 2018 16:26:39 +0800 Subject: DW01 - Spec. Changed - Hide Some Options for "Secondary IGFX Boot Display" --- Board/NB/NBSetup/NB.sd | 16 ++++++++++++---- 1 file changed, 12 insertions(+), 4 deletions(-) diff --git a/Board/NB/NBSetup/NB.sd b/Board/NB/NBSetup/NB.sd index 15db267..d1f0591 100644 --- a/Board/NB/NBSetup/NB.sd +++ b/Board/NB/NBSetup/NB.sd @@ -907,6 +907,18 @@ option text = STRING_TOKEN(STR_VIDEO_BT_EFP), value = 0x04, flags = RESET_REQUIRED, key = 0;\ endoneof; +//#define NB_ONEOF_DISPLAYPIPEB\ +// oneof varid = SETUP_DATA.DisplayPipeB,\ +// prompt = STRING_TOKEN(STR_VIDEO_BT_PIPE_B_PROMPT),\ +// help = STRING_TOKEN(STR_VIDEO_BT_PIPE_B_HELP),\ +// option text = STRING_TOKEN(STR_VIDEO_BT_PIPE_B_DISABLED), value = 0, flags = RESET_REQUIRED | DEFAULT | MANUFACTURING, key = 0;\ +// option text = STRING_TOKEN(STR_VIDEO_BT_CRT), value = 0x01, flags = RESET_REQUIRED, key = 0;\ +// option text = STRING_TOKEN(STR_VIDEO_BT_EFP), value = 0x04, flags = RESET_REQUIRED, key = 0;\ +// option text = STRING_TOKEN(STR_VIDEO_BT_LFP), value = 0x08, flags = RESET_REQUIRED, key = 0;\ +// option text = STRING_TOKEN(STR_VIDEO_BT_EFP3), value = 0x20, flags = RESET_REQUIRED, key = 0;\ +// option text = STRING_TOKEN(STR_VIDEO_BT_EFP2), value = 0x40, flags = RESET_REQUIRED, key = 0;\ +// option text = STRING_TOKEN(STR_VIDEO_BT_LFP2), value = 0x80, flags = RESET_REQUIRED, key = 0;\ +// endoneof; #define NB_ONEOF_DISPLAYPIPEB\ oneof varid = SETUP_DATA.DisplayPipeB,\ prompt = STRING_TOKEN(STR_VIDEO_BT_PIPE_B_PROMPT),\ @@ -914,10 +926,6 @@ option text = STRING_TOKEN(STR_VIDEO_BT_PIPE_B_DISABLED), value = 0, flags = RESET_REQUIRED | DEFAULT | MANUFACTURING, key = 0;\ option text = STRING_TOKEN(STR_VIDEO_BT_CRT), value = 0x01, flags = RESET_REQUIRED, key = 0;\ option text = STRING_TOKEN(STR_VIDEO_BT_EFP), value = 0x04, flags = RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN(STR_VIDEO_BT_LFP), value = 0x08, flags = RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN(STR_VIDEO_BT_EFP3), value = 0x20, flags = RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN(STR_VIDEO_BT_EFP2), value = 0x40, flags = RESET_REQUIRED, key = 0;\ - option text = STRING_TOKEN(STR_VIDEO_BT_LFP2), value = 0x80, flags = RESET_REQUIRED, key = 0;\ endoneof; #define NB_ONEOF_LCDPANELTYPE\ -- cgit v1.2.3 From 6d90db8cca4adb04c3775d01eb713eaac0eb4a92 Mon Sep 17 00:00:00 2001 From: raywu Date: Thu, 13 Dec 2018 16:27:34 +0800 Subject: Included RAY_LOCAL_DEBUG --- Board/EM/FixedBootOrder/FixedBootOrder.c | 104 +++++++++++++++++++++++++++++++ 1 file changed, 104 insertions(+) diff --git a/Board/EM/FixedBootOrder/FixedBootOrder.c b/Board/EM/FixedBootOrder/FixedBootOrder.c index 5c3a3ed..ecebea1 100644 --- a/Board/EM/FixedBootOrder/FixedBootOrder.c +++ b/Board/EM/FixedBootOrder/FixedBootOrder.c @@ -467,6 +467,110 @@ extern FBO_INITIAL_GROUP INITIAL_LEGCAY_GROUP_FUNCTION; FBO_INITIAL_GROUP *InitLegacyGroup = INITIAL_LEGCAY_GROUP_FUNCTION; extern FBO_INITIAL_GROUP INITIAL_UEFI_GROUP_FUNCTION; FBO_INITIAL_GROUP *InitUefiGroup = INITIAL_UEFI_GROUP_FUNCTION; + +#define CONFIG_PORT0 0x2E +#define INDEX_PORT0 0x2E +#define DATA_PORT0 0x2F +#define SEND_BYTE_DELEY 0x200 +#define SEND_BYTE_ATEMPTS 0x10 + +#define COM_BASE_ADDR 0x03f8 + +#define LSR_OFFSET 0x05 +#define LCR_OFFSET 0x03 +#define DIV_MSB 0x01 +#define DIV_LSB 0 + +#define TRANSMIT_READY_BIT 0x020 +#ifdef UART_INPUT_CLOCK +UINTN UartInputClock=UART_INPUT_CLOCK; +#else +// +// Set the default value((24000000/13)MHz input clock) if the UART_INPUT_CLOCK SDL token is not present. +// +UINTN UartInputClock=1843200; +#endif + +#define BAUD_RATE_DIVISOR 115200 + +#define DATA_BITS 3 // 0 - 5 bits / 1 - 6 bits +// 2 - 7 bits / 3 - 8 bits +// RSP BUGBUG #define STOP_BIT 0 // 0 - 1 stop bit +#define STOP_BIT 1 // 0 - 1 stop bit +// 1 - mutiple bits depending on the databits +#define PARITY_BITS 0 // 0 - None / 1 enables parity +#define BREAK_BIT 0 // 0 - No break +#define DLAB_BIT 1 // 0 - Divisor Latch Disabled + +BOOLEAN SendByte(UINT8 Byte) +{ + UINT8 Buffer8; + UINT32 Cntr = 0; + + do + { + // Read the ready signal to see if the serial port is + // ready for the next byte. + Buffer8 = IoRead8(COM_BASE_ADDR + LSR_OFFSET); + // Increment timeout counter. + Cntr++; + // Loop until the serial port is ready for the next byte. + } + while ( (Cntr < SEND_BYTE_DELEY) && + ((Buffer8 & TRANSMIT_READY_BIT) == FALSE) ); + if (Cntr < SEND_BYTE_DELEY){ + + IoWrite8(COM_BASE_ADDR, Byte); + return TRUE; + } else return FALSE; + +} + +EFI_STATUS SerialOutput( + IN CHAR8 *String +) +{ +//#if SERIAL_STATUS_SUPPORT + UINT8 *Buffer, i; + UINT32 Delay; + BOOLEAN ByteSent; + + if (String == NULL) return EFI_SUCCESS; + // first make data useable + Buffer = String; + + // now send data one byte at a time until the string hits the end of string + // or we hit the max number of characters + while (*Buffer) + { + // replace "\n" with "\r\n" + for (i = 0; i <= SEND_BYTE_ATEMPTS; i++){ + ByteSent = TRUE; + + if (*Buffer=='\n') ByteSent = SendByte('\r'); + + if (ByteSent) ByteSent = SendByte(*Buffer); + + if (ByteSent) break; + else + for (Delay = 0; Delay <= 100000; Delay++); + } + + // move Data pointer to the next byte if previous was sent + if (ByteSent) Buffer++; + else return EFI_SUCCESS; + } +//#endif + return EFI_SUCCESS; +} + +VOID SerialCheckpoint(UINT16 Checkpoint) +{ + char s[20]; + Sprintf_s(s, sizeof(s), " == %X\n",Checkpoint); + SerialOutput(s); +} + // //--------------------------------------------------------------------------- // -- cgit v1.2.3 From 020f9eeef2ddce4bcf7b38e5f785e754dfb66e0d Mon Sep 17 00:00:00 2001 From: raywu Date: Thu, 13 Dec 2018 16:39:11 +0800 Subject: DW01 - Release [DW01AR14] --- Board/EM/Setup/Setup.uni | Bin 24786 -> 24786 bytes DW01AR14.zip | Bin 0 -> 2866482 bytes RomImage/FitcBuild.bat | 2 +- 3 files changed, 1 insertion(+), 1 deletion(-) create mode 100644 DW01AR14.zip diff --git a/Board/EM/Setup/Setup.uni b/Board/EM/Setup/Setup.uni index 9f9dd79..5404c3a 100644 Binary files a/Board/EM/Setup/Setup.uni and b/Board/EM/Setup/Setup.uni differ diff --git a/DW01AR14.zip b/DW01AR14.zip new file mode 100644 index 0000000..4262ea0 Binary files /dev/null and b/DW01AR14.zip differ diff --git a/RomImage/FitcBuild.bat b/RomImage/FitcBuild.bat index 28f0758..e79437d 100644 --- a/RomImage/FitcBuild.bat +++ b/RomImage/FitcBuild.bat @@ -103,7 +103,7 @@ GOTO ImageOutput :ImageOutput IF EXIST %DestDir%\OutImage.bin ECHO Rom_%RomSize%%RomSkue%.bin && move /Y %DestDir%\OutImage.bin Rom_%RomSize%%RomSkue%.bin -IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AR13.bin +IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AR14.bin IF EXIST %DestDir%\OutImage_1.bin ECHO Rom00%RomSkue%.bin && move /Y %DestDir%\OutImage_1.bin Rom00%RomSkue%.bin IF EXIST %DestDir%\OutImage_2.bin ECHO Rom01%RomSkue%.bin && move /Y %DestDir%\OutImage_2.bin Rom01%RomSkue%.bin echo Rom_%RomSize%%RomSkue%.bin>ForPfatName.txt -- cgit v1.2.3 From 2e6c5eb10c47625b7e1228e5c87879cf5d75b7c7 Mon Sep 17 00:00:00 2001 From: raywu Date: Wed, 2 Jan 2019 15:14:32 +0800 Subject: DW01 - Fixed : PS2 Mouse Compatible Issue (will cause system hang up at POST logo) --- Core/EM/PS2CTL/kbc.c | 75 ++++++++++++++++++++++++++++++++++++++---------- Core/EM/PS2CTL/ps2main.c | 3 +- 2 files changed, 62 insertions(+), 16 deletions(-) diff --git a/Core/EM/PS2CTL/kbc.c b/Core/EM/PS2CTL/kbc.c index 1712196..19518b6 100644 --- a/Core/EM/PS2CTL/kbc.c +++ b/Core/EM/PS2CTL/kbc.c @@ -291,8 +291,35 @@ extern UINT8 gKeyboardIrqInstall; extern EFI_LEGACY_8259_PROTOCOL *mLegacy8259; BOOLEAN InsidePS2DataDispatcher = FALSE; //---------------------------------------------------------------------- + - +VOID F81866ConfigRegisterWrite(UINT8 Index, UINT8 Data) +{ + IoWrite8(F81866_CONFIG_INDEX, Index); + IoWrite8(F81866_CONFIG_DATA, Data); +} +UINT8 F81866ConfigRegisterRead(UINT8 Index) +{ + UINT8 Data8; + IoWrite8(F81866_CONFIG_INDEX, Index); + Data8 = IoRead8(F81866_CONFIG_DATA); + return Data8; +} +VOID F81866LDNSelect(UINT8 Ldn) +{ + IoWrite8(F81866_CONFIG_INDEX, F81866_LDN_SEL_REGISTER); + IoWrite8(F81866_CONFIG_DATA, Ldn); +} +VOID F81866EnterConfigMode() +{ + IoWrite8(F81866_CONFIG_INDEX, F81866_CONFIG_MODE_ENTER_VALUE); + IoWrite8(F81866_CONFIG_INDEX, F81866_CONFIG_MODE_ENTER_VALUE); +} +VOID F81866ExitConfigMode() +{ + // Exit config mode + IoWrite8(F81866_CONFIG_INDEX, F81866_CONFIG_MODE_EXIT_VALUE); +} // //---------------------------------------------------------------------- // @@ -432,21 +459,29 @@ VOID AutodetectKbdMousePorts() { UINT8 bData, Index; - EFI_STATUS Status; - Status = IbFreeTimeout(IbFreeMaxTimeoutValue); - if (EFI_ERROR(Status)) { - return; - } - WriteKeyboardCommand(0x60); // Lock KBD +// EFI_STATUS Status; +{ + UINT8 Data8 ; + + F81866EnterConfigMode() ; + F81866LDNSelect(0x05) ; + Data8 = F81866ConfigRegisterRead(0xFE) ; + F81866ConfigRegisterWrite(0xFE , Data8 & ~BIT4) ; + F81866ExitConfigMode() ; +} +// Status = IbFreeTimeout(IbFreeMaxTimeoutValue); +// if (EFI_ERROR(Status)) { +// return; +// } +// WriteKeyboardCommand(0x60); // Lock KBD IoRead8(KBC_DATA_PORT); // Discard any data Write8042CommandByte(0x74); // KBD and Aux device disabled // Check for KBC version IoRead8(KBC_DATA_PORT); // Discard any data - WriteKeyboardCommand(0xa1); // - if (!ObFullReadTimeout(&bData, 20, TRUE) && bData == 0x35) { - +// WriteKeyboardCommand(0xa1); // +// if (!ObFullReadTimeout(&bData, 20, TRUE) && bData == 0x35) { WriteKeyboardCommand(0x60); WriteKeyboardData(4); @@ -463,12 +498,13 @@ VOID AutodetectKbdMousePorts() if (bData == rKeyboardID) goto PortSwap; - if (bData == KB_ACK_COM) { +// if (bData == KB_ACK_COM) { ObFullReadTimeout(&bData, 100, TRUE); // When Mouse is connected to KBD port, control goes to PortSwap here - if (!bData) goto PortSwap; +// if (!bData) goto PortSwap; + if (bData != 0xAB) goto PortSwap; ObFullReadTimeout(&bData, 100, TRUE); - } +// } bData = IoRead8(KBC_CMDSTS_PORT); // When KBD is connected to the KBD port, control returns here if (!(bData & KBC_TIMEOUT_ERR)) return; @@ -488,9 +524,18 @@ VOID AutodetectKbdMousePorts() if (bData & KBC_TIMEOUT_ERR) return; PortSwap: - WriteKeyboardCommand(0xC9); +{ + UINT8 Data8 ; + + F81866EnterConfigMode() ; + F81866LDNSelect(0x05) ; + Data8 = F81866ConfigRegisterRead(0xFE) ; + F81866ConfigRegisterWrite(0xFE , Data8 | BIT4) ; + F81866ExitConfigMode() ; +} +// WriteKeyboardCommand(0xC9); return; - } +// } } diff --git a/Core/EM/PS2CTL/ps2main.c b/Core/EM/PS2CTL/ps2main.c index d760970..78351d6 100644 --- a/Core/EM/PS2CTL/ps2main.c +++ b/Core/EM/PS2CTL/ps2main.c @@ -443,7 +443,8 @@ EFI_STATUS PS2CtlStart( } } // Swap ports if needed - if (AutodetectKbdMousePortsPtr!=NULL) AutodetectKbdMousePortsPtr(); + //if (AutodetectKbdMousePortsPtr!=NULL) AutodetectKbdMousePortsPtr(); + AutodetectKbdMousePorts() ; gDriverStartCounter++; } -- cgit v1.2.3 From 502fd72377459ff1fd69e35fce20a2bc8dea75fa Mon Sep 17 00:00:00 2001 From: raywu Date: Wed, 2 Jan 2019 15:27:03 +0800 Subject: DW01 - Release [DW01AR15] --- Board/EM/Setup/Setup.uni | Bin 24786 -> 24786 bytes DW01AR15.zip | Bin 0 -> 2867086 bytes RomImage/FitcBuild.bat | 2 +- 3 files changed, 1 insertion(+), 1 deletion(-) create mode 100644 DW01AR15.zip diff --git a/Board/EM/Setup/Setup.uni b/Board/EM/Setup/Setup.uni index 5404c3a..e90b97a 100644 Binary files a/Board/EM/Setup/Setup.uni and b/Board/EM/Setup/Setup.uni differ diff --git a/DW01AR15.zip b/DW01AR15.zip new file mode 100644 index 0000000..177ac64 Binary files /dev/null and b/DW01AR15.zip differ diff --git a/RomImage/FitcBuild.bat b/RomImage/FitcBuild.bat index e79437d..7028c3a 100644 --- a/RomImage/FitcBuild.bat +++ b/RomImage/FitcBuild.bat @@ -103,7 +103,7 @@ GOTO ImageOutput :ImageOutput IF EXIST %DestDir%\OutImage.bin ECHO Rom_%RomSize%%RomSkue%.bin && move /Y %DestDir%\OutImage.bin Rom_%RomSize%%RomSkue%.bin -IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AR14.bin +IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AR15.bin IF EXIST %DestDir%\OutImage_1.bin ECHO Rom00%RomSkue%.bin && move /Y %DestDir%\OutImage_1.bin Rom00%RomSkue%.bin IF EXIST %DestDir%\OutImage_2.bin ECHO Rom01%RomSkue%.bin && move /Y %DestDir%\OutImage_2.bin Rom01%RomSkue%.bin echo Rom_%RomSize%%RomSkue%.bin>ForPfatName.txt -- cgit v1.2.3 From 99a4b9db65e3d40ddd355996a23071a9fcee52d9 Mon Sep 17 00:00:00 2001 From: raywu Date: Thu, 17 Jan 2019 14:35:48 +0800 Subject: DW01 - Fixed COM Port will not be disable normally (still appeared under Windows Device Management) --- Board/IO/F81216/F81216DXE.C | 6 +++--- Board/IO/F81866/F81866.ASL | 6 ++++-- Board/IO/F81866/F81866DXE.C | 6 +++--- 3 files changed, 10 insertions(+), 8 deletions(-) diff --git a/Board/IO/F81216/F81216DXE.C b/Board/IO/F81216/F81216DXE.C index 4963c1b..a33944a 100644 --- a/Board/IO/F81216/F81216DXE.C +++ b/Board/IO/F81216/F81216DXE.C @@ -421,10 +421,10 @@ static EFI_STATUS COM_Init( { case isGetSetupData: // // Disable IODecode? - // if((!dev->DeviceInfo->Implemented) || (!dev->NvData.DevEnable)) { + if((!dev->DeviceInfo->Implemented) || (!dev->NvData.DevEnable)) { // LoopCspIoDecodeListInit(NULL,AmiSio); - // ClearDevResource(dev); - // } + ClearDevResource(dev); + } break; case isPrsSelect: diff --git a/Board/IO/F81866/F81866.ASL b/Board/IO/F81866/F81866.ASL index ae13582..3459f4d 100644 --- a/Board/IO/F81866/F81866.ASL +++ b/Board/IO/F81866/F81866.ASL @@ -348,6 +348,7 @@ Device(SIO1) { ENFG(CGLD(Arg0)) //Enter Config Mode, Select LDN Store(ACTR, Local0) + Or(ShiftLeft(IOAH, 8),IOAL,Local1) EXFG() //Exit Config Mode If(LEqual(Local0, 0xFF)) {Return(0x0)} //LDN's not decoded, Device not present. @@ -371,10 +372,11 @@ Device(SIO1) { Else{ Return(0x00)} // Device not present } Else{//by Base1 & Base2 check - Or(ShiftLeft(IOAH, 8),IOAL,Local0) - If(Local0) { Return(0x0D)} // Device Detected & Not Active +// Or(ShiftLeft(IOAH, 8),IOAL,Local0) +// If(Local0) { Return(0x0D)} // Device Detected & Not Active // Or(ShiftLeft(IOH2, 8),IOL2,Local0) // If(Local0) { Return(0x0D)} // Device Detected & Not Active + If(Local1) { Return(0x0D)} // Device Detected & Not Active Return(0x00) // Device not present } } //Exit Config Mode diff --git a/Board/IO/F81866/F81866DXE.C b/Board/IO/F81866/F81866DXE.C index d1ce887..ed434f5 100644 --- a/Board/IO/F81866/F81866DXE.C +++ b/Board/IO/F81866/F81866DXE.C @@ -627,10 +627,10 @@ static EFI_STATUS COM_Init( switch (InitStep) { case isGetSetupData: -// if((!dev->DeviceInfo->Implemented) || (!dev->NvData.DevEnable)) { + if((!dev->DeviceInfo->Implemented) || (!dev->NvData.DevEnable)) { // LoopCspIoDecodeListInit(NULL,AmiSio); -// ClearDevResource(dev); -// } + ClearDevResource(dev); + } break; case isPrsSelect: -- cgit v1.2.3 From 7293cd225ca7f52863924f815db4ca8f39ceb3b2 Mon Sep 17 00:00:00 2001 From: raywu Date: Thu, 17 Jan 2019 14:43:04 +0800 Subject: DW01 - Release [DW01AR16] --- Board/EM/Setup/Setup.uni | Bin 24786 -> 24786 bytes DW01AR16.zip | Bin 0 -> 2866836 bytes RomImage/FitcBuild.bat | 2 +- 3 files changed, 1 insertion(+), 1 deletion(-) create mode 100644 DW01AR16.zip diff --git a/Board/EM/Setup/Setup.uni b/Board/EM/Setup/Setup.uni index e90b97a..110b53f 100644 Binary files a/Board/EM/Setup/Setup.uni and b/Board/EM/Setup/Setup.uni differ diff --git a/DW01AR16.zip b/DW01AR16.zip new file mode 100644 index 0000000..6b952a6 Binary files /dev/null and b/DW01AR16.zip differ diff --git a/RomImage/FitcBuild.bat b/RomImage/FitcBuild.bat index 7028c3a..f3dc295 100644 --- a/RomImage/FitcBuild.bat +++ b/RomImage/FitcBuild.bat @@ -103,7 +103,7 @@ GOTO ImageOutput :ImageOutput IF EXIST %DestDir%\OutImage.bin ECHO Rom_%RomSize%%RomSkue%.bin && move /Y %DestDir%\OutImage.bin Rom_%RomSize%%RomSkue%.bin -IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AR15.bin +IF EXIST Rom_%RomSize%%RomSkue%.bin move /Y Rom_%RomSize%%RomSkue%.bin DW01AR16.bin IF EXIST %DestDir%\OutImage_1.bin ECHO Rom00%RomSkue%.bin && move /Y %DestDir%\OutImage_1.bin Rom00%RomSkue%.bin IF EXIST %DestDir%\OutImage_2.bin ECHO Rom01%RomSkue%.bin && move /Y %DestDir%\OutImage_2.bin Rom01%RomSkue%.bin echo Rom_%RomSize%%RomSkue%.bin>ForPfatName.txt -- cgit v1.2.3