summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorSteve Reinhardt <stever@eecs.umich.edu>2003-10-10 11:09:00 -0700
committerSteve Reinhardt <stever@eecs.umich.edu>2003-10-10 11:09:00 -0700
commit25693e9e691cd9c33cad44511877e2509797a9cd (patch)
tree3fe10e182e6454facff9dbe13feb22a759913b33
parent52b31ea0a6a15b4a88530cfe411224d750e37899 (diff)
downloadgem5-25693e9e691cd9c33cad44511877e2509797a9cd.tar.xz
Make include paths explicit and update makefile accordingly.
arch/alpha/alpha_memory.cc: arch/alpha/alpha_memory.hh: arch/alpha/arguments.cc: arch/alpha/arguments.hh: arch/alpha/ev5.cc: arch/alpha/ev5.hh: arch/alpha/fake_syscall.cc: arch/alpha/faults.cc: arch/alpha/isa_desc: arch/alpha/isa_traits.hh: arch/alpha/osfpal.cc: arch/alpha/vtophys.cc: arch/alpha/vtophys.hh: base/circlebuf.cc: base/compression/lzss_compression.cc: base/compression/lzss_compression.hh: base/cprintf.cc: base/cprintf.hh: base/fast_alloc.cc: base/fifo_buffer.cc: base/fifo_buffer.hh: base/hashmap.hh: base/hostinfo.cc: base/hostinfo.hh: base/hybrid_pred.cc: base/hybrid_pred.hh: base/inet.cc: base/inet.hh: base/inifile.cc: base/inifile.hh: base/intmath.cc: base/loader/aout_object.cc: base/loader/aout_object.hh: base/loader/ecoff_object.cc: base/loader/ecoff_object.hh: base/loader/elf_object.cc: base/loader/elf_object.hh: base/loader/exec_aout.h: base/loader/exec_ecoff.h: base/loader/object_file.cc: base/loader/object_file.hh: base/loader/symtab.cc: base/loader/symtab.hh: base/misc.cc: base/misc.hh: base/pollevent.cc: base/pollevent.hh: base/random.cc: base/random.hh: base/range.hh: base/remote_gdb.cc: base/remote_gdb.hh: base/res_list.hh: base/sat_counter.cc: base/sat_counter.hh: base/sched_list.hh: base/socket.cc: base/statistics.cc: base/statistics.hh: base/str.cc: base/trace.cc: base/trace.hh: cpu/base_cpu.cc: cpu/base_cpu.hh: cpu/exec_context.cc: cpu/exec_context.hh: cpu/exetrace.cc: cpu/exetrace.hh: cpu/intr_control.cc: cpu/intr_control.hh: cpu/memtest/memtest.cc: cpu/memtest/memtest.hh: cpu/pc_event.cc: cpu/pc_event.hh: cpu/simple_cpu/simple_cpu.cc: cpu/simple_cpu/simple_cpu.hh: cpu/static_inst.cc: cpu/static_inst.hh: dev/alpha_console.cc: dev/alpha_console.hh: dev/console.cc: dev/console.hh: dev/disk_image.cc: dev/disk_image.hh: dev/etherbus.cc: dev/etherbus.hh: dev/etherdump.cc: dev/etherdump.hh: dev/etherint.cc: dev/etherint.hh: dev/etherlink.cc: dev/etherlink.hh: dev/etherpkt.hh: dev/ethertap.cc: dev/ethertap.hh: dev/simple_disk.cc: dev/simple_disk.hh: kern/tru64/tru64_syscalls.cc: kern/tru64/tru64_syscalls.hh: sim/debug.cc: sim/eventq.cc: sim/eventq.hh: sim/main.cc: sim/param.cc: sim/param.hh: sim/prog.cc: sim/prog.hh: sim/serialize.cc: sim/serialize.hh: sim/sim_events.cc: sim/sim_events.hh: sim/sim_object.cc: sim/sim_object.hh: sim/sim_time.cc: sim/system.cc: sim/system.hh: sim/universe.cc: test/circletest.cc: test/cprintftest.cc: test/initest.cc: test/nmtest.cc: test/offtest.cc: test/paramtest.cc: test/rangetest.cc: test/stattest.cc: test/strnumtest.cc: test/symtest.cc: test/tokentest.cc: test/tracetest.cc: util/tap/tap.cc: Make include paths explicit. --HG-- extra : convert_revision : 941cbdc591fd4d3d1d9f095cd58fc23dd2d73840
-rw-r--r--arch/alpha/alpha_memory.cc12
-rw-r--r--arch/alpha/alpha_memory.hh6
-rw-r--r--arch/alpha/arguments.cc8
-rw-r--r--arch/alpha/arguments.hh6
-rw-r--r--arch/alpha/ev5.cc18
-rw-r--r--arch/alpha/ev5.hh2
-rw-r--r--arch/alpha/fake_syscall.cc24
-rw-r--r--arch/alpha/faults.cc2
-rw-r--r--arch/alpha/isa_desc24
-rw-r--r--arch/alpha/isa_traits.hh10
-rw-r--r--arch/alpha/osfpal.cc2
-rw-r--r--arch/alpha/vtophys.cc10
-rw-r--r--arch/alpha/vtophys.hh2
-rw-r--r--base/circlebuf.cc6
-rw-r--r--base/compression/lzss_compression.cc4
-rw-r--r--base/compression/lzss_compression.hh2
-rw-r--r--base/cprintf.cc2
-rw-r--r--base/cprintf.hh2
-rw-r--r--base/fast_alloc.cc2
-rw-r--r--base/fifo_buffer.cc2
-rw-r--r--base/fifo_buffer.hh2
-rw-r--r--base/hashmap.hh2
-rw-r--r--base/hostinfo.cc2
-rw-r--r--base/hostinfo.hh2
-rw-r--r--base/hybrid_pred.cc6
-rw-r--r--base/hybrid_pred.hh6
-rw-r--r--base/inet.cc6
-rw-r--r--base/inet.hh2
-rw-r--r--base/inifile.cc4
-rw-r--r--base/inifile.hh2
-rw-r--r--base/intmath.cc2
-rw-r--r--base/loader/aout_object.cc10
-rw-r--r--base/loader/aout_object.hh2
-rw-r--r--base/loader/ecoff_object.cc14
-rw-r--r--base/loader/ecoff_object.hh2
-rw-r--r--base/loader/elf_object.cc10
-rw-r--r--base/loader/elf_object.hh2
-rw-r--r--base/loader/exec_aout.h2
-rw-r--r--base/loader/exec_ecoff.h2
-rw-r--r--base/loader/object_file.cc12
-rw-r--r--base/loader/object_file.hh2
-rw-r--r--base/loader/symtab.cc8
-rw-r--r--base/loader/symtab.hh4
-rw-r--r--base/misc.cc12
-rw-r--r--base/misc.hh2
-rw-r--r--base/pollevent.cc10
-rw-r--r--base/pollevent.hh2
-rw-r--r--base/random.cc4
-rw-r--r--base/random.hh2
-rw-r--r--base/range.hh4
-rw-r--r--base/remote_gdb.cc22
-rw-r--r--base/remote_gdb.hh8
-rw-r--r--base/res_list.hh2
-rw-r--r--base/sat_counter.cc6
-rw-r--r--base/sat_counter.hh6
-rw-r--r--base/sched_list.hh2
-rw-r--r--base/socket.cc6
-rw-r--r--base/statistics.cc12
-rw-r--r--base/statistics.hh6
-rw-r--r--base/str.cc4
-rw-r--r--base/trace.cc6
-rw-r--r--base/trace.hh8
-rw-r--r--cpu/base_cpu.cc10
-rw-r--r--cpu/base_cpu.hh6
-rw-r--r--cpu/exec_context.cc8
-rw-r--r--cpu/exec_context.hh12
-rw-r--r--cpu/exetrace.cc16
-rw-r--r--cpu/exetrace.hh10
-rw-r--r--cpu/intr_control.cc6
-rw-r--r--cpu/intr_control.hh6
-rw-r--r--cpu/memtest/memtest.cc16
-rw-r--r--cpu/memtest/memtest.hh16
-rw-r--r--cpu/pc_event.cc24
-rw-r--r--cpu/pc_event.hh2
-rw-r--r--cpu/simple_cpu/simple_cpu.cc66
-rw-r--r--cpu/simple_cpu/simple_cpu.hh10
-rw-r--r--cpu/static_inst.cc4
-rw-r--r--cpu/static_inst.hh10
-rw-r--r--dev/alpha_console.cc22
-rw-r--r--dev/alpha_console.hh6
-rw-r--r--dev/console.cc12
-rw-r--r--dev/console.hh10
-rw-r--r--dev/disk_image.cc10
-rw-r--r--dev/disk_image.hh4
-rw-r--r--dev/etherbus.cc12
-rw-r--r--dev/etherbus.hh6
-rw-r--r--dev/etherdump.cc6
-rw-r--r--dev/etherdump.hh4
-rw-r--r--dev/etherint.cc6
-rw-r--r--dev/etherint.hh4
-rw-r--r--dev/etherlink.cc12
-rw-r--r--dev/etherlink.hh10
-rw-r--r--dev/etherpkt.hh4
-rw-r--r--dev/ethertap.cc16
-rw-r--r--dev/ethertap.hh10
-rw-r--r--dev/simple_disk.cc10
-rw-r--r--dev/simple_disk.hh4
-rw-r--r--kern/tru64/tru64_syscalls.cc2
-rw-r--r--kern/tru64/tru64_syscalls.hh4
-rw-r--r--sim/debug.cc8
-rw-r--r--sim/eventq.cc10
-rw-r--r--sim/eventq.hh8
-rw-r--r--sim/main.cc34
-rw-r--r--sim/param.cc16
-rw-r--r--sim/param.hh2
-rw-r--r--sim/prog.cc26
-rw-r--r--sim/prog.hh8
-rw-r--r--sim/serialize.cc18
-rw-r--r--sim/serialize.hh4
-rw-r--r--sim/sim_events.cc12
-rw-r--r--sim/sim_events.hh2
-rw-r--r--sim/sim_object.cc14
-rw-r--r--sim/sim_object.hh4
-rw-r--r--sim/sim_time.cc2
-rw-r--r--sim/system.cc18
-rw-r--r--sim/system.hh6
-rw-r--r--sim/universe.cc6
-rw-r--r--test/circletest.cc2
-rw-r--r--test/cprintftest.cc2
-rw-r--r--test/initest.cc2
-rw-r--r--test/nmtest.cc6
-rw-r--r--test/offtest.cc2
-rw-r--r--test/paramtest.cc4
-rw-r--r--test/rangetest.cc2
-rw-r--r--test/stattest.cc8
-rw-r--r--test/strnumtest.cc2
-rw-r--r--test/symtest.cc4
-rw-r--r--test/tokentest.cc2
-rw-r--r--test/tracetest.cc4
-rw-r--r--util/tap/tap.cc2
130 files changed, 506 insertions, 506 deletions
diff --git a/arch/alpha/alpha_memory.cc b/arch/alpha/alpha_memory.cc
index 29faa2c64..669fe92bb 100644
--- a/arch/alpha/alpha_memory.cc
+++ b/arch/alpha/alpha_memory.cc
@@ -30,12 +30,12 @@
#include <string>
#include <vector>
-#include "alpha_memory.hh"
-#include "ev5.hh"
-#include "exec_context.hh"
-#include "trace.hh"
-#include "inifile.hh"
-#include "str.hh"
+#include "targetarch/alpha_memory.hh"
+#include "targetarch/ev5.hh"
+#include "cpu/exec_context.hh"
+#include "base/trace.hh"
+#include "base/inifile.hh"
+#include "base/str.hh"
using namespace std;
diff --git a/arch/alpha/alpha_memory.hh b/arch/alpha/alpha_memory.hh
index 06fea32e4..88ea3ee94 100644
--- a/arch/alpha/alpha_memory.hh
+++ b/arch/alpha/alpha_memory.hh
@@ -31,9 +31,9 @@
#include <map>
-#include "mem_req.hh"
-#include "sim_object.hh"
-#include "statistics.hh"
+#include "targetarch/mem_req.hh"
+#include "sim/sim_object.hh"
+#include "base/statistics.hh"
class ExecContext;
diff --git a/arch/alpha/arguments.cc b/arch/alpha/arguments.cc
index 91e0576f5..38f7a400c 100644
--- a/arch/alpha/arguments.cc
+++ b/arch/alpha/arguments.cc
@@ -26,10 +26,10 @@
* OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
-#include "arguments.hh"
-#include "exec_context.hh"
-#include "physical_memory.hh"
-#include "vtophys.hh"
+#include "targetarch/arguments.hh"
+#include "cpu/exec_context.hh"
+#include "mem/functional_mem/physical_memory.hh"
+#include "targetarch/vtophys.hh"
AlphaArguments::Data::~Data()
{
diff --git a/arch/alpha/arguments.hh b/arch/alpha/arguments.hh
index c5fdb60ad..69c69d0d7 100644
--- a/arch/alpha/arguments.hh
+++ b/arch/alpha/arguments.hh
@@ -31,9 +31,9 @@
#include <assert.h>
-#include "host.hh"
-#include "kernel.hh"
-#include "refcnt.hh"
+#include "sim/host.hh"
+#include "targetarch/kernel.hh"
+#include "base/refcnt.hh"
class ExecContext;
diff --git a/arch/alpha/ev5.cc b/arch/alpha/ev5.cc
index c1631872a..476a84d26 100644
--- a/arch/alpha/ev5.cc
+++ b/arch/alpha/ev5.cc
@@ -1,16 +1,16 @@
/* $Id$ */
-#include "alpha_memory.hh"
-#include "annotation.hh"
+#include "targetarch/alpha_memory.hh"
+#include "sim/annotation.hh"
#ifdef DEBUG
-#include "debug.hh"
+#include "sim/debug.hh"
#endif
-#include "exec_context.hh"
-#include "sim_events.hh"
-#include "isa_traits.hh"
-#include "remote_gdb.hh"
-#include "kgdb.h" // for ALPHA_KENTRY_IF
-#include "osfpal.hh"
+#include "cpu/exec_context.hh"
+#include "sim/sim_events.hh"
+#include "targetarch/isa_traits.hh"
+#include "base/remote_gdb.hh"
+#include "base/kgdb.h" // for ALPHA_KENTRY_IF
+#include "targetarch/osfpal.hh"
#ifdef FULL_SYSTEM
diff --git a/arch/alpha/ev5.hh b/arch/alpha/ev5.hh
index c3330bc01..921aeb12d 100644
--- a/arch/alpha/ev5.hh
+++ b/arch/alpha/ev5.hh
@@ -7,7 +7,7 @@
#error This code is only valid for EV5 systems
#endif
-#include "isa_traits.hh"
+#include "targetarch/isa_traits.hh"
void m5_exit();
diff --git a/arch/alpha/fake_syscall.cc b/arch/alpha/fake_syscall.cc
index ad3c86515..584b07801 100644
--- a/arch/alpha/fake_syscall.cc
+++ b/arch/alpha/fake_syscall.cc
@@ -32,18 +32,18 @@
#include <sys/stat.h>
#include <string.h> // for memset()
-#include "host.hh"
-#include "base_cpu.hh"
-#include "functional_memory.hh"
-#include "prog.hh"
-#include "exec_context.hh"
-#include "fake_syscall.hh"
-#include "sim_events.hh"
-
-#include "osf_syscalls.h"
-#include "universe.hh" // for curTick & ticksPerSecond
-
-#include "trace.hh"
+#include "sim/host.hh"
+#include "cpu/base_cpu.hh"
+#include "mem/functional_mem/functional_memory.hh"
+#include "sim/prog.hh"
+#include "cpu/exec_context.hh"
+#include "sim/fake_syscall.hh"
+#include "sim/sim_events.hh"
+
+#include "targetarch/osf_syscalls.h"
+#include "sim/universe.hh" // for curTick & ticksPerSecond
+
+#include "base/trace.hh"
using namespace std;
diff --git a/arch/alpha/faults.cc b/arch/alpha/faults.cc
index c3c19eb58..a800f9886 100644
--- a/arch/alpha/faults.cc
+++ b/arch/alpha/faults.cc
@@ -26,7 +26,7 @@
* OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
-#include "faults.hh"
+#include "targetarch/faults.hh"
namespace {
const char *
diff --git a/arch/alpha/isa_desc b/arch/alpha/isa_desc
index e6ac01f28..53f279b4e 100644
--- a/arch/alpha/isa_desc
+++ b/arch/alpha/isa_desc
@@ -18,20 +18,20 @@ let {{
#include <fenv.h>
#endif
-#include "static_inst.hh"
-#include "cprintf.hh"
-#include "misc.hh"
-#include "op_class.hh"
-
-#include "exec_context.hh"
-#include "simple_cpu.hh"
-#include "spec_state.hh"
-#include "cpu.hh"
-#include "exetrace.hh"
-#include "annotation.hh"
+#include "cpu/static_inst.hh"
+#include "base/cprintf.hh"
+#include "base/misc.hh"
+#include "cpu/full_cpu/op_class.hh"
+
+#include "cpu/exec_context.hh"
+#include "cpu/simple_cpu/simple_cpu.hh"
+#include "cpu/full_cpu/spec_state.hh"
+#include "cpu/full_cpu/cpu.hh"
+#include "cpu/exetrace.hh"
+#include "sim/annotation.hh"
#ifdef FULL_SYSTEM
-#include "ev5.hh"
+#include "targetarch/ev5.hh"
#endif
namespace AlphaISA;
diff --git a/arch/alpha/isa_traits.hh b/arch/alpha/isa_traits.hh
index d77505651..9840636e0 100644
--- a/arch/alpha/isa_traits.hh
+++ b/arch/alpha/isa_traits.hh
@@ -29,9 +29,9 @@
#ifndef __ISA_TRAITS_HH__
#define __ISA_TRAITS_HH__
-#include "host.hh"
-#include "faults.hh"
-#include "misc.hh"
+#include "sim/host.hh"
+#include "targetarch/faults.hh"
+#include "base/misc.hh"
class CPU;
class IniFile;
@@ -124,7 +124,7 @@ class AlphaISA
typedef uint64_t InternalProcReg;
-#include "isa_fullsys_traits.hh"
+#include "targetarch/isa_fullsys_traits.hh"
#else
enum {
@@ -276,7 +276,7 @@ const int NumInterruptLevels = TheISA::NumInterruptLevels;
// more stuff that should be imported here, but I'm too tired to do it
// right now...
-#include "ev5.hh"
+#include "targetarch/ev5.hh"
#endif
#endif // __ALPHA_ISA_H__
diff --git a/arch/alpha/osfpal.cc b/arch/alpha/osfpal.cc
index 796651666..4c0ace8c0 100644
--- a/arch/alpha/osfpal.cc
+++ b/arch/alpha/osfpal.cc
@@ -26,7 +26,7 @@
* OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
-#include "osfpal.hh"
+#include "targetarch/osfpal.hh"
namespace {
const char *strings[PAL::NumCodes] = {
diff --git a/arch/alpha/vtophys.cc b/arch/alpha/vtophys.cc
index 33f8f02ad..5dc0013fc 100644
--- a/arch/alpha/vtophys.cc
+++ b/arch/alpha/vtophys.cc
@@ -28,12 +28,12 @@
#include <string>
-#include "pmap.h"
+#include "targetarch/pmap.h"
-#include "exec_context.hh"
-#include "physical_memory.hh"
-#include "trace.hh"
-#include "vtophys.hh"
+#include "cpu/exec_context.hh"
+#include "mem/functional_mem/physical_memory.hh"
+#include "base/trace.hh"
+#include "targetarch/vtophys.hh"
using namespace std;
diff --git a/arch/alpha/vtophys.hh b/arch/alpha/vtophys.hh
index 0b65a506f..8d121ec5b 100644
--- a/arch/alpha/vtophys.hh
+++ b/arch/alpha/vtophys.hh
@@ -29,7 +29,7 @@
#ifndef __VTOPHYS_H__
#define __VTOPHYS_H__
-#include "pmap.h"
+#include "targetarch/pmap.h"
inline bool entry_valid(uint64_t entry)
{ return (entry & ALPHA_PTE_VALID) != 0; }
diff --git a/base/circlebuf.cc b/base/circlebuf.cc
index 311de60b7..77da26da6 100644
--- a/base/circlebuf.cc
+++ b/base/circlebuf.cc
@@ -33,9 +33,9 @@
#include <string.h>
#include <unistd.h>
-#include "circlebuf.hh"
-#include "cprintf.hh"
-#include "intmath.hh"
+#include "base/circlebuf.hh"
+#include "base/cprintf.hh"
+#include "base/intmath.hh"
using namespace std;
diff --git a/base/compression/lzss_compression.cc b/base/compression/lzss_compression.cc
index a1933215a..8f235b808 100644
--- a/base/compression/lzss_compression.cc
+++ b/base/compression/lzss_compression.cc
@@ -32,9 +32,9 @@
#include <assert.h>
-#include "lzss_compression.hh"
+#include "base/compression/lzss_compression.hh"
-#include "misc.hh" //for fatal
+#include "base/misc.hh" //for fatal
int
LZSSCompression::findSubString(uint8_t *src, int front, int back, int size)
diff --git a/base/compression/lzss_compression.hh b/base/compression/lzss_compression.hh
index 5fb47d3f1..755a52c92 100644
--- a/base/compression/lzss_compression.hh
+++ b/base/compression/lzss_compression.hh
@@ -33,7 +33,7 @@
* LZSSCompression declarations.
*/
-#include "host.hh" // for uint8_t
+#include "sim/host.hh" // for uint8_t
/**
* Simple LZSS compression scheme.
diff --git a/base/cprintf.cc b/base/cprintf.cc
index be6e64f59..945ad5b38 100644
--- a/base/cprintf.cc
+++ b/base/cprintf.cc
@@ -31,7 +31,7 @@
#include <iostream>
#include <sstream>
-#include "cprintf.hh"
+#include "base/cprintf.hh"
using namespace std;
diff --git a/base/cprintf.hh b/base/cprintf.hh
index 2dc84502a..8360d227c 100644
--- a/base/cprintf.hh
+++ b/base/cprintf.hh
@@ -36,7 +36,7 @@
namespace cp {
-#include "cprintf_formats.hh"
+#include "base/cprintf_formats.hh"
class ArgList
{
diff --git a/base/fast_alloc.cc b/base/fast_alloc.cc
index 290e59113..ff0a40c37 100644
--- a/base/fast_alloc.cc
+++ b/base/fast_alloc.cc
@@ -37,7 +37,7 @@
#endif
#include <assert.h>
-#include "fast_alloc.hh"
+#include "base/fast_alloc.hh"
void *FastAlloc::freeLists[Num_Buckets];
diff --git a/base/fifo_buffer.cc b/base/fifo_buffer.cc
index c702d2999..d103d2e60 100644
--- a/base/fifo_buffer.cc
+++ b/base/fifo_buffer.cc
@@ -26,7 +26,7 @@
* OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
-#include "fifo_buffer.hh"
+#include "base/fifo_buffer.hh"
template<class T>
void
diff --git a/base/fifo_buffer.hh b/base/fifo_buffer.hh
index 75690d36c..767db03a4 100644
--- a/base/fifo_buffer.hh
+++ b/base/fifo_buffer.hh
@@ -29,7 +29,7 @@
#ifndef __FIFO_BUFFER_HH__
#define __FIFO_BUFFER_HH__
-#include "res_list.hh"
+#include "base/res_list.hh"
//
diff --git a/base/hashmap.hh b/base/hashmap.hh
index 21d4a818e..10089980b 100644
--- a/base/hashmap.hh
+++ b/base/hashmap.hh
@@ -37,7 +37,7 @@
#include <string>
-#include "host.hh"
+#include "sim/host.hh"
#if defined(__GNUC__) && __GNUC__ >= 3
#define __hash_namespace __gnu_cxx
diff --git a/base/hostinfo.cc b/base/hostinfo.cc
index 48c876122..b530ae237 100644
--- a/base/hostinfo.cc
+++ b/base/hostinfo.cc
@@ -34,7 +34,7 @@
#include <errno.h>
#include <math.h>
-#include "host.hh"
+#include "sim/host.hh"
uint64_t
procInfo(char *filename, char *target)
diff --git a/base/hostinfo.hh b/base/hostinfo.hh
index 3a5d1a61e..2293d2b6a 100644
--- a/base/hostinfo.hh
+++ b/base/hostinfo.hh
@@ -29,7 +29,7 @@
#ifndef __HOSTINFO_HH__
#define __HOSTINFO_HH__
-#include "host.hh"
+#include "sim/host.hh"
uint64_t procInfo(char *filename, char *target);
diff --git a/base/hybrid_pred.cc b/base/hybrid_pred.cc
index ed7f781b2..83ce7f987 100644
--- a/base/hybrid_pred.cc
+++ b/base/hybrid_pred.cc
@@ -29,9 +29,9 @@
#include <string>
#include <sstream>
-#include "hybrid_pred.hh"
-#include "statistics.hh"
-#include "sim_stats.hh"
+#include "base/hybrid_pred.hh"
+#include "base/statistics.hh"
+#include "sim/sim_stats.hh"
using namespace std;
diff --git a/base/hybrid_pred.hh b/base/hybrid_pred.hh
index f6e14e3e3..3fdab9153 100644
--- a/base/hybrid_pred.hh
+++ b/base/hybrid_pred.hh
@@ -40,10 +40,10 @@
#include <string>
-#include "sat_counter.hh"
+#include "base/sat_counter.hh"
-#include "statistics.hh"
-#include "sim_stats.hh"
+#include "base/statistics.hh"
+#include "sim/sim_stats.hh"
class HybridPredictor : public GenericPredictor
{
diff --git a/base/inet.cc b/base/inet.cc
index 33483bb32..46aa027fc 100644
--- a/base/inet.cc
+++ b/base/inet.cc
@@ -29,9 +29,9 @@
#include <sstream>
#include <string>
-#include "cprintf.hh"
-#include "host.hh"
-#include "inet.hh"
+#include "base/cprintf.hh"
+#include "sim/host.hh"
+#include "base/inet.hh"
using namespace::std;
string
diff --git a/base/inet.hh b/base/inet.hh
index 1c48d0730..1ae2e8542 100644
--- a/base/inet.hh
+++ b/base/inet.hh
@@ -29,7 +29,7 @@
#ifndef __INET_HH__
#define __INET_HH__
-#include "host.hh"
+#include "sim/host.hh"
uint32_t crc32be(const uint8_t *buf, size_t len);
uint32_t crc32le(const uint8_t *buf, size_t len);
diff --git a/base/inifile.cc b/base/inifile.cc
index 3f80ec259..92d88c09b 100644
--- a/base/inifile.cc
+++ b/base/inifile.cc
@@ -49,8 +49,8 @@
#include <vector>
#include <string>
-#include "inifile.hh"
-#include "str.hh"
+#include "base/inifile.hh"
+#include "base/str.hh"
using namespace std;
diff --git a/base/inifile.hh b/base/inifile.hh
index b384fe21a..919732e1e 100644
--- a/base/inifile.hh
+++ b/base/inifile.hh
@@ -34,7 +34,7 @@
#include <string>
#include <vector>
-#include "hashmap.hh"
+#include "base/hashmap.hh"
class IniFile
{
diff --git a/base/intmath.cc b/base/intmath.cc
index 7a6858d16..b9a478ba0 100644
--- a/base/intmath.cc
+++ b/base/intmath.cc
@@ -26,7 +26,7 @@
* OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
-#include "intmath.hh"
+#include "base/intmath.hh"
int
PrevPrime(int n)
diff --git a/base/loader/aout_object.cc b/base/loader/aout_object.cc
index c0f43a687..0270e02a3 100644
--- a/base/loader/aout_object.cc
+++ b/base/loader/aout_object.cc
@@ -28,14 +28,14 @@
#include <string>
-#include "aout_object.hh"
+#include "base/loader/aout_object.hh"
-#include "functional_memory.hh"
-#include "symtab.hh"
+#include "mem/functional_mem/functional_memory.hh"
+#include "base/loader/symtab.hh"
-#include "trace.hh" // for DPRINTF
+#include "base/trace.hh" // for DPRINTF
-#include "exec_aout.h"
+#include "base/loader/exec_aout.h"
using namespace std;
diff --git a/base/loader/aout_object.hh b/base/loader/aout_object.hh
index baa8904a8..77c59aef6 100644
--- a/base/loader/aout_object.hh
+++ b/base/loader/aout_object.hh
@@ -29,7 +29,7 @@
#ifndef __AOUT_OBJECT_HH__
#define __AOUT_OBJECT_HH__
-#include "object_file.hh"
+#include "base/loader/object_file.hh"
// forward decls: avoid including exec_aout.h here
struct aout_exechdr;
diff --git a/base/loader/ecoff_object.cc b/base/loader/ecoff_object.cc
index 87ad6fdca..5e726a1c5 100644
--- a/base/loader/ecoff_object.cc
+++ b/base/loader/ecoff_object.cc
@@ -28,16 +28,16 @@
#include <string>
-#include "ecoff_object.hh"
+#include "base/loader/ecoff_object.hh"
-#include "functional_memory.hh"
-#include "symtab.hh"
+#include "mem/functional_mem/functional_memory.hh"
+#include "base/loader/symtab.hh"
-#include "trace.hh" // for DPRINTF
+#include "base/trace.hh" // for DPRINTF
-#include "exec_ecoff.h"
-#include "coff_sym.h"
-#include "coff_symconst.h"
+#include "base/loader/exec_ecoff.h"
+#include "base/loader/coff_sym.h"
+#include "base/loader/coff_symconst.h"
using namespace std;
diff --git a/base/loader/ecoff_object.hh b/base/loader/ecoff_object.hh
index af757cd0e..94b11c720 100644
--- a/base/loader/ecoff_object.hh
+++ b/base/loader/ecoff_object.hh
@@ -29,7 +29,7 @@
#ifndef __ECOFF_OBJECT_HH__
#define __ECOFF_OBJECT_HH__
-#include "object_file.hh"
+#include "base/loader/object_file.hh"
// forward decls: avoid including exec_ecoff.h here
struct ecoff_exechdr;
diff --git a/base/loader/elf_object.cc b/base/loader/elf_object.cc
index 97f50e289..605895c9c 100644
--- a/base/loader/elf_object.cc
+++ b/base/loader/elf_object.cc
@@ -28,14 +28,14 @@
#include <string>
-#include "elf_object.hh"
+#include "base/loader/elf_object.hh"
-#include "functional_memory.hh"
-#include "symtab.hh"
+#include "mem/functional_mem/functional_memory.hh"
+#include "base/loader/symtab.hh"
-#include "trace.hh" // for DPRINTF
+#include "base/trace.hh" // for DPRINTF
-#include "exec_elf.h"
+#include "base/loader/exec_elf.h"
using namespace std;
diff --git a/base/loader/elf_object.hh b/base/loader/elf_object.hh
index c90f6ebd5..28f6bb243 100644
--- a/base/loader/elf_object.hh
+++ b/base/loader/elf_object.hh
@@ -29,7 +29,7 @@
#ifndef __ELF_OBJECT_HH__
#define __ELF_OBJECT_HH__
-#include "object_file.hh"
+#include "base/loader/object_file.hh"
// forward decls: avoid including exec_elf.hh here
struct Elf64_Ehdr;
diff --git a/base/loader/exec_aout.h b/base/loader/exec_aout.h
index baed30c42..498e313cb 100644
--- a/base/loader/exec_aout.h
+++ b/base/loader/exec_aout.h
@@ -57,6 +57,6 @@
(N_GETMAGIC(ex) != NMAGIC && N_GETMAGIC(ex) != OMAGIC && \
N_GETMAGIC(ex) != ZMAGIC)
-#include "aout_machdep.h"
+#include "targetarch/aout_machdep.h"
#endif /* !_SYS_EXEC_AOUT_H_ */
diff --git a/base/loader/exec_ecoff.h b/base/loader/exec_ecoff.h
index 8c559ab90..0289c94ef 100644
--- a/base/loader/exec_ecoff.h
+++ b/base/loader/exec_ecoff.h
@@ -39,7 +39,7 @@
#ifndef _SYS_EXEC_ECOFF_H_
#define _SYS_EXEC_ECOFF_H_
-#include "ecoff_machdep.h"
+#include "targetarch/ecoff_machdep.h"
struct ecoff_filehdr {
coff_ushort f_magic; /* magic number */
diff --git a/base/loader/object_file.cc b/base/loader/object_file.cc
index 07b10b5ee..5a13d180c 100644
--- a/base/loader/object_file.cc
+++ b/base/loader/object_file.cc
@@ -35,13 +35,13 @@
#include <stdio.h>
#include <unistd.h>
-#include "cprintf.hh"
-#include "object_file.hh"
-#include "symtab.hh"
+#include "base/cprintf.hh"
+#include "base/loader/object_file.hh"
+#include "base/loader/symtab.hh"
-#include "ecoff_object.hh"
-#include "aout_object.hh"
-#include "elf_object.hh"
+#include "base/loader/ecoff_object.hh"
+#include "base/loader/aout_object.hh"
+#include "base/loader/elf_object.hh"
using namespace std;
diff --git a/base/loader/object_file.hh b/base/loader/object_file.hh
index 1e37b7b70..5950ea326 100644
--- a/base/loader/object_file.hh
+++ b/base/loader/object_file.hh
@@ -29,7 +29,7 @@
#ifndef __OBJECT_FILE_HH__
#define __OBJECT_FILE_HH__
-#include "isa_traits.hh" // for Addr
+#include "targetarch/isa_traits.hh" // for Addr
class FunctionalMemory;
class SymbolTable;
diff --git a/base/loader/symtab.cc b/base/loader/symtab.cc
index 7beee182b..075c197a6 100644
--- a/base/loader/symtab.cc
+++ b/base/loader/symtab.cc
@@ -31,10 +31,10 @@
#include <string>
#include <vector>
-#include "host.hh"
-#include "misc.hh"
-#include "str.hh"
-#include "symtab.hh"
+#include "sim/host.hh"
+#include "base/misc.hh"
+#include "base/str.hh"
+#include "base/loader/symtab.hh"
using namespace std;
diff --git a/base/loader/symtab.hh b/base/loader/symtab.hh
index 073325eba..49a811018 100644
--- a/base/loader/symtab.hh
+++ b/base/loader/symtab.hh
@@ -29,8 +29,8 @@
#ifndef __SYMTAB_HH__
#define __SYMTAB_HH__
-#include "hashmap.hh"
-#include "isa_traits.hh" // for Addr
+#include "base/hashmap.hh"
+#include "targetarch/isa_traits.hh" // for Addr
class SymbolTable
{
diff --git a/base/misc.cc b/base/misc.cc
index 4a8facca8..e798dd656 100644
--- a/base/misc.cc
+++ b/base/misc.cc
@@ -29,12 +29,12 @@
#include <iostream>
#include <string>
-#include "cprintf.hh"
-#include "host.hh"
-#include "hostinfo.hh"
-#include "misc.hh"
-#include "trace.hh"
-#include "universe.hh"
+#include "base/cprintf.hh"
+#include "sim/host.hh"
+#include "base/hostinfo.hh"
+#include "base/misc.hh"
+#include "base/trace.hh"
+#include "sim/universe.hh"
using namespace std;
diff --git a/base/misc.hh b/base/misc.hh
index 3ac4d1491..45e6db35c 100644
--- a/base/misc.hh
+++ b/base/misc.hh
@@ -30,7 +30,7 @@
#define __MISC_HH__
#include <assert.h>
-#include "cprintf.hh"
+#include "base/cprintf.hh"
//
// This implements a cprintf based panic
diff --git a/base/pollevent.cc b/base/pollevent.cc
index fd08d4c4c..45a32581f 100644
--- a/base/pollevent.cc
+++ b/base/pollevent.cc
@@ -33,11 +33,11 @@
#include <signal.h>
#include <unistd.h>
-#include "async.hh"
-#include "host.hh"
-#include "misc.hh"
-#include "pollevent.hh"
-#include "universe.hh"
+#include "sim/async.hh"
+#include "sim/host.hh"
+#include "base/misc.hh"
+#include "base/pollevent.hh"
+#include "sim/universe.hh"
PollQueue pollQueue;
diff --git a/base/pollevent.hh b/base/pollevent.hh
index 57e12f549..7ae37398c 100644
--- a/base/pollevent.hh
+++ b/base/pollevent.hh
@@ -31,7 +31,7 @@
#include <vector>
#include <poll.h>
-#include "universe.hh"
+#include "sim/universe.hh"
class PollEvent
{
diff --git a/base/random.cc b/base/random.cc
index 42a169c06..f18ed546d 100644
--- a/base/random.cc
+++ b/base/random.cc
@@ -29,8 +29,8 @@
#include <cstdlib>
#include <cmath>
-#include "param.hh"
-#include "random.hh"
+#include "sim/param.hh"
+#include "base/random.hh"
using namespace std;
diff --git a/base/random.hh b/base/random.hh
index f1b383eda..5169c548a 100644
--- a/base/random.hh
+++ b/base/random.hh
@@ -29,7 +29,7 @@
#ifndef __RANDOM_HH__
#define __RANDOM_HH__
-#include "host.hh"
+#include "sim/host.hh"
long getLong();
double getDouble();
diff --git a/base/range.hh b/base/range.hh
index dcc863e06..0d3383b01 100644
--- a/base/range.hh
+++ b/base/range.hh
@@ -31,8 +31,8 @@
#include <assert.h>
-#include "intmath.hh"
-#include "str.hh"
+#include "base/intmath.hh"
+#include "base/str.hh"
template<class T>
class Range
diff --git a/base/remote_gdb.cc b/base/remote_gdb.cc
index 280b1cc23..0289f29db 100644
--- a/base/remote_gdb.cc
+++ b/base/remote_gdb.cc
@@ -93,17 +93,17 @@
#include <string>
-#include "exec_context.hh"
-#include "intmath.hh"
-#include "kgdb.h"
-
-#include "physical_memory.hh"
-#include "remote_gdb.hh"
-#include "socket.hh"
-#include "trace.hh"
-#include "vtophys.hh"
-#include "system.hh"
-#include "static_inst.hh"
+#include "cpu/exec_context.hh"
+#include "base/intmath.hh"
+#include "base/kgdb.h"
+
+#include "mem/functional_mem/physical_memory.hh"
+#include "base/remote_gdb.hh"
+#include "base/socket.hh"
+#include "base/trace.hh"
+#include "targetarch/vtophys.hh"
+#include "sim/system.hh"
+#include "cpu/static_inst.hh"
using namespace std;
diff --git a/base/remote_gdb.hh b/base/remote_gdb.hh
index 315860ead..ba827c382 100644
--- a/base/remote_gdb.hh
+++ b/base/remote_gdb.hh
@@ -29,10 +29,10 @@
#ifndef __REMOTE_GDB_HH__
#define __REMOTE_GDB_HH__
-#include "kgdb.h"
-#include "pc_event.hh"
-#include "pollevent.hh"
-#include "socket.hh"
+#include "base/kgdb.h"
+#include "cpu/pc_event.hh"
+#include "base/pollevent.hh"
+#include "base/socket.hh"
class System;
class ExecContext;
diff --git a/base/res_list.hh b/base/res_list.hh
index a5005c906..ee2ab28a2 100644
--- a/base/res_list.hh
+++ b/base/res_list.hh
@@ -29,7 +29,7 @@
#ifndef __RES_LIST_HH__
#define __RES_LIST_HH__
-#include "cprintf.hh"
+#include "base/cprintf.hh"
#include <assert.h>
#define DEBUG_REMOVE 0
diff --git a/base/sat_counter.cc b/base/sat_counter.cc
index dc365f0f3..c26690a1a 100644
--- a/base/sat_counter.cc
+++ b/base/sat_counter.cc
@@ -28,10 +28,10 @@
#include <sstream>
-#include "sat_counter.hh"
+#include "base/sat_counter.hh"
-#include "statistics.hh"
-#include "sim_stats.hh"
+#include "base/statistics.hh"
+#include "sim/sim_stats.hh"
using namespace std;
diff --git a/base/sat_counter.hh b/base/sat_counter.hh
index 18eab3574..102feb5c6 100644
--- a/base/sat_counter.hh
+++ b/base/sat_counter.hh
@@ -31,10 +31,10 @@
#include <string>
-#include "predictor.hh"
+#include "base/predictor.hh"
-#include "statistics.hh"
-#include "sim_stats.hh"
+#include "base/statistics.hh"
+#include "sim/sim_stats.hh"
struct stat_sdb_t;
diff --git a/base/sched_list.hh b/base/sched_list.hh
index f5b90f571..0f922d63c 100644
--- a/base/sched_list.hh
+++ b/base/sched_list.hh
@@ -30,7 +30,7 @@
#define SCHED_LIST_HH
#include <list>
-#include "misc.hh"
+#include "base/misc.hh"
// Any types you use this class for must be covered here...
namespace {
diff --git a/base/socket.cc b/base/socket.cc
index 00fdf1ba3..63b693d94 100644
--- a/base/socket.cc
+++ b/base/socket.cc
@@ -35,9 +35,9 @@
#include <errno.h>
#include <unistd.h>
-#include "host.hh"
-#include "misc.hh"
-#include "socket.hh"
+#include "sim/host.hh"
+#include "base/misc.hh"
+#include "base/socket.hh"
using namespace std;
diff --git a/base/statistics.cc b/base/statistics.cc
index f956de828..7c88e8f64 100644
--- a/base/statistics.cc
+++ b/base/statistics.cc
@@ -35,12 +35,12 @@
#include <math.h>
-#include "cprintf.hh"
-#include "intmath.hh"
-#include "misc.hh"
-#include "statistics.hh"
-#include "str.hh"
-#include "universe.hh"
+#include "base/cprintf.hh"
+#include "base/intmath.hh"
+#include "base/misc.hh"
+#include "base/statistics.hh"
+#include "base/str.hh"
+#include "sim/universe.hh"
#ifdef __M5_NAN
float
diff --git a/base/statistics.hh b/base/statistics.hh
index 3d9d654ed..d9545ec9c 100644
--- a/base/statistics.hh
+++ b/base/statistics.hh
@@ -51,9 +51,9 @@
#include <assert.h>
-#include "host.hh"
-#include "refcnt.hh"
-#include "str.hh"
+#include "sim/host.hh"
+#include "base/refcnt.hh"
+#include "base/str.hh"
#ifndef NAN
float __nan();
diff --git a/base/str.cc b/base/str.cc
index 19cbea27d..9c3964ce3 100644
--- a/base/str.cc
+++ b/base/str.cc
@@ -34,8 +34,8 @@
#include <string>
#include <vector>
-#include "intmath.hh"
-#include "str.hh"
+#include "base/intmath.hh"
+#include "base/str.hh"
using namespace std;
diff --git a/base/trace.cc b/base/trace.cc
index d1baf3000..99e97e7ea 100644
--- a/base/trace.cc
+++ b/base/trace.cc
@@ -33,9 +33,9 @@
#include <string>
#include <vector>
-#include "misc.hh"
-#include "trace.hh"
-#include "str.hh"
+#include "base/misc.hh"
+#include "base/trace.hh"
+#include "base/str.hh"
using namespace std;
diff --git a/base/trace.hh b/base/trace.hh
index 42cd1722b..528311ca2 100644
--- a/base/trace.hh
+++ b/base/trace.hh
@@ -31,9 +31,9 @@
#include <vector>
-#include "cprintf.hh"
-#include "host.hh"
-#include "universe.hh"
+#include "base/cprintf.hh"
+#include "sim/host.hh"
+#include "sim/universe.hh"
#ifndef TRACING_ON
#ifdef DEBUG
@@ -43,7 +43,7 @@
#endif
#endif
-#include "trace_flags.hh"
+#include "base/trace_flags.hh"
namespace Trace {
diff --git a/cpu/base_cpu.cc b/cpu/base_cpu.cc
index 06b2ec65c..90785946e 100644
--- a/cpu/base_cpu.cc
+++ b/cpu/base_cpu.cc
@@ -30,11 +30,11 @@
#include <sstream>
#include <iostream>
-#include "base_cpu.hh"
-#include "cprintf.hh"
-#include "exec_context.hh"
-#include "misc.hh"
-#include "sim_events.hh"
+#include "cpu/base_cpu.hh"
+#include "base/cprintf.hh"
+#include "cpu/exec_context.hh"
+#include "base/misc.hh"
+#include "sim/sim_events.hh"
using namespace std;
diff --git a/cpu/base_cpu.hh b/cpu/base_cpu.hh
index 745220d85..d5c3b68d8 100644
--- a/cpu/base_cpu.hh
+++ b/cpu/base_cpu.hh
@@ -31,10 +31,10 @@
#include <vector>
-#include "eventq.hh"
-#include "sim_object.hh"
+#include "sim/eventq.hh"
+#include "sim/sim_object.hh"
-#include "isa_traits.hh" // for Addr
+#include "targetarch/isa_traits.hh" // for Addr
#ifdef FULL_SYSTEM
class System;
diff --git a/cpu/exec_context.cc b/cpu/exec_context.cc
index c81d172a8..87f7283aa 100644
--- a/cpu/exec_context.cc
+++ b/cpu/exec_context.cc
@@ -28,13 +28,13 @@
#include <string>
-#include "base_cpu.hh"
-#include "exec_context.hh"
+#include "cpu/base_cpu.hh"
+#include "cpu/exec_context.hh"
#ifdef FULL_SYSTEM
-#include "system.hh"
+#include "sim/system.hh"
#else
-#include "prog.hh"
+#include "sim/prog.hh"
#endif
using namespace std;
diff --git a/cpu/exec_context.hh b/cpu/exec_context.hh
index 988673a0f..5c1db6297 100644
--- a/cpu/exec_context.hh
+++ b/cpu/exec_context.hh
@@ -29,8 +29,8 @@
#ifndef __EXEC_CONTEXT_HH__
#define __EXEC_CONTEXT_HH__
-#include "host.hh"
-#include "mem_req.hh"
+#include "sim/host.hh"
+#include "targetarch/mem_req.hh"
// forward declaration: see functional_memory.hh
class FunctionalMemory;
@@ -39,15 +39,15 @@ class BaseCPU;
#ifdef FULL_SYSTEM
-#include "alpha_memory.hh"
+#include "targetarch/alpha_memory.hh"
class MemoryController;
-#include "kernel_stats.hh"
-#include "system.hh"
+#include "kern/tru64/kernel_stats.hh"
+#include "sim/system.hh"
#else // !FULL_SYSTEM
-#include "prog.hh"
+#include "sim/prog.hh"
#endif // FULL_SYSTEM
diff --git a/cpu/exetrace.cc b/cpu/exetrace.cc
index 4c5d14893..01f50e675 100644
--- a/cpu/exetrace.cc
+++ b/cpu/exetrace.cc
@@ -29,14 +29,14 @@
#include <fstream>
#include <iomanip>
-#include "dyn_inst.hh"
-#include "spec_state.hh"
-#include "issue.hh"
-#include "exetrace.hh"
-#include "exec_context.hh"
-#include "symtab.hh"
-#include "base_cpu.hh"
-#include "static_inst.hh"
+#include "cpu/full_cpu/dyn_inst.hh"
+#include "cpu/full_cpu/spec_state.hh"
+#include "cpu/full_cpu/issue.hh"
+#include "cpu/exetrace.hh"
+#include "cpu/exec_context.hh"
+#include "base/loader/symtab.hh"
+#include "cpu/base_cpu.hh"
+#include "cpu/static_inst.hh"
using namespace std;
diff --git a/cpu/exetrace.hh b/cpu/exetrace.hh
index 2eb7753e5..8e2ea6221 100644
--- a/cpu/exetrace.hh
+++ b/cpu/exetrace.hh
@@ -32,11 +32,11 @@
#include <fstream>
#include <vector>
-#include "host.hh"
-#include "inst_seq.hh" // for InstSeqNum
-#include "trace.hh"
-#include "exec_context.hh"
-#include "static_inst.hh"
+#include "sim/host.hh"
+#include "cpu/inst_seq.hh" // for InstSeqNum
+#include "base/trace.hh"
+#include "cpu/exec_context.hh"
+#include "cpu/static_inst.hh"
class BaseCPU;
diff --git a/cpu/intr_control.cc b/cpu/intr_control.cc
index 7ad32a2b9..bce427eea 100644
--- a/cpu/intr_control.cc
+++ b/cpu/intr_control.cc
@@ -29,9 +29,9 @@
#include <string>
#include <vector>
-#include "base_cpu.hh"
-#include "intr_control.hh"
-#include "sim_object.hh"
+#include "cpu/base_cpu.hh"
+#include "cpu/intr_control.hh"
+#include "sim/sim_object.hh"
using namespace std;
diff --git a/cpu/intr_control.hh b/cpu/intr_control.hh
index 660d6d704..b8fa68f52 100644
--- a/cpu/intr_control.hh
+++ b/cpu/intr_control.hh
@@ -29,9 +29,9 @@
#ifndef __INTR_CONTROL_HH__
#define __INTR_CONTROL_HH__
-#include "misc.hh"
-#include "base_cpu.hh"
-#include "sim_object.hh"
+#include "base/misc.hh"
+#include "cpu/base_cpu.hh"
+#include "sim/sim_object.hh"
class IntrControl : public SimObject
{
diff --git a/cpu/memtest/memtest.cc b/cpu/memtest/memtest.cc
index 70b6fbf13..9deebb282 100644
--- a/cpu/memtest/memtest.cc
+++ b/cpu/memtest/memtest.cc
@@ -33,14 +33,14 @@
#include <iomanip>
#include <vector>
-#include "memtest.hh"
-#include "misc.hh"
-#include "sim_events.hh"
-#include "main_memory.hh"
-#include "base_cache.hh"
-
-#include "statistics.hh"
-#include "sim_stats.hh"
+#include "cpu/memtest/memtest.hh"
+#include "base/misc.hh"
+#include "sim/sim_events.hh"
+#include "mem/functional_mem/main_memory.hh"
+#include "mem/cache/base_cache.hh"
+
+#include "base/statistics.hh"
+#include "sim/sim_stats.hh"
using namespace std;
diff --git a/cpu/memtest/memtest.hh b/cpu/memtest/memtest.hh
index aa652abbd..36c9691e6 100644
--- a/cpu/memtest/memtest.hh
+++ b/cpu/memtest/memtest.hh
@@ -29,14 +29,14 @@
#ifndef __MEMTEST_HH__
#define __MEMTEST_HH__
-#include "sim_object.hh"
-#include "mem_interface.hh"
-#include "functional_memory.hh"
-#include "base_cpu.hh"
-#include "exec_context.hh"
-
-#include "statistics.hh"
-#include "sim_stats.hh"
+#include "sim/sim_object.hh"
+#include "mem/mem_interface.hh"
+#include "mem/functional_mem/functional_memory.hh"
+#include "cpu/base_cpu.hh"
+#include "cpu/exec_context.hh"
+
+#include "base/statistics.hh"
+#include "sim/sim_stats.hh"
class MemTest : public BaseCPU
{
diff --git a/cpu/pc_event.cc b/cpu/pc_event.cc
index 4de425199..d2490edf6 100644
--- a/cpu/pc_event.cc
+++ b/cpu/pc_event.cc
@@ -31,20 +31,20 @@
#include <string>
#include <utility>
-#include "debug.hh"
-#include "exec_context.hh"
-#include "pc_event.hh"
-#include "trace.hh"
-#include "universe.hh"
+#include "sim/debug.hh"
+#include "cpu/exec_context.hh"
+#include "cpu/pc_event.hh"
+#include "base/trace.hh"
+#include "sim/universe.hh"
#ifdef FULL_SYSTEM
-#include "arguments.hh"
-#include "pmap.h"
-#include "kernel.hh"
-#include "memory_control.hh"
-#include "cpu.hh"
-#include "system.hh"
-#include "bpred.hh"
+#include "targetarch/arguments.hh"
+#include "targetarch/pmap.h"
+#include "targetarch/kernel.hh"
+#include "mem/functional_mem/memory_control.hh"
+#include "cpu/full_cpu/cpu.hh"
+#include "sim/system.hh"
+#include "cpu/full_cpu/bpred.hh"
#endif
using namespace std;
diff --git a/cpu/pc_event.hh b/cpu/pc_event.hh
index 24442f5f4..08c6fa59a 100644
--- a/cpu/pc_event.hh
+++ b/cpu/pc_event.hh
@@ -31,7 +31,7 @@
#include <vector>
-#include "mem_req.hh"
+#include "targetarch/mem_req.hh"
class ExecContext;
class PCEventQueue;
diff --git a/cpu/simple_cpu/simple_cpu.cc b/cpu/simple_cpu/simple_cpu.cc
index 41a612318..2bbd74efa 100644
--- a/cpu/simple_cpu/simple_cpu.cc
+++ b/cpu/simple_cpu/simple_cpu.cc
@@ -36,47 +36,47 @@
#include <stdlib.h>
#include <math.h>
-#include "host.hh"
-#include "cprintf.hh"
-#include "misc.hh"
-#include "smt.hh"
-
-#include "annotation.hh"
-#include "exec_context.hh"
-#include "base_cpu.hh"
-#include "debug.hh"
-#include "simple_cpu.hh"
-#include "inifile.hh"
-#include "mem_interface.hh"
-#include "base_mem.hh"
-#include "static_inst.hh"
+#include "sim/host.hh"
+#include "base/cprintf.hh"
+#include "base/misc.hh"
+#include "cpu/full_cpu/smt.hh"
+
+#include "sim/annotation.hh"
+#include "cpu/exec_context.hh"
+#include "cpu/base_cpu.hh"
+#include "sim/debug.hh"
+#include "cpu/simple_cpu/simple_cpu.hh"
+#include "base/inifile.hh"
+#include "mem/mem_interface.hh"
+#include "mem/base_mem.hh"
+#include "cpu/static_inst.hh"
#ifdef FULL_SYSTEM
-#include "memory_control.hh"
-#include "physical_memory.hh"
-#include "alpha_memory.hh"
-#include "system.hh"
+#include "mem/functional_mem/memory_control.hh"
+#include "mem/functional_mem/physical_memory.hh"
+#include "targetarch/alpha_memory.hh"
+#include "sim/system.hh"
#else // !FULL_SYSTEM
-#include "functional_memory.hh"
-#include "prog.hh"
-#include "eio.hh"
+#include "mem/functional_mem/functional_memory.hh"
+#include "sim/prog.hh"
+#include "eio/eio.hh"
#endif // FULL_SYSTEM
-#include "exetrace.hh"
-#include "trace.hh"
-#include "sim_events.hh"
-#include "pollevent.hh"
-#include "sim_object.hh"
-#include "sim_stats.hh"
+#include "cpu/exetrace.hh"
+#include "base/trace.hh"
+#include "sim/sim_events.hh"
+#include "base/pollevent.hh"
+#include "sim/sim_object.hh"
+#include "sim/sim_stats.hh"
-#include "range.hh"
-#include "symtab.hh"
+#include "base/range.hh"
+#include "base/loader/symtab.hh"
#ifdef FULL_SYSTEM
-#include "vtophys.hh"
-#include "pciareg.h"
-#include "remote_gdb.hh"
-#include "alpha_access.h"
+#include "targetarch/vtophys.hh"
+#include "dev/pciareg.h"
+#include "base/remote_gdb.hh"
+#include "dev/alpha_access.h"
#endif
diff --git a/cpu/simple_cpu/simple_cpu.hh b/cpu/simple_cpu/simple_cpu.hh
index c5671eb6f..658a92344 100644
--- a/cpu/simple_cpu/simple_cpu.hh
+++ b/cpu/simple_cpu/simple_cpu.hh
@@ -29,11 +29,11 @@
#ifndef __SIMPLE_CPU_HH__
#define __SIMPLE_CPU_HH__
-#include "base_cpu.hh"
-#include "eventq.hh"
-#include "symtab.hh"
-#include "pc_event.hh"
-#include "statistics.hh"
+#include "cpu/base_cpu.hh"
+#include "sim/eventq.hh"
+#include "base/loader/symtab.hh"
+#include "cpu/pc_event.hh"
+#include "base/statistics.hh"
// forward declarations
diff --git a/cpu/static_inst.cc b/cpu/static_inst.cc
index cf25d5f05..4cb45a818 100644
--- a/cpu/static_inst.cc
+++ b/cpu/static_inst.cc
@@ -27,8 +27,8 @@
*/
#include <iostream>
-#include "static_inst.hh"
-#include "universe.hh"
+#include "cpu/static_inst.hh"
+#include "sim/universe.hh"
template <class ISA>
StaticInstPtr<ISA> StaticInst<ISA>::nullStaticInstPtr;
diff --git a/cpu/static_inst.hh b/cpu/static_inst.hh
index b8f9cc00a..343a3d9b7 100644
--- a/cpu/static_inst.hh
+++ b/cpu/static_inst.hh
@@ -32,12 +32,12 @@
#include <bitset>
#include <string>
-#include "host.hh"
-#include "hashmap.hh"
-#include "refcnt.hh"
+#include "sim/host.hh"
+#include "base/hashmap.hh"
+#include "base/refcnt.hh"
-#include "op_class.hh"
-#include "isa_traits.hh"
+#include "cpu/full_cpu/op_class.hh"
+#include "targetarch/isa_traits.hh"
// forward declarations
class ExecContext;
diff --git a/dev/alpha_console.cc b/dev/alpha_console.cc
index 6a1e2b169..1d41ce08f 100644
--- a/dev/alpha_console.cc
+++ b/dev/alpha_console.cc
@@ -35,17 +35,17 @@
#include <string>
-#include "alpha_console.hh"
-#include "base_cpu.hh"
-#include "console.hh"
-#include "exec_context.hh"
-#include "memory_control.hh"
-#include "simple_disk.hh"
-#include "tlaser_clock.hh"
-#include "system.hh"
-#include "trace.hh"
-#include "inifile.hh"
-#include "str.hh" // for to_number()
+#include "dev/alpha_console.hh"
+#include "cpu/base_cpu.hh"
+#include "dev/console.hh"
+#include "cpu/exec_context.hh"
+#include "mem/functional_mem/memory_control.hh"
+#include "dev/simple_disk.hh"
+#include "dev/tlaser_clock.hh"
+#include "sim/system.hh"
+#include "base/trace.hh"
+#include "base/inifile.hh"
+#include "base/str.hh" // for to_number()
using namespace std;
diff --git a/dev/alpha_console.hh b/dev/alpha_console.hh
index 518f5fccb..608e6ac00 100644
--- a/dev/alpha_console.hh
+++ b/dev/alpha_console.hh
@@ -33,9 +33,9 @@
#ifndef __ALPHA_CONSOLE_HH__
#define __ALPHA_CONSOLE_HH__
-#include "host.hh"
-#include "alpha_access.h"
-#include "mmap_device.hh"
+#include "sim/host.hh"
+#include "dev/alpha_access.h"
+#include "mem/functional_mem/mmap_device.hh"
class BaseCPU;
class SimConsole;
diff --git a/dev/console.cc b/dev/console.cc
index 8141a6508..e3be3168f 100644
--- a/dev/console.cc
+++ b/dev/console.cc
@@ -16,13 +16,13 @@
#include <sstream>
#include <string>
-#include "misc.hh"
-#include "ev5.hh"
+#include "base/misc.hh"
+#include "targetarch/ev5.hh"
-#include "console.hh"
-#include "socket.hh"
-#include "trace.hh"
-#include "memory_control.hh"
+#include "dev/console.hh"
+#include "base/socket.hh"
+#include "base/trace.hh"
+#include "mem/functional_mem/memory_control.hh"
using namespace std;
diff --git a/dev/console.hh b/dev/console.hh
index 092e6ea53..ba4250db4 100644
--- a/dev/console.hh
+++ b/dev/console.hh
@@ -9,11 +9,11 @@
#include <iostream>
-#include "circlebuf.hh"
-#include "intr_control.hh"
-#include "pollevent.hh"
-#include "socket.hh"
-#include "sim_object.hh"
+#include "base/circlebuf.hh"
+#include "cpu/intr_control.hh"
+#include "base/pollevent.hh"
+#include "base/socket.hh"
+#include "sim/sim_object.hh"
class ConsoleListener;
class SimConsole : public SimObject
diff --git a/dev/disk_image.cc b/dev/disk_image.cc
index 17a7f3e9d..0a3955ed1 100644
--- a/dev/disk_image.cc
+++ b/dev/disk_image.cc
@@ -40,11 +40,11 @@
#include <fstream>
#include <string>
-#include "disk_image.hh"
-#include "misc.hh"
-#include "trace.hh"
-#include "sim_exit.hh"
-#include "callback.hh"
+#include "dev/disk_image.hh"
+#include "base/misc.hh"
+#include "base/trace.hh"
+#include "sim/sim_exit.hh"
+#include "base/callback.hh"
using namespace std;
diff --git a/dev/disk_image.hh b/dev/disk_image.hh
index 2cfa1490a..12048a042 100644
--- a/dev/disk_image.hh
+++ b/dev/disk_image.hh
@@ -35,8 +35,8 @@
#include <fstream>
-#include "hashmap.hh"
-#include "sim_object.hh"
+#include "base/hashmap.hh"
+#include "sim/sim_object.hh"
#define SectorSize (512)
diff --git a/dev/etherbus.cc b/dev/etherbus.cc
index fa5a62208..fa1d84272 100644
--- a/dev/etherbus.cc
+++ b/dev/etherbus.cc
@@ -36,12 +36,12 @@
#include <math.h>
-#include "etherbus.hh"
-#include "etherdump.hh"
-#include "etherint.hh"
-#include "etherpkt.hh"
-#include "trace.hh"
-#include "universe.hh"
+#include "dev/etherbus.hh"
+#include "dev/etherdump.hh"
+#include "dev/etherint.hh"
+#include "dev/etherpkt.hh"
+#include "base/trace.hh"
+#include "sim/universe.hh"
using namespace std;
diff --git a/dev/etherbus.hh b/dev/etherbus.hh
index f64aa45e1..f7f633303 100644
--- a/dev/etherbus.hh
+++ b/dev/etherbus.hh
@@ -33,9 +33,9 @@
#ifndef __ETHERBUS_H__
#define __ETHERBUS_H__
-#include "eventq.hh"
-#include "etherpkt.hh"
-#include "sim_object.hh"
+#include "sim/eventq.hh"
+#include "dev/etherpkt.hh"
+#include "sim/sim_object.hh"
class EtherDump;
class EtherInt;
diff --git a/dev/etherdump.cc b/dev/etherdump.cc
index 034db86aa..dcca0e458 100644
--- a/dev/etherdump.cc
+++ b/dev/etherdump.cc
@@ -34,9 +34,9 @@
#include <string>
-#include "universe.hh"
-#include "etherdump.hh"
-#include "universe.hh"
+#include "sim/universe.hh"
+#include "dev/etherdump.hh"
+#include "sim/universe.hh"
using std::string;
diff --git a/dev/etherdump.hh b/dev/etherdump.hh
index 87824c470..b3aefeb74 100644
--- a/dev/etherdump.hh
+++ b/dev/etherdump.hh
@@ -34,8 +34,8 @@
#define __ETHERDUMP_H__
#include <fstream>
-#include "etherpkt.hh"
-#include "sim_object.hh"
+#include "dev/etherpkt.hh"
+#include "sim/sim_object.hh"
/*
* Simple object for creating a simple pcap style packet trace
diff --git a/dev/etherint.cc b/dev/etherint.cc
index 51b18c6aa..2845ce729 100644
--- a/dev/etherint.cc
+++ b/dev/etherint.cc
@@ -26,9 +26,9 @@
* OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
-#include "etherint.hh"
-#include "misc.hh"
-#include "sim_object.hh"
+#include "dev/etherint.hh"
+#include "base/misc.hh"
+#include "sim/sim_object.hh"
void
EtherInt::setPeer(EtherInt *p)
diff --git a/dev/etherint.hh b/dev/etherint.hh
index 00e291fc9..1aa85f17c 100644
--- a/dev/etherint.hh
+++ b/dev/etherint.hh
@@ -36,8 +36,8 @@
#include <string>
-#include "etherpkt.hh"
-#include "sim_object.hh"
+#include "dev/etherpkt.hh"
+#include "sim/sim_object.hh"
/*
* Class representing the actual interface between two ethernet
diff --git a/dev/etherlink.cc b/dev/etherlink.cc
index 1d3578e58..699e9f331 100644
--- a/dev/etherlink.cc
+++ b/dev/etherlink.cc
@@ -36,12 +36,12 @@
#include <math.h>
-#include "etherlink.hh"
-#include "etherdump.hh"
-#include "etherint.hh"
-#include "etherpkt.hh"
-#include "trace.hh"
-#include "universe.hh"
+#include "dev/etherlink.hh"
+#include "dev/etherdump.hh"
+#include "dev/etherint.hh"
+#include "dev/etherpkt.hh"
+#include "base/trace.hh"
+#include "sim/universe.hh"
using namespace std;
diff --git a/dev/etherlink.hh b/dev/etherlink.hh
index b88d80420..a88fe24df 100644
--- a/dev/etherlink.hh
+++ b/dev/etherlink.hh
@@ -33,11 +33,11 @@
#ifndef __ETHERLINK_HH__
#define __ETHERLINK_HH__
-#include "host.hh"
-#include "eventq.hh"
-#include "etherint.hh"
-#include "etherpkt.hh"
-#include "sim_object.hh"
+#include "sim/host.hh"
+#include "sim/eventq.hh"
+#include "dev/etherint.hh"
+#include "dev/etherpkt.hh"
+#include "sim/sim_object.hh"
class EtherDump;
diff --git a/dev/etherpkt.hh b/dev/etherpkt.hh
index 4927cc779..678b83b33 100644
--- a/dev/etherpkt.hh
+++ b/dev/etherpkt.hh
@@ -35,9 +35,9 @@
#include <memory>
-#include "host.hh"
+#include "sim/host.hh"
-#include "refcnt.hh"
+#include "base/refcnt.hh"
/*
* Reference counted class containing ethernet packet data
diff --git a/dev/ethertap.cc b/dev/ethertap.cc
index 6643cab30..e12c07c26 100644
--- a/dev/ethertap.cc
+++ b/dev/ethertap.cc
@@ -40,14 +40,14 @@
#include <deque>
#include <string>
-#include "etherdump.hh"
-#include "etherint.hh"
-#include "etherpkt.hh"
-#include "ethertap.hh"
-#include "pollevent.hh"
-#include "socket.hh"
-#include "trace.hh"
-#include "misc.hh"
+#include "dev/etherdump.hh"
+#include "dev/etherint.hh"
+#include "dev/etherpkt.hh"
+#include "dev/ethertap.hh"
+#include "base/pollevent.hh"
+#include "base/socket.hh"
+#include "base/trace.hh"
+#include "base/misc.hh"
using namespace std;
diff --git a/dev/ethertap.hh b/dev/ethertap.hh
index 434df47b0..6e99bd91d 100644
--- a/dev/ethertap.hh
+++ b/dev/ethertap.hh
@@ -36,11 +36,11 @@
#include <queue>
#include <string>
-#include "etherint.hh"
-#include "etherpkt.hh"
-#include "eventq.hh"
-#include "pollevent.hh"
-#include "sim_object.hh"
+#include "dev/etherint.hh"
+#include "dev/etherpkt.hh"
+#include "sim/eventq.hh"
+#include "base/pollevent.hh"
+#include "sim/sim_object.hh"
/*
* Interface to connect a simulated ethernet device to the real world
diff --git a/dev/simple_disk.cc b/dev/simple_disk.cc
index 25645db5f..d26cf44ea 100644
--- a/dev/simple_disk.cc
+++ b/dev/simple_disk.cc
@@ -38,11 +38,11 @@
#include <string.h>
#include <unistd.h>
-#include "disk_image.hh"
-#include "misc.hh"
-#include "physical_memory.hh"
-#include "simple_disk.hh"
-#include "trace.hh"
+#include "dev/disk_image.hh"
+#include "base/misc.hh"
+#include "mem/functional_mem/physical_memory.hh"
+#include "dev/simple_disk.hh"
+#include "base/trace.hh"
using namespace std;
diff --git a/dev/simple_disk.hh b/dev/simple_disk.hh
index bf684950d..935865ba4 100644
--- a/dev/simple_disk.hh
+++ b/dev/simple_disk.hh
@@ -33,8 +33,8 @@
#ifndef __SIMPLE_DISK_HH__
#define __SIMPLE_DISK_HH__
-#include "physical_memory.hh"
-#include "sim_object.hh"
+#include "mem/functional_mem/physical_memory.hh"
+#include "sim/sim_object.hh"
class DiskImage;
diff --git a/kern/tru64/tru64_syscalls.cc b/kern/tru64/tru64_syscalls.cc
index dbaf4dbff..e28c67294 100644
--- a/kern/tru64/tru64_syscalls.cc
+++ b/kern/tru64/tru64_syscalls.cc
@@ -26,7 +26,7 @@
* OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
-#include "tru64_syscalls.hh"
+#include "kern/tru64/tru64_syscalls.hh"
namespace {
const char *
diff --git a/kern/tru64/tru64_syscalls.hh b/kern/tru64/tru64_syscalls.hh
index 2e76234c0..f4853e3f8 100644
--- a/kern/tru64/tru64_syscalls.hh
+++ b/kern/tru64/tru64_syscalls.hh
@@ -29,8 +29,8 @@
#ifndef __TRU64_SYSCALLS_HH__
#define __TRU64_SYSCALLS_HH__
-#include "syscalls.hh"
-#include "tru64.hh"
+#include "targetarch/syscalls.hh"
+#include "kern/tru64/tru64.hh"
struct SystemCalls<Tru64>
{
diff --git a/sim/debug.cc b/sim/debug.cc
index bfc5c9987..b18642942 100644
--- a/sim/debug.cc
+++ b/sim/debug.cc
@@ -33,10 +33,10 @@
#include <string>
#include <vector>
-#include "debug.hh"
-#include "eventq.hh"
-#include "param.hh"
-#include "sim_events.hh"
+#include "sim/debug.hh"
+#include "sim/eventq.hh"
+#include "sim/param.hh"
+#include "sim/sim_events.hh"
using namespace std;
diff --git a/sim/eventq.cc b/sim/eventq.cc
index 36ef8aab2..6ffce4c0e 100644
--- a/sim/eventq.cc
+++ b/sim/eventq.cc
@@ -33,12 +33,12 @@
#include <sstream>
#include <vector>
-#include "smt.hh"
-#include "misc.hh"
+#include "cpu/full_cpu/smt.hh"
+#include "base/misc.hh"
-#include "eventq.hh"
-#include "trace.hh"
-#include "universe.hh"
+#include "sim/eventq.hh"
+#include "base/trace.hh"
+#include "sim/universe.hh"
using namespace std;
diff --git a/sim/eventq.hh b/sim/eventq.hh
index 71b027768..df8e00bd8 100644
--- a/sim/eventq.hh
+++ b/sim/eventq.hh
@@ -40,11 +40,11 @@
#include <string>
#include <vector>
-#include "host.hh" // for Tick
+#include "sim/host.hh" // for Tick
-#include "fast_alloc.hh"
-#include "serialize.hh"
-#include "trace.hh"
+#include "base/fast_alloc.hh"
+#include "sim/serialize.hh"
+#include "base/trace.hh"
class EventQueue; // forward declaration
diff --git a/sim/main.cc b/sim/main.cc
index ebf96741d..ae4b5af77 100644
--- a/sim/main.cc
+++ b/sim/main.cc
@@ -37,23 +37,23 @@
#include <string>
#include <vector>
-#include "host.hh"
-#include "misc.hh"
-
-#include "copyright.hh"
-#include "inifile.hh"
-#include "configfile.hh"
-#include "pollevent.hh"
-#include "statistics.hh"
-#include "sim_events.hh"
-#include "sim_exit.hh"
-#include "sim_object.hh"
-#include "sim_stats.hh"
-#include "sim_time.hh"
-#include "smt.hh"
-
-#include "base_cpu.hh"
-#include "async.hh"
+#include "sim/host.hh"
+#include "base/misc.hh"
+
+#include "base/copyright.hh"
+#include "base/inifile.hh"
+#include "sim/configfile.hh"
+#include "base/pollevent.hh"
+#include "base/statistics.hh"
+#include "sim/sim_events.hh"
+#include "sim/sim_exit.hh"
+#include "sim/sim_object.hh"
+#include "sim/sim_stats.hh"
+#include "sim/sim_time.hh"
+#include "cpu/full_cpu/smt.hh"
+
+#include "cpu/base_cpu.hh"
+#include "sim/async.hh"
using namespace std;
diff --git a/sim/param.cc b/sim/param.cc
index 432953670..8284983a8 100644
--- a/sim/param.cc
+++ b/sim/param.cc
@@ -34,14 +34,14 @@
#include <assert.h>
-#include "param.hh"
-#include "sim_object.hh"
-#include "inifile.hh"
-#include "configfile.hh"
-#include "config_node.hh"
-#include "misc.hh"
-#include "str.hh"
-#include "trace.hh"
+#include "sim/param.hh"
+#include "sim/sim_object.hh"
+#include "base/inifile.hh"
+#include "sim/configfile.hh"
+#include "sim/config_node.hh"
+#include "base/misc.hh"
+#include "base/str.hh"
+#include "base/trace.hh"
using namespace std;
diff --git a/sim/param.hh b/sim/param.hh
index 983032854..fb2c9dd44 100644
--- a/sim/param.hh
+++ b/sim/param.hh
@@ -33,7 +33,7 @@
#include <string>
#include <vector>
-#include "configfile.hh"
+#include "sim/configfile.hh"
// forward decls
class BaseParam;
diff --git a/sim/prog.cc b/sim/prog.cc
index 0c9191d5d..c52d51f63 100644
--- a/sim/prog.cc
+++ b/sim/prog.cc
@@ -32,19 +32,19 @@
#include <string>
-#include "main_memory.hh"
-#include "prog.hh"
-
-#include "eio.hh"
-#include "intmath.hh"
-#include "thread.hh"
-#include "fake_syscall.hh"
-#include "object_file.hh"
-#include "exec_context.hh"
-#include "smt.hh"
-
-#include "statistics.hh"
-#include "sim_stats.hh"
+#include "mem/functional_mem/main_memory.hh"
+#include "sim/prog.hh"
+
+#include "eio/eio.hh"
+#include "base/intmath.hh"
+#include "cpu/full_cpu/thread.hh"
+#include "sim/fake_syscall.hh"
+#include "base/loader/object_file.hh"
+#include "cpu/exec_context.hh"
+#include "cpu/full_cpu/smt.hh"
+
+#include "base/statistics.hh"
+#include "sim/sim_stats.hh"
using namespace std;
diff --git a/sim/prog.hh b/sim/prog.hh
index 0afd06ffd..ee4bc59fe 100644
--- a/sim/prog.hh
+++ b/sim/prog.hh
@@ -38,10 +38,10 @@
#include <list>
-#include "isa_traits.hh"
-#include "sim_object.hh"
-#include "sim_stats.hh"
-#include "statistics.hh"
+#include "targetarch/isa_traits.hh"
+#include "sim/sim_object.hh"
+#include "sim/sim_stats.hh"
+#include "base/statistics.hh"
class ExecContext;
class FunctionalMemory;
diff --git a/sim/serialize.cc b/sim/serialize.cc
index a2e3d7250..6144c97d0 100644
--- a/sim/serialize.cc
+++ b/sim/serialize.cc
@@ -33,15 +33,15 @@
#include <string>
#include <vector>
-#include "misc.hh"
-
-#include "eventq.hh"
-#include "param.hh"
-#include "serialize.hh"
-#include "inifile.hh"
-#include "sim_events.hh"
-#include "sim_object.hh"
-#include "trace.hh"
+#include "base/misc.hh"
+
+#include "sim/eventq.hh"
+#include "sim/param.hh"
+#include "sim/serialize.hh"
+#include "base/inifile.hh"
+#include "sim/sim_events.hh"
+#include "sim/sim_object.hh"
+#include "base/trace.hh"
using namespace std;
diff --git a/sim/serialize.hh b/sim/serialize.hh
index c5fb86140..ffcbbcdc2 100644
--- a/sim/serialize.hh
+++ b/sim/serialize.hh
@@ -37,8 +37,8 @@
#include <list>
#include <iostream>
-#include "host.hh"
-#include "configfile.hh"
+#include "sim/host.hh"
+#include "sim/configfile.hh"
class IniFile;
diff --git a/sim/sim_events.cc b/sim/sim_events.cc
index 8a2481484..98480e76b 100644
--- a/sim/sim_events.cc
+++ b/sim/sim_events.cc
@@ -28,12 +28,12 @@
#include <string>
-#include "cpu.hh"
-#include "eventq.hh"
-#include "hostinfo.hh"
-#include "sim_events.hh"
-#include "sim_exit.hh"
-#include "sim_stats.hh"
+#include "cpu/full_cpu/cpu.hh"
+#include "sim/eventq.hh"
+#include "base/hostinfo.hh"
+#include "sim/sim_events.hh"
+#include "sim/sim_exit.hh"
+#include "sim/sim_stats.hh"
using namespace std;
diff --git a/sim/sim_events.hh b/sim/sim_events.hh
index e9a5f3251..b1ae7793a 100644
--- a/sim/sim_events.hh
+++ b/sim/sim_events.hh
@@ -29,7 +29,7 @@
#ifndef __SIM_EVENTS_HH__
#define __SIM_EVENTS_HH__
-#include "eventq.hh"
+#include "sim/eventq.hh"
//
// Event to terminate simulation at a particular cycle/instruction
diff --git a/sim/sim_object.cc b/sim/sim_object.cc
index 3583c30c2..955c43bb8 100644
--- a/sim/sim_object.cc
+++ b/sim/sim_object.cc
@@ -28,13 +28,13 @@
#include <assert.h>
-#include "sim_object.hh"
-#include "inifile.hh"
-#include "configfile.hh"
-#include "host.hh"
-#include "misc.hh"
-#include "trace.hh"
-#include "sim_stats.hh"
+#include "sim/sim_object.hh"
+#include "base/inifile.hh"
+#include "sim/configfile.hh"
+#include "sim/host.hh"
+#include "base/misc.hh"
+#include "base/trace.hh"
+#include "sim/sim_stats.hh"
using namespace std;
diff --git a/sim/sim_object.hh b/sim/sim_object.hh
index 141b58e0a..7f71d1852 100644
--- a/sim/sim_object.hh
+++ b/sim/sim_object.hh
@@ -38,8 +38,8 @@
#include <vector>
#include <iostream>
-#include "param.hh"
-#include "serialize.hh"
+#include "sim/param.hh"
+#include "sim/serialize.hh"
/*
* Abstract superclass for simulation objects. Represents things that
diff --git a/sim/sim_time.cc b/sim/sim_time.cc
index c235be5db..3d91eba83 100644
--- a/sim/sim_time.cc
+++ b/sim/sim_time.cc
@@ -31,7 +31,7 @@
#include <time.h>
#include <iostream>
-#include "sim_time.hh"
+#include "sim/sim_time.hh"
using namespace std;
diff --git a/sim/system.cc b/sim/system.cc
index 0f6dce10c..74216176a 100644
--- a/sim/system.cc
+++ b/sim/system.cc
@@ -26,15 +26,15 @@
* OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
-#include "exec_context.hh"
-#include "object_file.hh"
-#include "memory_control.hh"
-#include "physical_memory.hh"
-#include "symtab.hh"
-#include "remote_gdb.hh"
-#include "vtophys.hh"
-#include "system.hh"
-#include "trace.hh"
+#include "cpu/exec_context.hh"
+#include "base/loader/object_file.hh"
+#include "mem/functional_mem/memory_control.hh"
+#include "mem/functional_mem/physical_memory.hh"
+#include "base/loader/symtab.hh"
+#include "base/remote_gdb.hh"
+#include "targetarch/vtophys.hh"
+#include "sim/system.hh"
+#include "base/trace.hh"
using namespace std;
diff --git a/sim/system.hh b/sim/system.hh
index bd2fd89b1..830e78f4a 100644
--- a/sim/system.hh
+++ b/sim/system.hh
@@ -31,9 +31,9 @@
#include <string>
-#include "sim_object.hh"
-#include "pc_event.hh"
-#include "symtab.hh"
+#include "sim/sim_object.hh"
+#include "cpu/pc_event.hh"
+#include "base/loader/symtab.hh"
class MemoryController;
class PhysicalMemory;
diff --git a/sim/universe.cc b/sim/universe.cc
index 83f268b14..8274d84ca 100644
--- a/sim/universe.cc
+++ b/sim/universe.cc
@@ -30,9 +30,9 @@
#include <string>
#include <vector>
-#include "universe.hh"
-#include "host.hh"
-#include "param.hh"
+#include "sim/universe.hh"
+#include "sim/host.hh"
+#include "sim/param.hh"
using namespace std;
diff --git a/test/circletest.cc b/test/circletest.cc
index 5123cfc9a..5c8f148a5 100644
--- a/test/circletest.cc
+++ b/test/circletest.cc
@@ -30,7 +30,7 @@
#include <iostream.h>
#include <unistd.h>
-#include "circlebuf.hh"
+#include "base/circlebuf.hh"
char *strings[] =
{ "This is the first test\n",
diff --git a/test/cprintftest.cc b/test/cprintftest.cc
index 87518c3c3..98af6b6ce 100644
--- a/test/cprintftest.cc
+++ b/test/cprintftest.cc
@@ -32,7 +32,7 @@
#include <string>
#include <sstream>
-#include "cprintf.hh"
+#include "base/cprintf.hh"
using namespace std;
diff --git a/test/initest.cc b/test/initest.cc
index d45e9eaa7..51089a46b 100644
--- a/test/initest.cc
+++ b/test/initest.cc
@@ -33,7 +33,7 @@
#include <string>
#include <vector>
-#include "inifile.hh"
+#include "base/inifile.hh"
char *progname;
diff --git a/test/nmtest.cc b/test/nmtest.cc
index 701f83188..391536c0a 100644
--- a/test/nmtest.cc
+++ b/test/nmtest.cc
@@ -31,9 +31,9 @@
#include <vector>
#include "ecoff.hh"
-#include "object_file.hh"
-#include "str.hh"
-#include "symtab.hh"
+#include "base/loader/object_file.hh"
+#include "base/str.hh"
+#include "base/loader/symtab.hh"
Tick curTick;
diff --git a/test/offtest.cc b/test/offtest.cc
index 6970ecf72..f0f590973 100644
--- a/test/offtest.cc
+++ b/test/offtest.cc
@@ -29,7 +29,7 @@
#include <sys/types.h>
#include <stddef.h>
#include <stdio.h>
-#include "pcireg.h"
+#include "dev/pcireg.h"
int
main()
diff --git a/test/paramtest.cc b/test/paramtest.cc
index 343d75b91..d63a3aad4 100644
--- a/test/paramtest.cc
+++ b/test/paramtest.cc
@@ -32,8 +32,8 @@
// to the sources list, then use configs/test.ini as the configuration
// file.
//
-#include "sim_object.hh"
-#include "cache.hh"
+#include "sim/sim_object.hh"
+#include "mem/cache/cache.hh"
class ParamTest : public SimObject
{
diff --git a/test/rangetest.cc b/test/rangetest.cc
index 01c69bb26..f44d835b7 100644
--- a/test/rangetest.cc
+++ b/test/rangetest.cc
@@ -29,7 +29,7 @@
#include <iostream>
#include <string>
-#include "range.hh"
+#include "base/range.hh"
int
diff --git a/test/stattest.cc b/test/stattest.cc
index 02f65169a..a009c16d8 100644
--- a/test/stattest.cc
+++ b/test/stattest.cc
@@ -31,10 +31,10 @@
#include <string>
#include <unistd.h>
-#include "cprintf.hh"
-#include "host.hh"
-#include "misc.hh"
-#include "statistics.hh"
+#include "base/cprintf.hh"
+#include "sim/host.hh"
+#include "base/misc.hh"
+#include "base/statistics.hh"
using namespace std;
using namespace Statistics;
diff --git a/test/strnumtest.cc b/test/strnumtest.cc
index 1e0a6676f..e971a7dfd 100644
--- a/test/strnumtest.cc
+++ b/test/strnumtest.cc
@@ -31,7 +31,7 @@
#include <string>
#include <vector>
-#include "str.hh"
+#include "base/str.hh"
int
main(int argc, char *argv[])
diff --git a/test/symtest.cc b/test/symtest.cc
index bc03fc1df..4efa24cef 100644
--- a/test/symtest.cc
+++ b/test/symtest.cc
@@ -28,8 +28,8 @@
#include <iostream.h>
-#include "str.hh"
-#include "symtab.hh"
+#include "base/str.hh"
+#include "base/loader/symtab.hh"
Tick curTick = 0;
diff --git a/test/tokentest.cc b/test/tokentest.cc
index 4a4551883..eca34f4a2 100644
--- a/test/tokentest.cc
+++ b/test/tokentest.cc
@@ -30,7 +30,7 @@
#include <string>
#include <vector>
-#include "str.hh"
+#include "base/str.hh"
int
main(int argc, char *argv[])
diff --git a/test/tracetest.cc b/test/tracetest.cc
index 51236123c..1ad454347 100644
--- a/test/tracetest.cc
+++ b/test/tracetest.cc
@@ -26,8 +26,8 @@
* OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
-#include "host.hh"
-#include "trace.hh"
+#include "sim/host.hh"
+#include "base/trace.hh"
using namespace std;
diff --git a/util/tap/tap.cc b/util/tap/tap.cc
index 0ef49dfd7..0d94f0b17 100644
--- a/util/tap/tap.cc
+++ b/util/tap/tap.cc
@@ -52,7 +52,7 @@ extern "C" {
#include <list>
#include <string>
-#include "cprintf.hh"
+#include "base/cprintf.hh"
#define panic(arg...) \
do { cprintf("Panic: " arg); exit(1); } while (0)