summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorNilay Vaish <nilay@cs.wisc.edu>2012-04-25 22:43:36 -0500
committerNilay Vaish <nilay@cs.wisc.edu>2012-04-25 22:43:36 -0500
commit86f248e2a7442b07fbb8746eaa29e051487bcbf9 (patch)
tree09aea0900e27946704758ec54f3db4a980b90b84
parentc3dad222e345fe3fce04dce1df752fc12f240c22 (diff)
downloadgem5-86f248e2a7442b07fbb8746eaa29e051487bcbf9.tar.xz
Regression: Add a test for x86 timing full system ruby simulation
-rw-r--r--build_opts/X86_MESI_CMP_directory3
-rw-r--r--tests/configs/pc-simple-timing-ruby.py77
-rw-r--r--tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/config.ini1383
-rw-r--r--tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/ruby.stats708
-rwxr-xr-xtests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/simerr11
-rwxr-xr-xtests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/simout13
-rw-r--r--tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/stats.txt85
-rw-r--r--tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/system.pc.com_1.terminal136
-rwxr-xr-xutil/regress2
9 files changed, 2417 insertions, 1 deletions
diff --git a/build_opts/X86_MESI_CMP_directory b/build_opts/X86_MESI_CMP_directory
new file mode 100644
index 000000000..67512cada
--- /dev/null
+++ b/build_opts/X86_MESI_CMP_directory
@@ -0,0 +1,3 @@
+TARGET_ISA = 'x86'
+CPU_MODELS = 'TimingSimpleCPU,O3CPU'
+PROTOCOL = 'MESI_CMP_directory'
diff --git a/tests/configs/pc-simple-timing-ruby.py b/tests/configs/pc-simple-timing-ruby.py
new file mode 100644
index 000000000..66208b6bb
--- /dev/null
+++ b/tests/configs/pc-simple-timing-ruby.py
@@ -0,0 +1,77 @@
+# Copyright (c) 2012 Mark D. Hill and David A. Wood
+# All rights reserved.
+#
+# Redistribution and use in source and binary forms, with or without
+# modification, are permitted provided that the following conditions are
+# met: redistributions of source code must retain the above copyright
+# notice, this list of conditions and the following disclaimer;
+# redistributions in binary form must reproduce the above copyright
+# notice, this list of conditions and the following disclaimer in the
+# documentation and/or other materials provided with the distribution;
+# neither the name of the copyright holders nor the names of its
+# contributors may be used to endorse or promote products derived from
+# this software without specific prior written permission.
+#
+# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
+# "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
+# LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
+# A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
+# OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
+# SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
+# LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
+# DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
+# THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
+# OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+#
+# Authors: Nilay Vaish
+
+import m5, os, optparse, sys
+from m5.objects import *
+m5.util.addToPath('../configs/common')
+from Benchmarks import SysConfig
+import FSConfig
+
+m5.util.addToPath('../configs/ruby')
+import Ruby
+import Options
+
+# Add the ruby specific and protocol specific options
+parser = optparse.OptionParser()
+Options.addCommonOptions(parser)
+Ruby.define_options(parser)
+(options, args) = parser.parse_args()
+
+# Set the default cache size and associativity to be very small to encourage
+# races between requests and writebacks.
+options.l1d_size="32kB"
+options.l1i_size="32kB"
+options.l2_size="4MB"
+options.l1d_assoc=2
+options.l1i_assoc=2
+options.l2_assoc=2
+options.num_cpus = 2
+
+#the system
+mdesc = SysConfig(disk = 'linux-x86.img')
+system = FSConfig.makeLinuxX86System('timing', options.num_cpus,
+ mdesc=mdesc, Ruby=True)
+system.kernel = FSConfig.binary('x86_64-vmlinux-2.6.22.9.smp')
+system.cpu = [TimingSimpleCPU(cpu_id=i) for i in xrange(options.num_cpus)]
+Ruby.create_system(options, system, system.piobus, system._dma_ports)
+
+for (i, cpu) in enumerate(system.cpu):
+ # create the interrupt controller
+ cpu.createInterruptController()
+ # Tie the cpu ports to the correct ruby system ports
+ cpu.icache_port = system.ruby._cpu_ruby_ports[i].slave
+ cpu.dcache_port = system.ruby._cpu_ruby_ports[i].slave
+ cpu.itb.walker.port = system.ruby._cpu_ruby_ports[i].slave
+ cpu.dtb.walker.port = system.ruby._cpu_ruby_ports[i].slave
+ cpu.interrupts.pio = system.piobus.master
+ cpu.interrupts.int_master = system.piobus.slave
+ cpu.interrupts.int_slave = system.piobus.master
+ cpu.clock = '2GHz'
+
+root = Root(full_system = True, system = system)
+m5.ticks.setGlobalFrequency('1THz')
diff --git a/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/config.ini b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/config.ini
new file mode 100644
index 000000000..78474a665
--- /dev/null
+++ b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/config.ini
@@ -0,0 +1,1383 @@
+[root]
+type=Root
+children=system
+full_system=true
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=LinuxX86System
+children=acpi_description_table_pointer cpu0 cpu1 dir_cntrl0 dma_cntrl0 e820_table intel_mp_pointer intel_mp_table intrctrl l1_cntrl0 l1_cntrl1 l2_cntrl0 pc physmem piobus ruby smbios_table sys_port_proxy
+acpi_description_table_pointer=system.acpi_description_table_pointer
+boot_osflags=earlyprintk=ttyS0 console=ttyS0 lpj=7999923 root=/dev/hda1
+e820_table=system.e820_table
+init_param=0
+intel_mp_pointer=system.intel_mp_pointer
+intel_mp_table=system.intel_mp_table
+kernel=/scratch/nilay/GEM5/system/binaries/x86_64-vmlinux-2.6.22.9.smp
+load_addr_mask=18446744073709551615
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+readfile=tests/halt.sh
+smbios_table=system.smbios_table
+symbolfile=
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.slave[0]
+
+[system.acpi_description_table_pointer]
+type=X86ACPIRSDP
+children=xsdt
+oem_id=
+revision=2
+rsdt=Null
+xsdt=system.acpi_description_table_pointer.xsdt
+
+[system.acpi_description_table_pointer.xsdt]
+type=X86ACPIXSDT
+creator_id=
+creator_revision=0
+entries=
+oem_id=
+oem_revision=0
+oem_table_id=
+
+[system.cpu0]
+type=TimingSimpleCPU
+children=dtb interrupts itb tracer
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_quiesce=true
+do_statistics_insts=true
+dtb=system.cpu0.dtb
+function_trace=false
+function_trace_start=0
+interrupts=system.cpu0.interrupts
+itb=system.cpu0.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+profile=0
+progress_interval=0
+system=system
+tracer=system.cpu0.tracer
+workload=
+dcache_port=system.l1_cntrl0.sequencer.slave[1]
+icache_port=system.l1_cntrl0.sequencer.slave[0]
+
+[system.cpu0.dtb]
+type=X86TLB
+children=walker
+size=64
+walker=system.cpu0.dtb.walker
+
+[system.cpu0.dtb.walker]
+type=X86PagetableWalker
+system=system
+port=system.l1_cntrl0.sequencer.slave[3]
+
+[system.cpu0.interrupts]
+type=X86LocalApic
+int_latency=1000
+pio_addr=2305843009213693952
+pio_latency=1000
+system=system
+int_master=system.piobus.slave[4]
+int_slave=system.piobus.master[19]
+pio=system.piobus.master[18]
+
+[system.cpu0.itb]
+type=X86TLB
+children=walker
+size=64
+walker=system.cpu0.itb.walker
+
+[system.cpu0.itb.walker]
+type=X86PagetableWalker
+system=system
+port=system.l1_cntrl0.sequencer.slave[2]
+
+[system.cpu0.tracer]
+type=ExeTracer
+
+[system.cpu1]
+type=TimingSimpleCPU
+children=dtb interrupts itb tracer
+checker=Null
+clock=500
+cpu_id=1
+defer_registration=false
+do_checkpoint_insts=true
+do_quiesce=true
+do_statistics_insts=true
+dtb=system.cpu1.dtb
+function_trace=false
+function_trace_start=0
+interrupts=system.cpu1.interrupts
+itb=system.cpu1.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+profile=0
+progress_interval=0
+system=system
+tracer=system.cpu1.tracer
+workload=
+dcache_port=system.l1_cntrl1.sequencer.slave[1]
+icache_port=system.l1_cntrl1.sequencer.slave[0]
+
+[system.cpu1.dtb]
+type=X86TLB
+children=walker
+size=64
+walker=system.cpu1.dtb.walker
+
+[system.cpu1.dtb.walker]
+type=X86PagetableWalker
+system=system
+port=system.l1_cntrl1.sequencer.slave[3]
+
+[system.cpu1.interrupts]
+type=X86LocalApic
+int_latency=1000
+pio_addr=2305843009213693952
+pio_latency=1000
+system=system
+int_master=system.piobus.slave[5]
+int_slave=system.piobus.master[21]
+pio=system.piobus.master[20]
+
+[system.cpu1.itb]
+type=X86TLB
+children=walker
+size=64
+walker=system.cpu1.itb.walker
+
+[system.cpu1.itb.walker]
+type=X86PagetableWalker
+system=system
+port=system.l1_cntrl1.sequencer.slave[2]
+
+[system.cpu1.tracer]
+type=ExeTracer
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer
+buffer_size=0
+cntrl_id=3
+directory=system.dir_cntrl0.directory
+directory_latency=6
+memBuffer=system.dir_cntrl0.memBuffer
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+to_mem_ctrl_latency=1
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.dma_cntrl0]
+type=DMA_Controller
+children=dma_sequencer
+buffer_size=0
+cntrl_id=4
+dma_sequencer=system.dma_cntrl0.dma_sequencer
+number_of_TBEs=256
+recycle_latency=10
+request_latency=6
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.dma_cntrl0.dma_sequencer]
+type=DMASequencer
+access_phys_mem=true
+ruby_system=system.ruby
+support_data_reqs=true
+support_inst_reqs=true
+system=system
+using_network_tester=false
+using_ruby_tester=false
+version=0
+slave=system.pc.south_bridge.ide.dma
+
+[system.e820_table]
+type=X86E820Table
+children=entries0 entries1
+entries=system.e820_table.entries0 system.e820_table.entries1
+
+[system.e820_table.entries0]
+type=X86E820Entry
+addr=0
+range_type=2
+size=1048576
+
+[system.e820_table.entries1]
+type=X86E820Entry
+addr=1048576
+range_type=1
+size=133169152
+
+[system.intel_mp_pointer]
+type=X86IntelMPFloatingPointer
+default_config=0
+imcr_present=true
+spec_rev=4
+
+[system.intel_mp_table]
+type=X86IntelMPConfigTable
+children=base_entries00 base_entries01 base_entries02 base_entries03 base_entries04 base_entries05 base_entries06 base_entries07 base_entries08 base_entries09 base_entries10 base_entries11 base_entries12 base_entries13 base_entries14 base_entries15 base_entries16 base_entries17 base_entries18 base_entries19 base_entries20 base_entries21 base_entries22 base_entries23 base_entries24 base_entries25 base_entries26 base_entries27 base_entries28 base_entries29 base_entries30 base_entries31 base_entries32 base_entries33 ext_entries
+base_entries=system.intel_mp_table.base_entries00 system.intel_mp_table.base_entries01 system.intel_mp_table.base_entries02 system.intel_mp_table.base_entries03 system.intel_mp_table.base_entries04 system.intel_mp_table.base_entries05 system.intel_mp_table.base_entries06 system.intel_mp_table.base_entries07 system.intel_mp_table.base_entries08 system.intel_mp_table.base_entries09 system.intel_mp_table.base_entries10 system.intel_mp_table.base_entries11 system.intel_mp_table.base_entries12 system.intel_mp_table.base_entries13 system.intel_mp_table.base_entries14 system.intel_mp_table.base_entries15 system.intel_mp_table.base_entries16 system.intel_mp_table.base_entries17 system.intel_mp_table.base_entries18 system.intel_mp_table.base_entries19 system.intel_mp_table.base_entries20 system.intel_mp_table.base_entries21 system.intel_mp_table.base_entries22 system.intel_mp_table.base_entries23 system.intel_mp_table.base_entries24 system.intel_mp_table.base_entries25 system.intel_mp_table.base_entries26 system.intel_mp_table.base_entries27 system.intel_mp_table.base_entries28 system.intel_mp_table.base_entries29 system.intel_mp_table.base_entries30 system.intel_mp_table.base_entries31 system.intel_mp_table.base_entries32 system.intel_mp_table.base_entries33
+ext_entries=system.intel_mp_table.ext_entries
+local_apic=4276092928
+oem_id=
+oem_table_addr=0
+oem_table_size=0
+product_id=
+spec_rev=4
+
+[system.intel_mp_table.base_entries00]
+type=X86IntelMPProcessor
+bootstrap=true
+enable=true
+family=0
+feature_flags=0
+local_apic_id=0
+local_apic_version=20
+model=0
+stepping=0
+
+[system.intel_mp_table.base_entries01]
+type=X86IntelMPProcessor
+bootstrap=false
+enable=true
+family=0
+feature_flags=0
+local_apic_id=1
+local_apic_version=20
+model=0
+stepping=0
+
+[system.intel_mp_table.base_entries02]
+type=X86IntelMPIOAPIC
+address=4273995776
+enable=true
+id=2
+version=17
+
+[system.intel_mp_table.base_entries03]
+type=X86IntelMPBus
+bus_id=0
+bus_type=ISA
+
+[system.intel_mp_table.base_entries04]
+type=X86IntelMPBus
+bus_id=1
+bus_type=PCI
+
+[system.intel_mp_table.base_entries05]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=16
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=1
+source_bus_irq=16
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries06]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=0
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries07]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=2
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=0
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries08]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=1
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries09]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=1
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=1
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries10]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=3
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries11]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=3
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=3
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries12]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=4
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries13]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=4
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=4
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries14]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=5
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries15]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=5
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=5
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries16]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=6
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries17]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=6
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=6
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries18]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=7
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries19]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=7
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=7
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries20]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=8
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries21]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=8
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=8
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries22]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=9
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries23]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=9
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=9
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries24]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=10
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries25]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=10
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=10
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries26]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=11
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries27]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=11
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=11
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries28]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=12
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries29]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=12
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=12
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries30]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=13
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries31]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=13
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=13
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries32]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=0
+interrupt_type=ExtInt
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=14
+trigger=ConformTrigger
+
+[system.intel_mp_table.base_entries33]
+type=X86IntelMPIOIntAssignment
+dest_io_apic_id=2
+dest_io_apic_intin=14
+interrupt_type=INT
+polarity=ConformPolarity
+source_bus_id=0
+source_bus_irq=14
+trigger=ConformTrigger
+
+[system.intel_mp_table.ext_entries]
+type=X86IntelMPBusHierarchy
+bus_id=0
+parent_bus=1
+subtractive_decode=true
+
+[system.intrctrl]
+type=IntrControl
+sys=system
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=L1DcacheMemory L1IcacheMemory sequencer
+L1DcacheMemory=system.l1_cntrl0.L1DcacheMemory
+L1IcacheMemory=system.l1_cntrl0.L1IcacheMemory
+buffer_size=0
+cntrl_id=0
+l1_request_latency=2
+l1_response_latency=2
+l2_select_num_bits=0
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+send_evictions=false
+sequencer=system.l1_cntrl0.sequencer
+to_l2_latency=1
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.L1DcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=32768
+start_index_bit=6
+
+[system.l1_cntrl0.L1IcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=32768
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.L1DcacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.L1IcacheMemory
+max_outstanding_requests=16
+ruby_system=system.ruby
+support_data_reqs=true
+support_inst_reqs=true
+system=system
+using_network_tester=false
+using_ruby_tester=false
+version=0
+pio_port=system.piobus.slave[2]
+slave=system.cpu0.icache_port system.cpu0.dcache_port system.cpu0.itb.walker.port system.cpu0.dtb.walker.port
+
+[system.l1_cntrl1]
+type=L1Cache_Controller
+children=L1DcacheMemory L1IcacheMemory sequencer
+L1DcacheMemory=system.l1_cntrl1.L1DcacheMemory
+L1IcacheMemory=system.l1_cntrl1.L1IcacheMemory
+buffer_size=0
+cntrl_id=1
+l1_request_latency=2
+l1_response_latency=2
+l2_select_num_bits=0
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+send_evictions=false
+sequencer=system.l1_cntrl1.sequencer
+to_l2_latency=1
+transitions_per_cycle=32
+version=1
+
+[system.l1_cntrl1.L1DcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=32768
+start_index_bit=6
+
+[system.l1_cntrl1.L1IcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=32768
+start_index_bit=6
+
+[system.l1_cntrl1.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl1.L1DcacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl1.L1IcacheMemory
+max_outstanding_requests=16
+ruby_system=system.ruby
+support_data_reqs=true
+support_inst_reqs=true
+system=system
+using_network_tester=false
+using_ruby_tester=false
+version=1
+pio_port=system.piobus.slave[3]
+slave=system.cpu1.icache_port system.cpu1.dcache_port system.cpu1.itb.walker.port system.cpu1.dtb.walker.port
+
+[system.l2_cntrl0]
+type=L2Cache_Controller
+children=L2cacheMemory
+L2cacheMemory=system.l2_cntrl0.L2cacheMemory
+buffer_size=0
+cntrl_id=2
+l2_request_latency=2
+l2_response_latency=2
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+to_l1_latency=1
+transitions_per_cycle=32
+version=0
+
+[system.l2_cntrl0.L2cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=15
+replacement_policy=PSEUDO_LRU
+size=4194304
+start_index_bit=6
+
+[system.pc]
+type=Pc
+children=behind_pci com_1 fake_com_2 fake_com_3 fake_com_4 fake_floppy i_dont_exist pciconfig south_bridge terminal
+intrctrl=system.intrctrl
+system=system
+
+[system.pc.behind_pci]
+type=IsaFake
+fake_mem=false
+pio_addr=9223372036854779128
+pio_latency=1000
+pio_size=8
+ret_bad_addr=false
+ret_data16=65535
+ret_data32=4294967295
+ret_data64=18446744073709551615
+ret_data8=255
+system=system
+update_data=false
+warn_access=
+pio=system.piobus.master[12]
+
+[system.pc.com_1]
+type=Uart8250
+children=terminal
+pio_addr=9223372036854776824
+pio_latency=1000
+platform=system.pc
+system=system
+terminal=system.pc.com_1.terminal
+pio=system.piobus.master[13]
+
+[system.pc.com_1.terminal]
+type=Terminal
+intr_control=system.intrctrl
+number=0
+output=true
+port=3456
+
+[system.pc.com_1.terminal]
+type=Terminal
+intr_control=system.intrctrl
+number=0
+output=true
+port=3456
+
+[system.pc.fake_com_2]
+type=IsaFake
+fake_mem=false
+pio_addr=9223372036854776568
+pio_latency=1000
+pio_size=8
+ret_bad_addr=false
+ret_data16=65535
+ret_data32=4294967295
+ret_data64=18446744073709551615
+ret_data8=255
+system=system
+update_data=false
+warn_access=
+pio=system.piobus.master[14]
+
+[system.pc.fake_com_3]
+type=IsaFake
+fake_mem=false
+pio_addr=9223372036854776808
+pio_latency=1000
+pio_size=8
+ret_bad_addr=false
+ret_data16=65535
+ret_data32=4294967295
+ret_data64=18446744073709551615
+ret_data8=255
+system=system
+update_data=false
+warn_access=
+pio=system.piobus.master[15]
+
+[system.pc.fake_com_4]
+type=IsaFake
+fake_mem=false
+pio_addr=9223372036854776552
+pio_latency=1000
+pio_size=8
+ret_bad_addr=false
+ret_data16=65535
+ret_data32=4294967295
+ret_data64=18446744073709551615
+ret_data8=255
+system=system
+update_data=false
+warn_access=
+pio=system.piobus.master[16]
+
+[system.pc.fake_floppy]
+type=IsaFake
+fake_mem=false
+pio_addr=9223372036854776818
+pio_latency=1000
+pio_size=2
+ret_bad_addr=false
+ret_data16=65535
+ret_data32=4294967295
+ret_data64=18446744073709551615
+ret_data8=255
+system=system
+update_data=false
+warn_access=
+pio=system.piobus.master[17]
+
+[system.pc.i_dont_exist]
+type=IsaFake
+fake_mem=false
+pio_addr=9223372036854775936
+pio_latency=1000
+pio_size=1
+ret_bad_addr=false
+ret_data16=65535
+ret_data32=4294967295
+ret_data64=18446744073709551615
+ret_data8=255
+system=system
+update_data=false
+warn_access=
+pio=system.piobus.master[11]
+
+[system.pc.pciconfig]
+type=PciConfigAll
+bus=0
+pio_latency=1
+platform=system.pc
+size=16777216
+system=system
+pio=system.piobus.default
+
+[system.pc.south_bridge]
+type=SouthBridge
+children=cmos dma1 ide int_lines0 int_lines1 int_lines2 int_lines3 int_lines4 int_lines5 int_lines6 io_apic keyboard pic1 pic2 pit speaker
+cmos=system.pc.south_bridge.cmos
+dma1=system.pc.south_bridge.dma1
+io_apic=system.pc.south_bridge.io_apic
+keyboard=system.pc.south_bridge.keyboard
+pic1=system.pc.south_bridge.pic1
+pic2=system.pc.south_bridge.pic2
+pio_latency=1000
+pit=system.pc.south_bridge.pit
+platform=system.pc
+speaker=system.pc.south_bridge.speaker
+
+[system.pc.south_bridge.cmos]
+type=Cmos
+children=int_pin
+int_pin=system.pc.south_bridge.cmos.int_pin
+pio_addr=9223372036854775920
+pio_latency=1000
+system=system
+time=Sun Jan 1 00:00:00 2012
+pio=system.piobus.master[1]
+
+[system.pc.south_bridge.cmos.int_pin]
+type=X86IntSourcePin
+
+[system.pc.south_bridge.dma1]
+type=I8237
+pio_addr=9223372036854775808
+pio_latency=1000
+system=system
+pio=system.piobus.master[2]
+
+[system.pc.south_bridge.ide]
+type=IdeController
+children=disks0 disks1
+BAR0=496
+BAR0LegacyIO=true
+BAR0Size=8
+BAR1=1012
+BAR1LegacyIO=true
+BAR1Size=3
+BAR2=368
+BAR2LegacyIO=true
+BAR2Size=8
+BAR3=884
+BAR3LegacyIO=true
+BAR3Size=3
+BAR4=1
+BAR4LegacyIO=false
+BAR4Size=16
+BAR5=1
+BAR5LegacyIO=false
+BAR5Size=0
+BIST=0
+CacheLineSize=0
+CardbusCIS=0
+ClassCode=1
+Command=0
+DeviceID=28945
+ExpansionROM=0
+HeaderType=0
+InterruptLine=14
+InterruptPin=1
+LatencyTimer=0
+MaximumLatency=0
+MinimumGrant=0
+ProgIF=128
+Revision=0
+Status=640
+SubClassCode=1
+SubsystemID=0
+SubsystemVendorID=0
+VendorID=32902
+config_latency=20000
+ctrl_offset=0
+disks=system.pc.south_bridge.ide.disks0 system.pc.south_bridge.ide.disks1
+io_shift=0
+max_backoff_delay=10000000
+min_backoff_delay=4000
+pci_bus=0
+pci_dev=4
+pci_func=0
+pio_latency=1000
+platform=system.pc
+system=system
+config=system.piobus.master[4]
+dma=system.piobus.slave[0]
+pio=system.piobus.master[3]
+
+[system.pc.south_bridge.ide.disks0]
+type=IdeDisk
+children=image
+delay=1000000
+driveID=master
+image=system.pc.south_bridge.ide.disks0.image
+
+[system.pc.south_bridge.ide.disks0.image]
+type=CowDiskImage
+children=child
+child=system.pc.south_bridge.ide.disks0.image.child
+image_file=
+read_only=false
+table_size=65536
+
+[system.pc.south_bridge.ide.disks0.image.child]
+type=RawDiskImage
+image_file=/scratch/nilay/GEM5/system/disks/linux-x86.img
+read_only=true
+
+[system.pc.south_bridge.ide.disks1]
+type=IdeDisk
+children=image
+delay=1000000
+driveID=master
+image=system.pc.south_bridge.ide.disks1.image
+
+[system.pc.south_bridge.ide.disks1.image]
+type=CowDiskImage
+children=child
+child=system.pc.south_bridge.ide.disks1.image.child
+image_file=
+read_only=false
+table_size=65536
+
+[system.pc.south_bridge.ide.disks1.image.child]
+type=RawDiskImage
+image_file=/scratch/nilay/GEM5/system/disks/linux-bigswap2.img
+read_only=true
+
+[system.pc.south_bridge.int_lines0]
+type=X86IntLine
+children=sink
+sink=system.pc.south_bridge.int_lines0.sink
+source=system.pc.south_bridge.pic1.output
+
+[system.pc.south_bridge.int_lines0.sink]
+type=X86IntSinkPin
+device=system.pc.south_bridge.io_apic
+number=0
+
+[system.pc.south_bridge.int_lines1]
+type=X86IntLine
+children=sink
+sink=system.pc.south_bridge.int_lines1.sink
+source=system.pc.south_bridge.pic2.output
+
+[system.pc.south_bridge.int_lines1.sink]
+type=X86IntSinkPin
+device=system.pc.south_bridge.pic1
+number=2
+
+[system.pc.south_bridge.int_lines2]
+type=X86IntLine
+children=sink
+sink=system.pc.south_bridge.int_lines2.sink
+source=system.pc.south_bridge.cmos.int_pin
+
+[system.pc.south_bridge.int_lines2.sink]
+type=X86IntSinkPin
+device=system.pc.south_bridge.pic2
+number=0
+
+[system.pc.south_bridge.int_lines3]
+type=X86IntLine
+children=sink
+sink=system.pc.south_bridge.int_lines3.sink
+source=system.pc.south_bridge.pit.int_pin
+
+[system.pc.south_bridge.int_lines3.sink]
+type=X86IntSinkPin
+device=system.pc.south_bridge.pic1
+number=0
+
+[system.pc.south_bridge.int_lines4]
+type=X86IntLine
+children=sink
+sink=system.pc.south_bridge.int_lines4.sink
+source=system.pc.south_bridge.pit.int_pin
+
+[system.pc.south_bridge.int_lines4.sink]
+type=X86IntSinkPin
+device=system.pc.south_bridge.io_apic
+number=2
+
+[system.pc.south_bridge.int_lines5]
+type=X86IntLine
+children=sink
+sink=system.pc.south_bridge.int_lines5.sink
+source=system.pc.south_bridge.keyboard.keyboard_int_pin
+
+[system.pc.south_bridge.int_lines5.sink]
+type=X86IntSinkPin
+device=system.pc.south_bridge.io_apic
+number=1
+
+[system.pc.south_bridge.int_lines6]
+type=X86IntLine
+children=sink
+sink=system.pc.south_bridge.int_lines6.sink
+source=system.pc.south_bridge.keyboard.mouse_int_pin
+
+[system.pc.south_bridge.int_lines6.sink]
+type=X86IntSinkPin
+device=system.pc.south_bridge.io_apic
+number=12
+
+[system.pc.south_bridge.io_apic]
+type=I82094AA
+apic_id=2
+external_int_pic=system.pc.south_bridge.pic1
+int_latency=1000
+pio_addr=4273995776
+pio_latency=1000
+system=system
+int_master=system.piobus.slave[1]
+pio=system.piobus.master[10]
+
+[system.pc.south_bridge.keyboard]
+type=I8042
+children=keyboard_int_pin mouse_int_pin
+command_port=9223372036854775908
+data_port=9223372036854775904
+keyboard_int_pin=system.pc.south_bridge.keyboard.keyboard_int_pin
+mouse_int_pin=system.pc.south_bridge.keyboard.mouse_int_pin
+pio_addr=0
+pio_latency=1000
+system=system
+pio=system.piobus.master[5]
+
+[system.pc.south_bridge.keyboard.keyboard_int_pin]
+type=X86IntSourcePin
+
+[system.pc.south_bridge.keyboard.mouse_int_pin]
+type=X86IntSourcePin
+
+[system.pc.south_bridge.pic1]
+type=I8259
+children=output
+mode=I8259Master
+output=system.pc.south_bridge.pic1.output
+pio_addr=9223372036854775840
+pio_latency=1000
+slave=system.pc.south_bridge.pic2
+system=system
+pio=system.piobus.master[6]
+
+[system.pc.south_bridge.pic1.output]
+type=X86IntSourcePin
+
+[system.pc.south_bridge.pic2]
+type=I8259
+children=output
+mode=I8259Slave
+output=system.pc.south_bridge.pic2.output
+pio_addr=9223372036854775968
+pio_latency=1000
+slave=Null
+system=system
+pio=system.piobus.master[7]
+
+[system.pc.south_bridge.pic2.output]
+type=X86IntSourcePin
+
+[system.pc.south_bridge.pit]
+type=I8254
+children=int_pin
+int_pin=system.pc.south_bridge.pit.int_pin
+pio_addr=9223372036854775872
+pio_latency=1000
+system=system
+pio=system.piobus.master[8]
+
+[system.pc.south_bridge.pit.int_pin]
+type=X86IntSourcePin
+
+[system.pc.south_bridge.speaker]
+type=PcSpeaker
+i8254=system.pc.south_bridge.pit
+pio_addr=9223372036854775905
+pio_latency=1000
+system=system
+pio=system.piobus.master[9]
+
+[system.physmem]
+type=SimpleMemory
+conf_table_reported=false
+file=
+in_addr_map=true
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.piobus.master[0]
+
+[system.piobus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=true
+width=64
+default=system.pc.pciconfig.pio
+master=system.physmem.port[0] system.pc.south_bridge.cmos.pio system.pc.south_bridge.dma1.pio system.pc.south_bridge.ide.pio system.pc.south_bridge.ide.config system.pc.south_bridge.keyboard.pio system.pc.south_bridge.pic1.pio system.pc.south_bridge.pic2.pio system.pc.south_bridge.pit.pio system.pc.south_bridge.speaker.pio system.pc.south_bridge.io_apic.pio system.pc.i_dont_exist.pio system.pc.behind_pci.pio system.pc.com_1.pio system.pc.fake_com_2.pio system.pc.fake_com_3.pio system.pc.fake_com_4.pio system.pc.fake_floppy.pio system.cpu0.interrupts.pio system.cpu0.interrupts.int_slave system.cpu1.interrupts.pio system.cpu1.interrupts.int_slave
+slave=system.pc.south_bridge.ide.dma system.pc.south_bridge.io_apic.int_master system.l1_cntrl0.sequencer.pio_port system.l1_cntrl1.sequencer.pio_port system.cpu0.interrupts.int_master system.cpu1.interrupts.int_master
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=500
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 ext_links2 ext_links3 ext_links4 int_links0 int_links1 int_links2 int_links3 int_links4 routers0 routers1 routers2 routers3 routers4 routers5
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1 system.ruby.network.topology.ext_links2 system.ruby.network.topology.ext_links3 system.ruby.network.topology.ext_links4
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1 system.ruby.network.topology.int_links2 system.ruby.network.topology.int_links3 system.ruby.network.topology.int_links4
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2 system.ruby.network.topology.routers3 system.ruby.network.topology.routers4 system.ruby.network.topology.routers5
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl1
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.ext_links2]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l2_cntrl0
+int_node=system.ruby.network.topology.routers2
+latency=1
+link_id=2
+weight=1
+
+[system.ruby.network.topology.ext_links3]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers3
+latency=1
+link_id=3
+weight=1
+
+[system.ruby.network.topology.ext_links4]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dma_cntrl0
+int_node=system.ruby.network.topology.routers4
+latency=1
+link_id=4
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=5
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers5
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=6
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers5
+weight=1
+
+[system.ruby.network.topology.int_links2]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=7
+node_a=system.ruby.network.topology.routers2
+node_b=system.ruby.network.topology.routers5
+weight=1
+
+[system.ruby.network.topology.int_links3]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=8
+node_a=system.ruby.network.topology.routers3
+node_b=system.ruby.network.topology.routers5
+weight=1
+
+[system.ruby.network.topology.int_links4]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=9
+node_a=system.ruby.network.topology.routers4
+node_b=system.ruby.network.topology.routers5
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.network.topology.routers3]
+type=BasicRouter
+router_id=3
+
+[system.ruby.network.topology.routers4]
+type=BasicRouter
+router_id=4
+
+[system.ruby.network.topology.routers5]
+type=BasicRouter
+router_id=5
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=2
+ruby_system=system.ruby
+
+[system.smbios_table]
+type=X86SMBiosSMBiosTable
+children=structures
+major_version=2
+minor_version=5
+structures=system.smbios_table.structures
+
+[system.smbios_table.structures]
+type=X86SMBiosBiosInformation
+characteristic_ext_bytes=
+characteristics=
+emb_cont_firmware_major=0
+emb_cont_firmware_minor=0
+major=0
+minor=0
+release_date=06/08/2008
+rom_size=0
+starting_addr_segment=0
+vendor=
+version=
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+ruby_system=system.ruby
+support_data_reqs=true
+support_inst_reqs=true
+system=system
+using_network_tester=false
+using_ruby_tester=false
+version=0
+slave=system.system_port
+
diff --git a/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/ruby.stats b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/ruby.stats
new file mode 100644
index 000000000..e2e6d6222
--- /dev/null
+++ b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/ruby.stats
@@ -0,0 +1,708 @@
+
+================ Begin RubySystem Configuration Print ================
+
+RubySystem config:
+ random_seed: 1234
+ randomization: 0
+ cycle_period: 500
+ block_size_bytes: 64
+ block_size_bits: 6
+ memory_size_bytes: 134217728
+ memory_size_bits: 27
+
+Network Configuration
+---------------------
+network: SIMPLE_NETWORK
+topology:
+
+virtual_net_0: active, unordered
+virtual_net_1: active, ordered
+virtual_net_2: active, unordered
+virtual_net_3: inactive
+virtual_net_4: inactive
+virtual_net_5: inactive
+virtual_net_6: inactive
+virtual_net_7: inactive
+virtual_net_8: inactive
+virtual_net_9: inactive
+
+
+Profiler Configuration
+----------------------
+periodic_stats_period: 1000000
+
+================ End RubySystem Configuration Print ================
+
+
+Real time: Apr/25/2012 22:32:26
+
+Profiler Stats
+--------------
+Elapsed_time_in_seconds: 959
+Elapsed_time_in_minutes: 15.9833
+Elapsed_time_in_hours: 0.266389
+Elapsed_time_in_days: 0.0110995
+
+Virtual_time_in_seconds: 958.71
+Virtual_time_in_minutes: 15.9785
+Virtual_time_in_hours: 0.266308
+Virtual_time_in_days: 0.0110962
+
+Ruby_current_time: 10635950979
+Ruby_start_time: 0
+Ruby_cycles: 10635950979
+
+mbytes_resident: 276.004
+mbytes_total: 533.543
+resident_ratio: 0.517311
+
+ruby_cycles_executed: [ 10635950980 10635950980 ]
+
+Busy Controller Counts:
+L1Cache-0:0 L1Cache-1:0
+L2Cache-0:0
+Directory-0:0
+DMA-0:0
+
+Busy Bank Count:0
+
+sequencer_requests_outstanding: [binsize: 1 max: 2 count: 187347186 average: 1.0001 | standard deviation: 0.010027 | 0 187328349 18837 ]
+
+All Non-Zero Cycle Demand Cache Accesses
+----------------------------------------
+miss_latency: [binsize: 1 max: 174 count: 187347185 average: 3.40517 | standard deviation: 5.3537 | 0 0 0 184646270 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 977983 846 572 685 1408591 1609 62 93510 1176 1314 364 25863 627 407 56 38 72 0 2 4 8 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12133 20 26 23 102024 65 52 37 72454 114 27 8 18 76 10 2 8 6 18 0 1 1 ]
+miss_latency_LD: [binsize: 1 max: 173 count: 15058974 average: 5.1314 | standard deviation: 9.30757 | 0 0 0 13675808 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 118571 124 66 92 1180934 1256 18 34263 803 803 205 10526 336 255 49 33 43 0 1 3 6 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2492 2 6 5 16104 21 13 10 16001 43 9 4 7 39 5 1 4 4 8 0 1 ]
+miss_latency_ST: [binsize: 1 max: 174 count: 9708846 average: 5.60672 | standard deviation: 18.2947 | 0 0 0 9345602 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 28649 14 11 16 169787 263 7 28011 230 321 86 2483 157 30 2 2 1 0 0 1 1 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3842 4 7 7 73819 24 20 19 55290 58 14 2 10 35 4 1 4 1 8 0 0 1 ]
+miss_latency_IFETCH: [binsize: 1 max: 166 count: 161412447 average: 3.09768 | standard deviation: 1.95121 | 0 0 0 160576382 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 815906 688 478 564 774 24 35 104 37 29 0 0 0 0 0 0 6 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5728 14 12 11 11588 19 18 5 7 12 4 0 1 1 ]
+miss_latency_RMW_Read: [binsize: 1 max: 171 count: 474414 average: 6.53935 | standard deviation: 11.3283 | 0 0 0 404146 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9873 14 14 9 32843 20 1 16585 33 20 24 9344 13 25 0 1 4 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 41 0 1 0 372 0 0 2 1020 1 0 2 0 1 1 0 0 1 1 ]
+miss_latency_Locked_RMW_Read: [binsize: 1 max: 171 count: 346252 average: 6.05353 | standard deviation: 8.65027 | 0 0 0 298080 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4984 6 3 4 24253 46 1 14547 73 141 49 3510 121 97 5 2 18 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30 0 0 0 141 1 1 1 136 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_Locked_RMW_Write: [binsize: 1 max: 3 count: 346252 average: 3 | standard deviation: 0 | 0 0 0 346252 ]
+miss_latency_NULL: [binsize: 1 max: 174 count: 187347185 average: 3.40517 | standard deviation: 5.3537 | 0 0 0 184646270 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 977983 846 572 685 1408591 1609 62 93510 1176 1314 364 25863 627 407 56 38 72 0 2 4 8 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 12133 20 26 23 102024 65 52 37 72454 114 27 8 18 76 10 2 8 6 18 0 1 1 ]
+miss_latency_wCC_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_wCC_Times: 0
+miss_latency_dir_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_dir_Times: 0
+miss_latency_LD_NULL: [binsize: 1 max: 173 count: 15058974 average: 5.1314 | standard deviation: 9.30757 | 0 0 0 13675808 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 118571 124 66 92 1180934 1256 18 34263 803 803 205 10526 336 255 49 33 43 0 1 3 6 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2492 2 6 5 16104 21 13 10 16001 43 9 4 7 39 5 1 4 4 8 0 1 ]
+miss_latency_ST_NULL: [binsize: 1 max: 174 count: 9708846 average: 5.60672 | standard deviation: 18.2947 | 0 0 0 9345602 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 28649 14 11 16 169787 263 7 28011 230 321 86 2483 157 30 2 2 1 0 0 1 1 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3842 4 7 7 73819 24 20 19 55290 58 14 2 10 35 4 1 4 1 8 0 0 1 ]
+miss_latency_IFETCH_NULL: [binsize: 1 max: 166 count: 161412447 average: 3.09768 | standard deviation: 1.95121 | 0 0 0 160576382 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 815906 688 478 564 774 24 35 104 37 29 0 0 0 0 0 0 6 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5728 14 12 11 11588 19 18 5 7 12 4 0 1 1 ]
+miss_latency_RMW_Read_NULL: [binsize: 1 max: 171 count: 474414 average: 6.53935 | standard deviation: 11.3283 | 0 0 0 404146 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9873 14 14 9 32843 20 1 16585 33 20 24 9344 13 25 0 1 4 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 41 0 1 0 372 0 0 2 1020 1 0 2 0 1 1 0 0 1 1 ]
+miss_latency_Locked_RMW_Read_NULL: [binsize: 1 max: 171 count: 346252 average: 6.05353 | standard deviation: 8.65027 | 0 0 0 298080 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4984 6 3 4 24253 46 1 14547 73 141 49 3510 121 97 5 2 18 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30 0 0 0 141 1 1 1 136 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_Locked_RMW_Write_NULL: [binsize: 1 max: 3 count: 346252 average: 3 | standard deviation: 0 | 0 0 0 346252 ]
+
+All Non-Zero Cycle SW Prefetch Requests
+------------------------------------
+prefetch_latency: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+prefetch_latency_L2Miss:[binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Request vs. RubySystem State Profile
+--------------------------------
+
+
+filter_action: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Message Delayed Cycles
+----------------------
+Total_delay_cycles: [binsize: 1 max: 13 count: 11067913 average: 0.59207 | standard deviation: 1.42335 | 9429459 2998 1542 2542 1625612 3549 283 332 316 971 3 65 90 151 ]
+Total_nonPF_delay_cycles: [binsize: 1 max: 9 count: 4909962 average: 0.0405459 | standard deviation: 0.397502 | 4858091 1584 1068 1984 46818 398 6 1 6 6 ]
+ virtual_network_0_delay_cycles: [binsize: 1 max: 13 count: 6157951 average: 1.03182 | standard deviation: 1.75481 | 4571368 1414 474 558 1578794 3151 277 331 310 965 3 65 90 151 ]
+ virtual_network_1_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_2_delay_cycles: [binsize: 1 max: 9 count: 132516 average: 0.01916 | standard deviation: 0.251277 | 131577 197 267 158 264 49 1 0 0 3 ]
+ virtual_network_3_delay_cycles: [binsize: 1 max: 9 count: 4777446 average: 0.0411391 | standard deviation: 0.400783 | 4726514 1387 801 1826 46554 349 5 1 6 3 ]
+ virtual_network_4_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_5_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_6_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_7_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_8_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_9_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Resource Usage
+--------------
+page_size: 4096
+user_time: 958
+system_time: 0
+page_reclaims: 72423
+page_faults: 83
+swaps: 0
+block_inputs: 0
+block_outputs: 0
+
+Network Stats
+-------------
+
+total_msg_count_Control: 8664114 69312912
+total_msg_count_Request_Control: 395847 3166776
+total_msg_count_Response_Data: 8988285 647156520
+total_msg_count_Response_Control: 11077737 88621896
+total_msg_count_Writeback_Data: 4749993 341999496
+total_msg_count_Writeback_Control: 252213 2017704
+total_msgs: 34128189 total_bytes: 1152275304
+
+switch_0_inlinks: 2
+switch_0_outlinks: 2
+links_utilized_percent_switch_0: 0.0849852
+ links_utilized_percent_switch_0_link_0: 0.0922146 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_0_link_1: 0.0777559 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_0_link_0_Request_Control: 67827 542616 [ 67827 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Response_Data: 2007830 144563760 [ 0 2007830 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Response_Control: 1477493 11819944 [ 0 1477493 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Control: 2027660 16221280 [ 2027660 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Response_Data: 60225 4336200 [ 0 60225 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Response_Control: 1527547 12220376 [ 0 29788 1497759 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Data: 1375848 99061056 [ 1375784 64 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Control: 60295 482360 [ 60295 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_1_inlinks: 2
+switch_1_outlinks: 2
+links_utilized_percent_switch_1: 0.0226715
+ links_utilized_percent_switch_1_link_0: 0.0291809 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_1_link_1: 0.0161622 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_1_link_0_Request_Control: 64689 517512 [ 64689 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Response_Data: 652046 46947312 [ 0 652046 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Response_Control: 274220 2193760 [ 0 274220 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Control: 673255 5386040 [ 673255 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Data: 61735 4444920 [ 0 61735 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Control: 318022 2544176 [ 0 25245 292777 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Data: 207483 14938776 [ 206645 838 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Control: 23776 190208 [ 23776 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_2_inlinks: 2
+switch_2_outlinks: 2
+links_utilized_percent_switch_2: 0.111125
+ links_utilized_percent_switch_2_link_0: 0.098959 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_2_link_1: 0.123292 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_2_link_0_Control: 2700915 21607320 [ 2700915 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Response_Data: 232502 16740144 [ 0 232502 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Response_Control: 1922989 15383912 [ 0 132453 1790536 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Data: 1583331 113999832 [ 1582429 902 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Control: 84071 672568 [ 84071 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Control: 187123 1496984 [ 187123 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Request_Control: 130815 1046520 [ 130815 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Response_Data: 2687012 193464864 [ 0 2687012 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Response_Control: 1725416 13803328 [ 0 1725416 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_3_inlinks: 2
+switch_3_outlinks: 2
+links_utilized_percent_switch_3: 0.00692029
+ links_utilized_percent_switch_3_link_0: 0.00535191 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_3_link_1: 0.00848867 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_3_link_0_Control: 187123 1496984 [ 187123 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Response_Data: 103717 7467624 [ 0 103717 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Response_Control: 17877 143016 [ 0 17877 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Response_Data: 187123 13472856 [ 0 187123 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Response_Control: 121594 972752 [ 0 121594 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_4_inlinks: 2
+switch_4_outlinks: 2
+links_utilized_percent_switch_4: 0
+ links_utilized_percent_switch_4_link_0: 0 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_4_link_1: 0 bw: 16000 base_latency: 1
+
+
+switch_5_inlinks: 5
+switch_5_outlinks: 5
+links_utilized_percent_switch_5: 0.0451413
+ links_utilized_percent_switch_5_link_0: 0.0922146 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_5_link_1: 0.0291809 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_5_link_2: 0.098959 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_5_link_3: 0.00535191 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_5_link_4: 0 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_5_link_0_Request_Control: 67827 542616 [ 67827 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_5_link_0_Response_Data: 2007830 144563760 [ 0 2007830 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_5_link_0_Response_Control: 1477493 11819944 [ 0 1477493 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_5_link_1_Request_Control: 64689 517512 [ 64689 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_5_link_1_Response_Data: 652046 46947312 [ 0 652046 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_5_link_1_Response_Control: 274220 2193760 [ 0 274220 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_5_link_2_Control: 2700915 21607320 [ 2700915 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_5_link_2_Response_Data: 232502 16740144 [ 0 232502 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_5_link_2_Response_Control: 1922989 15383912 [ 0 132453 1790536 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_5_link_2_Writeback_Data: 1583331 113999832 [ 1582429 902 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_5_link_2_Writeback_Control: 84071 672568 [ 84071 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_5_link_3_Control: 187123 1496984 [ 187123 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_5_link_3_Response_Data: 103717 7467624 [ 0 103717 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_5_link_3_Response_Control: 17877 143016 [ 0 17877 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+Cache Stats: system.l1_cntrl0.L1IcacheMemory
+ system.l1_cntrl0.L1IcacheMemory_total_misses: 484560
+ system.l1_cntrl0.L1IcacheMemory_total_demand_misses: 484560
+ system.l1_cntrl0.L1IcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1IcacheMemory_request_type_IFETCH: 100%
+
+ system.l1_cntrl0.L1IcacheMemory_access_mode_type_Supervisor: 484560 100%
+
+Cache Stats: system.l1_cntrl0.L1DcacheMemory
+ system.l1_cntrl0.L1DcacheMemory_total_misses: 1543100
+ system.l1_cntrl0.L1DcacheMemory_total_demand_misses: 1543100
+ system.l1_cntrl0.L1DcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1DcacheMemory_request_type_LD: 79.0999%
+ system.l1_cntrl0.L1DcacheMemory_request_type_ST: 20.9001%
+
+ system.l1_cntrl0.L1DcacheMemory_access_mode_type_Supervisor: 1543100 100%
+
+ --- L1Cache ---
+ - Event Counts -
+Load [10912538 4146436 ] 15058974
+Ifetch [138179397 23233056 ] 161412453
+Store [7256376 3619388 ] 10875764
+Inv [29852 26083 ] 55935
+L1_Replacement [1983478 624697 ] 2608175
+Fwd_GETX [15725 15477 ] 31202
+Fwd_GETS [22245 23129 ] 45374
+Fwd_GET_INSTR [5 0 ] 5
+Data [1754 1381 ] 3135
+Data_Exclusive [1152120 111353 ] 1263473
+DataS_fromL1 [23129 22250 ] 45379
+Data_all_Acks [830827 517062 ] 1347889
+Ack [19830 21209 ] 41039
+Ack_all [21584 22590 ] 44174
+WB_Ack [1436079 230421 ] 1666500
+
+ - Transitions -
+NP Load [1204993 145811 ] 1350804
+NP Ifetch [484415 350764 ] 835179
+NP Store [295094 129146 ] 424240
+NP Inv [7766 3575 ] 11341
+NP L1_Replacement [0 0 ] 0
+
+I Load [15597 16765 ] 32362
+I Ifetch [145 741 ] 886
+I Store [7586 8819 ] 16405
+I Inv [0 0 ] 0
+I L1_Replacement [14483 11590 ] 26073
+
+S Load [763511 446787 ] 1210298
+S Ifetch [137694834 22881548 ] 160576382
+S Store [19830 21209 ] 41039
+S Inv [21991 21381 ] 43372
+S L1_Replacement [532916 382686 ] 915602
+
+E Load [3198107 750052 ] 3948159
+E Ifetch [0 0 ] 0
+E Store [121635 36947 ] 158582
+E Inv [31 289 ] 320
+E L1_Replacement [1029248 72791 ] 1102039
+E Fwd_GETX [125 231 ] 356
+E Fwd_GETS [882 984 ] 1866
+E Fwd_GET_INSTR [0 0 ] 0
+
+M Load [5730330 2787021 ] 8517351
+M Ifetch [0 0 ] 0
+M Store [6812231 3423267 ] 10235498
+M Inv [64 838 ] 902
+M L1_Replacement [406831 157630 ] 564461
+M Fwd_GETX [15600 15246 ] 30846
+M Fwd_GETS [21363 22145 ] 43508
+M Fwd_GET_INSTR [5 0 ] 5
+
+IS Load [0 0 ] 0
+IS Ifetch [0 0 ] 0
+IS Store [0 0 ] 0
+IS Inv [0 0 ] 0
+IS L1_Replacement [0 0 ] 0
+IS Data_Exclusive [1152120 111353 ] 1263473
+IS DataS_fromL1 [23129 22250 ] 45379
+IS Data_all_Acks [529901 380478 ] 910379
+
+IM Load [0 0 ] 0
+IM Ifetch [0 0 ] 0
+IM Store [0 0 ] 0
+IM Inv [0 0 ] 0
+IM L1_Replacement [0 0 ] 0
+IM Data [1754 1381 ] 3135
+IM Data_all_Acks [300926 136584 ] 437510
+IM Ack [0 0 ] 0
+
+SM Load [0 0 ] 0
+SM Ifetch [0 0 ] 0
+SM Store [0 0 ] 0
+SM Inv [0 0 ] 0
+SM L1_Replacement [0 0 ] 0
+SM Ack [19830 21209 ] 41039
+SM Ack_all [21584 22590 ] 44174
+
+IS_I Load [0 0 ] 0
+IS_I Ifetch [0 0 ] 0
+IS_I Store [0 0 ] 0
+IS_I Inv [0 0 ] 0
+IS_I L1_Replacement [0 0 ] 0
+IS_I Data_Exclusive [0 0 ] 0
+IS_I DataS_fromL1 [0 0 ] 0
+IS_I Data_all_Acks [0 0 ] 0
+
+M_I Load [0 0 ] 0
+M_I Ifetch [3 3 ] 6
+M_I Store [0 0 ] 0
+M_I Inv [0 0 ] 0
+M_I L1_Replacement [0 0 ] 0
+M_I Fwd_GETX [0 0 ] 0
+M_I Fwd_GETS [0 0 ] 0
+M_I Fwd_GET_INSTR [0 0 ] 0
+M_I WB_Ack [1436079 230421 ] 1666500
+
+SINK_WB_ACK Load [0 0 ] 0
+SINK_WB_ACK Ifetch [0 0 ] 0
+SINK_WB_ACK Store [0 0 ] 0
+SINK_WB_ACK Inv [0 0 ] 0
+SINK_WB_ACK L1_Replacement [0 0 ] 0
+SINK_WB_ACK WB_Ack [0 0 ] 0
+
+Cache Stats: system.l1_cntrl1.L1IcacheMemory
+ system.l1_cntrl1.L1IcacheMemory_total_misses: 351505
+ system.l1_cntrl1.L1IcacheMemory_total_demand_misses: 351505
+ system.l1_cntrl1.L1IcacheMemory_total_prefetches: 0
+ system.l1_cntrl1.L1IcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl1.L1IcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl1.L1IcacheMemory_request_type_IFETCH: 100%
+
+ system.l1_cntrl1.L1IcacheMemory_access_mode_type_Supervisor: 351505 100%
+
+Cache Stats: system.l1_cntrl1.L1DcacheMemory
+ system.l1_cntrl1.L1DcacheMemory_total_misses: 321750
+ system.l1_cntrl1.L1DcacheMemory_total_demand_misses: 321750
+ system.l1_cntrl1.L1DcacheMemory_total_prefetches: 0
+ system.l1_cntrl1.L1DcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl1.L1DcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl1.L1DcacheMemory_request_type_LD: 50.5287%
+ system.l1_cntrl1.L1DcacheMemory_request_type_ST: 49.4713%
+
+ system.l1_cntrl1.L1DcacheMemory_access_mode_type_Supervisor: 321750 100%
+
+Cache Stats: system.l2_cntrl0.L2cacheMemory
+ system.l2_cntrl0.L2cacheMemory_total_misses: 263704
+ system.l2_cntrl0.L2cacheMemory_total_demand_misses: 263704
+ system.l2_cntrl0.L2cacheMemory_total_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_sw_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_hw_prefetches: 0
+
+ system.l2_cntrl0.L2cacheMemory_request_type_GETS: 30.3951%
+ system.l2_cntrl0.L2cacheMemory_request_type_GET_INSTR: 6.60779%
+ system.l2_cntrl0.L2cacheMemory_request_type_GETX: 62.9971%
+
+ system.l2_cntrl0.L2cacheMemory_access_mode_type_Supervisor: 263704 100%
+
+ --- L2Cache ---
+ - Event Counts -
+L1_GET_INSTR [836065 ] 836065
+L1_GETS [1383331 ] 1383331
+L1_GETX [440646 ] 440646
+L1_UPGRADE [41039 ] 41039
+L1_PUTX [1666500 ] 1666500
+L1_PUTX_old [0 ] 0
+Fwd_L1_GETX [0 ] 0
+Fwd_L1_GETS [0 ] 0
+Fwd_L1_GET_INSTR [0 ] 0
+L2_Replacement [103011 ] 103011
+L2_Replacement_clean [18583 ] 18583
+Mem_Data [187123 ] 187123
+Mem_Ack [121594 ] 121594
+WB_Data [45824 ] 45824
+WB_Data_clean [457 ] 457
+Ack [1701 ] 1701
+Ack_all [9158 ] 9158
+Unblock [45379 ] 45379
+Unblock_Cancel [0 ] 0
+Exclusive_Unblock [1745157 ] 1745157
+MEM_Inv [0 ] 0
+
+ - Transitions -
+NP L1_GET_INSTR [17420 ] 17420
+NP L1_GETS [34779 ] 34779
+NP L1_GETX [134924 ] 134924
+NP L1_PUTX [0 ] 0
+NP L1_PUTX_old [0 ] 0
+
+SS L1_GET_INSTR [818279 ] 818279
+SS L1_GETS [74319 ] 74319
+SS L1_GETX [3481 ] 3481
+SS L1_UPGRADE [41039 ] 41039
+SS L1_PUTX [0 ] 0
+SS L1_PUTX_old [0 ] 0
+SS L2_Replacement [249 ] 249
+SS L2_Replacement_clean [8589 ] 8589
+SS MEM_Inv [0 ] 0
+
+M L1_GET_INSTR [361 ] 361
+M L1_GETS [1228694 ] 1228694
+M L1_GETX [271038 ] 271038
+M L1_PUTX [0 ] 0
+M L1_PUTX_old [0 ] 0
+M L2_Replacement [102546 ] 102546
+M L2_Replacement_clean [8988 ] 8988
+M MEM_Inv [0 ] 0
+
+MT L1_GET_INSTR [5 ] 5
+MT L1_GETS [45374 ] 45374
+MT L1_GETX [31202 ] 31202
+MT L1_PUTX [1666500 ] 1666500
+MT L1_PUTX_old [0 ] 0
+MT L2_Replacement [216 ] 216
+MT L2_Replacement_clean [1006 ] 1006
+MT MEM_Inv [0 ] 0
+
+M_I L1_GET_INSTR [0 ] 0
+M_I L1_GETS [0 ] 0
+M_I L1_GETX [0 ] 0
+M_I L1_UPGRADE [0 ] 0
+M_I L1_PUTX [0 ] 0
+M_I L1_PUTX_old [0 ] 0
+M_I Mem_Ack [121594 ] 121594
+M_I MEM_Inv [0 ] 0
+
+MT_I L1_GET_INSTR [0 ] 0
+MT_I L1_GETS [0 ] 0
+MT_I L1_GETX [0 ] 0
+MT_I L1_UPGRADE [0 ] 0
+MT_I L1_PUTX [0 ] 0
+MT_I L1_PUTX_old [0 ] 0
+MT_I WB_Data [196 ] 196
+MT_I WB_Data_clean [0 ] 0
+MT_I Ack_all [20 ] 20
+MT_I MEM_Inv [0 ] 0
+
+MCT_I L1_GET_INSTR [0 ] 0
+MCT_I L1_GETS [0 ] 0
+MCT_I L1_GETX [0 ] 0
+MCT_I L1_UPGRADE [0 ] 0
+MCT_I L1_PUTX [0 ] 0
+MCT_I L1_PUTX_old [0 ] 0
+MCT_I WB_Data [706 ] 706
+MCT_I WB_Data_clean [0 ] 0
+MCT_I Ack_all [300 ] 300
+
+I_I L1_GET_INSTR [0 ] 0
+I_I L1_GETS [0 ] 0
+I_I L1_GETX [0 ] 0
+I_I L1_UPGRADE [0 ] 0
+I_I L1_PUTX [0 ] 0
+I_I L1_PUTX_old [0 ] 0
+I_I Ack [1485 ] 1485
+I_I Ack_all [8589 ] 8589
+
+S_I L1_GET_INSTR [0 ] 0
+S_I L1_GETS [0 ] 0
+S_I L1_GETX [0 ] 0
+S_I L1_UPGRADE [0 ] 0
+S_I L1_PUTX [0 ] 0
+S_I L1_PUTX_old [0 ] 0
+S_I Ack [216 ] 216
+S_I Ack_all [249 ] 249
+S_I MEM_Inv [0 ] 0
+
+ISS L1_GET_INSTR [0 ] 0
+ISS L1_GETS [0 ] 0
+ISS L1_GETX [0 ] 0
+ISS L1_PUTX [0 ] 0
+ISS L1_PUTX_old [0 ] 0
+ISS L2_Replacement [0 ] 0
+ISS L2_Replacement_clean [0 ] 0
+ISS Mem_Data [34779 ] 34779
+ISS MEM_Inv [0 ] 0
+
+IS L1_GET_INSTR [0 ] 0
+IS L1_GETS [0 ] 0
+IS L1_GETX [0 ] 0
+IS L1_PUTX [0 ] 0
+IS L1_PUTX_old [0 ] 0
+IS L2_Replacement [0 ] 0
+IS L2_Replacement_clean [0 ] 0
+IS Mem_Data [17420 ] 17420
+IS MEM_Inv [0 ] 0
+
+IM L1_GET_INSTR [0 ] 0
+IM L1_GETS [0 ] 0
+IM L1_GETX [0 ] 0
+IM L1_PUTX [0 ] 0
+IM L1_PUTX_old [0 ] 0
+IM L2_Replacement [0 ] 0
+IM L2_Replacement_clean [0 ] 0
+IM Mem_Data [134924 ] 134924
+IM MEM_Inv [0 ] 0
+
+SS_MB L1_GET_INSTR [0 ] 0
+SS_MB L1_GETS [92 ] 92
+SS_MB L1_GETX [1 ] 1
+SS_MB L1_UPGRADE [0 ] 0
+SS_MB L1_PUTX [0 ] 0
+SS_MB L1_PUTX_old [0 ] 0
+SS_MB L2_Replacement [0 ] 0
+SS_MB L2_Replacement_clean [0 ] 0
+SS_MB Unblock_Cancel [0 ] 0
+SS_MB Exclusive_Unblock [44520 ] 44520
+SS_MB MEM_Inv [0 ] 0
+
+MT_MB L1_GET_INSTR [0 ] 0
+MT_MB L1_GETS [73 ] 73
+MT_MB L1_GETX [0 ] 0
+MT_MB L1_UPGRADE [0 ] 0
+MT_MB L1_PUTX [0 ] 0
+MT_MB L1_PUTX_old [0 ] 0
+MT_MB L2_Replacement [0 ] 0
+MT_MB L2_Replacement_clean [0 ] 0
+MT_MB Unblock_Cancel [0 ] 0
+MT_MB Exclusive_Unblock [1700637 ] 1700637
+MT_MB MEM_Inv [0 ] 0
+
+M_MB L1_GET_INSTR [0 ] 0
+M_MB L1_GETS [0 ] 0
+M_MB L1_GETX [0 ] 0
+M_MB L1_UPGRADE [0 ] 0
+M_MB L1_PUTX [0 ] 0
+M_MB L1_PUTX_old [0 ] 0
+M_MB L2_Replacement [0 ] 0
+M_MB L2_Replacement_clean [0 ] 0
+M_MB Exclusive_Unblock [0 ] 0
+M_MB MEM_Inv [0 ] 0
+
+MT_IIB L1_GET_INSTR [0 ] 0
+MT_IIB L1_GETS [0 ] 0
+MT_IIB L1_GETX [0 ] 0
+MT_IIB L1_UPGRADE [0 ] 0
+MT_IIB L1_PUTX [0 ] 0
+MT_IIB L1_PUTX_old [0 ] 0
+MT_IIB L2_Replacement [0 ] 0
+MT_IIB L2_Replacement_clean [0 ] 0
+MT_IIB WB_Data [44912 ] 44912
+MT_IIB WB_Data_clean [457 ] 457
+MT_IIB Unblock [10 ] 10
+MT_IIB MEM_Inv [0 ] 0
+
+MT_IB L1_GET_INSTR [0 ] 0
+MT_IB L1_GETS [0 ] 0
+MT_IB L1_GETX [0 ] 0
+MT_IB L1_UPGRADE [0 ] 0
+MT_IB L1_PUTX [0 ] 0
+MT_IB L1_PUTX_old [0 ] 0
+MT_IB L2_Replacement [0 ] 0
+MT_IB L2_Replacement_clean [0 ] 0
+MT_IB WB_Data [10 ] 10
+MT_IB WB_Data_clean [0 ] 0
+MT_IB Unblock_Cancel [0 ] 0
+MT_IB MEM_Inv [0 ] 0
+
+MT_SB L1_GET_INSTR [0 ] 0
+MT_SB L1_GETS [0 ] 0
+MT_SB L1_GETX [0 ] 0
+MT_SB L1_UPGRADE [0 ] 0
+MT_SB L1_PUTX [0 ] 0
+MT_SB L1_PUTX_old [0 ] 0
+MT_SB L2_Replacement [0 ] 0
+MT_SB L2_Replacement_clean [0 ] 0
+MT_SB Unblock [45369 ] 45369
+MT_SB MEM_Inv [0 ] 0
+
+Memory controller: system.dir_cntrl0.memBuffer:
+ memory_total_requests: 290840
+ memory_reads: 187123
+ memory_writes: 103717
+ memory_refreshes: 4348122
+ memory_total_request_delays: 26622
+ memory_delays_per_request: 0.0915349
+ memory_delays_in_input_queue: 9
+ memory_delays_behind_head_of_bank_queue: 0
+ memory_delays_stalled_at_head_of_bank_queue: 26613
+ memory_stalls_for_bank_busy: 12176
+ memory_stalls_for_random_busy: 0
+ memory_stalls_for_anti_starvation: 0
+ memory_stalls_for_arbitration: 2284
+ memory_stalls_for_bus: 12150
+ memory_stalls_for_tfaw: 0
+ memory_stalls_for_read_write_turnaround: 0
+ memory_stalls_for_read_read_turnaround: 3
+ accesses_per_bank: 9114 8389 8483 8454 9002 8979 8737 8583 9543 9716 8778 8831 9652 8936 8798 7763 9338 8889 9079 9067 9021 8922 8900 8946 10889 8931 9482 9747 9711 9838 9688 8634
+
+ --- Directory ---
+ - Event Counts -
+Fetch [187123 ] 187123
+Data [103717 ] 103717
+Memory_Data [187123 ] 187123
+Memory_Ack [103717 ] 103717
+DMA_READ [0 ] 0
+DMA_WRITE [0 ] 0
+CleanReplacement [17877 ] 17877
+
+ - Transitions -
+I Fetch [187123 ] 187123
+I DMA_READ [0 ] 0
+I DMA_WRITE [0 ] 0
+
+ID Fetch [0 ] 0
+ID Data [0 ] 0
+ID Memory_Data [0 ] 0
+ID DMA_READ [0 ] 0
+ID DMA_WRITE [0 ] 0
+
+ID_W Fetch [0 ] 0
+ID_W Data [0 ] 0
+ID_W Memory_Ack [0 ] 0
+ID_W DMA_READ [0 ] 0
+ID_W DMA_WRITE [0 ] 0
+
+M Data [103717 ] 103717
+M DMA_READ [0 ] 0
+M DMA_WRITE [0 ] 0
+M CleanReplacement [17877 ] 17877
+
+IM Fetch [0 ] 0
+IM Data [0 ] 0
+IM Memory_Data [187123 ] 187123
+IM DMA_READ [0 ] 0
+IM DMA_WRITE [0 ] 0
+
+MI Fetch [0 ] 0
+MI Data [0 ] 0
+MI Memory_Ack [103717 ] 103717
+MI DMA_READ [0 ] 0
+MI DMA_WRITE [0 ] 0
+
+M_DRD Data [0 ] 0
+M_DRD DMA_READ [0 ] 0
+M_DRD DMA_WRITE [0 ] 0
+
+M_DRDI Fetch [0 ] 0
+M_DRDI Data [0 ] 0
+M_DRDI Memory_Ack [0 ] 0
+M_DRDI DMA_READ [0 ] 0
+M_DRDI DMA_WRITE [0 ] 0
+
+M_DWR Data [0 ] 0
+M_DWR DMA_READ [0 ] 0
+M_DWR DMA_WRITE [0 ] 0
+
+M_DWRI Fetch [0 ] 0
+M_DWRI Data [0 ] 0
+M_DWRI Memory_Ack [0 ] 0
+M_DWRI DMA_READ [0 ] 0
+M_DWRI DMA_WRITE [0 ] 0
+
+ --- DMA ---
+ - Event Counts -
+ReadRequest [0 ] 0
+WriteRequest [0 ] 0
+Data [0 ] 0
+Ack [0 ] 0
+
+ - Transitions -
+READY ReadRequest [0 ] 0
+READY WriteRequest [0 ] 0
+
+BUSY_RD Data [0 ] 0
+
+BUSY_WR Ack [0 ] 0
+
diff --git a/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/simerr b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/simerr
new file mode 100755
index 000000000..62578ab56
--- /dev/null
+++ b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/simerr
@@ -0,0 +1,11 @@
+warn: Sockets disabled, not accepting terminal connections
+warn: Reading current count from inactive timer.
+warn: Sockets disabled, not accepting gdb connections
+warn: Don't know what interrupt to clear for console.
+warn: instruction 'fxsave' unimplemented
+warn: instruction 'wbinvd' unimplemented
+warn: instruction 'wbinvd' unimplemented
+hack: Assuming logical destinations are 1 << id.
+warn: Tried to clear PCI interrupt 14
+warn: Unknown mouse command 0xe1.
+hack: be nice to actually delete the event here
diff --git a/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/simout b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/simout
new file mode 100755
index 000000000..9d6ea6acb
--- /dev/null
+++ b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/simout
@@ -0,0 +1,13 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Apr 25 2012 18:58:39
+gem5 started Apr 25 2012 22:16:27
+gem5 executing on ribera.cs.wisc.edu
+command line: build/X86_MESI_CMP_directory/gem5.opt -d build/X86_MESI_CMP_directory/tests/opt/quick/fs/10.linux-boot/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory -re tests/run.py build/X86_MESI_CMP_directory/tests/opt/quick/fs/10.linux-boot/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory
+warning: add_child('terminal'): child 'terminal' already has parent
+Global frequency set at 1000000000000 ticks per second
+info: kernel located at: /scratch/nilay/GEM5/system/binaries/x86_64-vmlinux-2.6.22.9.smp
+ 0: rtc: Real-time clock set to Sun Jan 1 00:00:00 2012
+info: Entering event queue @ 0. Starting simulation...
+Exiting @ tick 5317975489500 because m5_exit instruction encountered
diff --git a/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/stats.txt b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/stats.txt
new file mode 100644
index 000000000..3255781d3
--- /dev/null
+++ b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/stats.txt
@@ -0,0 +1,85 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 5.317975 # Number of seconds simulated
+sim_ticks 5317975489500 # Number of ticks simulated
+final_tick 5317975489500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 136334 # Simulator instruction rate (inst/s)
+host_op_rate 282983 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 5550018922 # Simulator tick rate (ticks/s)
+host_mem_usage 546352 # Number of bytes of host memory used
+host_seconds 958.19 # Real time elapsed on the host
+sim_insts 130634065 # Number of instructions simulated
+sim_ops 271151330 # Number of ops (including micro ops) simulated
+system.physmem.bytes_read 1385454984 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 1291299576 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 72060789 # Number of bytes written to this memory
+system.physmem.num_reads 177292929 # Number of read requests responded to by this memory
+system.physmem.num_writes 10101818 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 260523010 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 242817888 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 13550418 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 274073428 # Total bandwidth to/from this memory (bytes/s)
+system.pc.south_bridge.ide.disks0.dma_read_full_pages 0 # Number of full page size DMA reads (not PRD).
+system.pc.south_bridge.ide.disks0.dma_read_bytes 34816 # Number of bytes transfered via DMA reads (not PRD).
+system.pc.south_bridge.ide.disks0.dma_read_txs 29 # Number of DMA read transactions (not PRD).
+system.pc.south_bridge.ide.disks0.dma_write_full_pages 693 # Number of full page size DMA writes.
+system.pc.south_bridge.ide.disks0.dma_write_bytes 2985984 # Number of bytes transfered via DMA writes.
+system.pc.south_bridge.ide.disks0.dma_write_txs 812 # Number of DMA write transactions.
+system.pc.south_bridge.ide.disks1.dma_read_full_pages 0 # Number of full page size DMA reads (not PRD).
+system.pc.south_bridge.ide.disks1.dma_read_bytes 0 # Number of bytes transfered via DMA reads (not PRD).
+system.pc.south_bridge.ide.disks1.dma_read_txs 0 # Number of DMA read transactions (not PRD).
+system.pc.south_bridge.ide.disks1.dma_write_full_pages 1 # Number of full page size DMA writes.
+system.pc.south_bridge.ide.disks1.dma_write_bytes 4096 # Number of bytes transfered via DMA writes.
+system.pc.south_bridge.ide.disks1.dma_write_txs 1 # Number of DMA write transactions.
+system.cpu0.numCycles 10635950979 # number of cpu cycles simulated
+system.cpu0.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu0.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu0.committedInsts 112896478 # Number of instructions committed
+system.cpu0.committedOps 236092299 # Number of ops (including micro ops) committed
+system.cpu0.num_int_alu_accesses 215933401 # Number of integer alu accesses
+system.cpu0.num_fp_alu_accesses 0 # Number of float alu accesses
+system.cpu0.num_func_calls 0 # number of times a function call or return occured
+system.cpu0.num_conditional_control_insts 22693697 # number of instructions that are conditional controls
+system.cpu0.num_int_insts 215933401 # number of integer instructions
+system.cpu0.num_fp_insts 0 # number of float instructions
+system.cpu0.num_int_register_reads 463804902 # number of times the integer registers were read
+system.cpu0.num_int_register_writes 225947677 # number of times the integer registers were written
+system.cpu0.num_fp_register_reads 0 # number of times the floating registers were read
+system.cpu0.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu0.num_mem_refs 25657945 # number of memory refs
+system.cpu0.num_load_insts 18885621 # Number of load instructions
+system.cpu0.num_store_insts 6772324 # Number of store instructions
+system.cpu0.num_idle_cycles 9920814224.934135 # Number of idle cycles
+system.cpu0.num_busy_cycles 715136754.065866 # Number of busy cycles
+system.cpu0.not_idle_fraction 0.067238 # Percentage of non-idle cycles
+system.cpu0.idle_fraction 0.932762 # Percentage of idle cycles
+system.cpu0.kern.inst.arm 0 # number of arm instructions executed
+system.cpu0.kern.inst.quiesce 0 # number of quiesce instructions executed
+system.cpu1.numCycles 10633730672 # number of cpu cycles simulated
+system.cpu1.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu1.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu1.committedInsts 17737587 # Number of instructions committed
+system.cpu1.committedOps 35059031 # Number of ops (including micro ops) committed
+system.cpu1.num_int_alu_accesses 33696414 # Number of integer alu accesses
+system.cpu1.num_fp_alu_accesses 0 # Number of float alu accesses
+system.cpu1.num_func_calls 0 # number of times a function call or return occured
+system.cpu1.num_conditional_control_insts 2413897 # number of instructions that are conditional controls
+system.cpu1.num_int_insts 33696414 # number of integer instructions
+system.cpu1.num_fp_insts 0 # number of float instructions
+system.cpu1.num_int_register_reads 76064273 # number of times the integer registers were read
+system.cpu1.num_int_register_writes 32516586 # number of times the integer registers were written
+system.cpu1.num_fp_register_reads 0 # number of times the floating registers were read
+system.cpu1.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu1.num_mem_refs 8183613 # number of memory refs
+system.cpu1.num_load_insts 4592243 # Number of load instructions
+system.cpu1.num_store_insts 3591370 # Number of store instructions
+system.cpu1.num_idle_cycles 10491161304.078011 # Number of idle cycles
+system.cpu1.num_busy_cycles 142569367.921989 # Number of busy cycles
+system.cpu1.not_idle_fraction 0.013407 # Percentage of non-idle cycles
+system.cpu1.idle_fraction 0.986593 # Percentage of idle cycles
+system.cpu1.kern.inst.arm 0 # number of arm instructions executed
+system.cpu1.kern.inst.quiesce 0 # number of quiesce instructions executed
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/system.pc.com_1.terminal b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/system.pc.com_1.terminal
new file mode 100644
index 000000000..56d87aaa3
--- /dev/null
+++ b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing-ruby-MESI_CMP_directory/system.pc.com_1.terminal
@@ -0,0 +1,136 @@
+Linux version 2.6.22 (nilay@ribera.cs.wisc.edu) (gcc version 4.5.2 (GCC) ) #1 SMP Mon Feb 13 10:59:02 CST 2012
+Command line: earlyprintk=ttyS0 console=ttyS0 lpj=7999923 root=/dev/hda1
+BIOS-provided physical RAM map:
+ BIOS-e820: 0000000000000000 - 0000000000100000 (reserved)
+ BIOS-e820: 0000000000100000 - 0000000008000000 (usable)
+end_pfn_map = 32768
+kernel direct mapping tables up to 8000000 @ 100000-102000
+DMI 2.5 present.
+Zone PFN ranges:
+ DMA 256 -> 4096
+ DMA32 4096 -> 1048576
+ Normal 1048576 -> 1048576
+early_node_map[1] active PFN ranges
+ 0: 256 -> 32768
+Intel MultiProcessor Specification v1.4
+MPTABLE: OEM ID: MPTABLE: Product ID: MPTABLE: APIC at: 0xFEE00000
+Processor #0 (Bootup-CPU)
+Processor #1
+I/O APIC #2 at 0xFEC00000.
+Setting APIC routing to flat
+Processors: 2
+Allocating PCI resources starting at 10000000 (gap: 8000000:f8000000)
+PERCPU: Allocating 41328 bytes of per cpu data
+Built 1 zonelists. Total pages: 30300
+Kernel command line: earlyprintk=ttyS0 console=ttyS0 lpj=7999923 root=/dev/hda1
+Initializing CPU#0
+PID hash table entries: 512 (order: 9, 4096 bytes)
+Marking TSC unstable due to TSCs unsynchronized
+time.c: Detected 1999.998 MHz processor.
+Console: colour dummy device 80x25
+Dentry cache hash table entries: 16384 (order: 5, 131072 bytes)
+Inode-cache hash table entries: 8192 (order: 4, 65536 bytes)
+Checking aperture...
+Memory: 120740k/131072k available (3854k kernel code, 9160k reserved, 1861k data, 264k init)
+Calibrating delay loop (skipped)... 3999.96 BogoMIPS preset
+Mount-cache hash table entries: 256
+CPU: L1 I Cache: 64K (64 bytes/line), D cache 64K (64 bytes/line)
+CPU: L2 Cache: 1024K (64 bytes/line)
+Freeing SMP alternatives: 35k freed
+Using local APIC timer interrupts.
+result 7812489
+Detected 7.812 MHz APIC timer.
+Booting processor 1/2 APIC 0x1
+Initializing CPU#1
+Calibrating delay loop (skipped)... 3999.96 BogoMIPS preset
+CPU: L1 I Cache: 64K (64 bytes/line), D cache 64K (64 bytes/line)
+CPU: L2 Cache: 1024K (64 bytes/line)
+Fake M5 x86_64 CPU stepping 01
+Brought up 2 CPUs
+migration_cost=11
+NET: Registered protocol family 16
+PCI: Using configuration type 1
+SCSI subsystem initialized
+usbcore: registered new interface driver usbfs
+usbcore: registered new interface driver hub
+usbcore: registered new device driver usb
+PCI: Probing PCI hardware
+PCI-GART: No AMD northbridge found.
+NET: Registered protocol family 2
+IP route cache hash table entries: 1024 (order: 1, 8192 bytes)
+TCP established hash table entries: 4096 (order: 4, 98304 bytes)
+TCP bind hash table entries: 4096 (order: 4, 65536 bytes)
+TCP: Hash tables configured (established 4096 bind 4096)
+TCP reno registered
+Total HugeTLB memory allocated, 0
+Installing knfsd (copyright (C) 1996 okir@monad.swb.de).
+io scheduler noop registered
+io scheduler deadline registered
+io scheduler cfq registered (default)
+Real Time Clock Driver v1.12ac
+Linux agpgart interface v0.102 (c) Dave Jones
+Serial: 8250/16550 driver $Revision: 1.90 $ 4 ports, IRQ sharing disabled
+serial8250.0: ttyS0 at I/O 0x3f8 (irq = 4) is a 8250
+console handover: boot [earlyser0] -> real [ttyS0]
+floppy0: no floppy controllers found
+RAMDISK driver initialized: 16 RAM disks of 4096K size 1024 blocksize
+loop: module loaded
+Intel(R) PRO/1000 Network Driver - version 7.3.20-k2
+Copyright (c) 1999-2006 Intel Corporation.
+e100: Intel(R) PRO/100 Network Driver, 3.5.17-k4-NAPI
+e100: Copyright(c) 1999-2006 Intel Corporation
+forcedeth.c: Reverse Engineered nForce ethernet driver. Version 0.60.
+tun: Universal TUN/TAP device driver, 1.6
+tun: (C) 1999-2004 Max Krasnyansky <maxk@qualcomm.com>
+netconsole: not configured, aborting
+Uniform Multi-Platform E-IDE driver Revision: 7.00alpha2
+ide: Assuming 33MHz system bus speed for PIO modes; override with idebus=xx
+PIIX4: IDE controller at PCI slot 0000:00:04.0
+PCI: Enabling device 0000:00:04.0 (0000 -> 0001)
+PIIX4: chipset revision 0
+PIIX4: not 100% native mode: will probe irqs later
+ ide0: BM-DMA at 0x1000-0x1007, BIOS settings: hda:DMA, hdb:DMA
+ ide1: BM-DMA at 0x1008-0x100f, BIOS settings: hdc:DMA, hdd:DMA
+hda: M5 IDE Disk, ATA DISK drive
+hdb: M5 IDE Disk, ATA DISK drive
+ide0 at 0x1f0-0x1f7,0x3f6 on irq 14
+hda: max request size: 128KiB
+hda: 1048320 sectors (536 MB), CHS=1040/16/63, UDMA(33)
+ hda: hda1
+hdb: max request size: 128KiB
+hdb: 4177920 sectors (2139 MB), CHS=4144/16/63, UDMA(33)
+ hdb: unknown partition table
+megaraid cmm: 2.20.2.7 (Release Date: Sun Jul 16 00:01:03 EST 2006)
+megaraid: 2.20.5.1 (Release Date: Thu Nov 16 15:32:35 EST 2006)
+megasas: 00.00.03.10-rc5 Thu May 17 10:09:32 PDT 2007
+Fusion MPT base driver 3.04.04
+Copyright (c) 1999-2007 LSI Logic Corporation
+Fusion MPT SPI Host driver 3.04.04
+Fusion MPT SAS Host driver 3.04.04
+ieee1394: raw1394: /dev/raw1394 device initialized
+USB Universal Host Controller Interface driver v3.0
+usbcore: registered new interface driver usblp
+drivers/usb/class/usblp.c: v0.13: USB Printer Device Class driver
+Initializing USB Mass Storage driver...
+usbcore: registered new interface driver usb-storage
+USB Mass Storage support registered.
+serio: i8042 KBD port at 0x60,0x64 irq 1
+serio: i8042 AUX port at 0x60,0x64 irq 12
+mice: PS/2 mouse device common for all mice
+input: AT Translated Set 2 keyboard as /class/input/input0
+device-mapper: ioctl: 4.11.0-ioctl (2006-10-12) initialised: dm-devel@redhat.com
+usbcore: registered new interface driver usbhid
+drivers/hid/usbhid/hid-core.c: v2.6:USB HID core driver
+oprofile: using timer interrupt.
+TCP cubic registered
+NET: Registered protocol family 1
+NET: Registered protocol family 10
+IPv6 over IPv4 tunneling driver
+NET: Registered protocol family 17
+input: PS/2 Generic Mouse as /class/input/input1
+EXT2-fs warning: mounting unchecked fs, running e2fsck is recommended
+VFS: Mounted root (ext2 filesystem).
+Freeing unused kernel memory: 264k freed
+ INIT: version 2.86 booting
+mounting filesystems...
+loading script...
diff --git a/util/regress b/util/regress
index 9fa00e2dc..aa9114ade 100755
--- a/util/regress
+++ b/util/regress
@@ -47,7 +47,7 @@ add_option('--builds',
'MIPS,' \
'POWER,' \
'SPARC,' \
- 'X86,' \
+ 'X86, X86_MESI_CMP_directory' \
'ARM',
help="comma-separated build targets to test (default: '%default')")
add_option('--modes',