summaryrefslogtreecommitdiff
path: root/configs
diff options
context:
space:
mode:
authorLisa Hsu <hsul@eecs.umich.edu>2006-11-01 11:40:49 -0500
committerLisa Hsu <hsul@eecs.umich.edu>2006-11-01 11:40:49 -0500
commit9ef8bf74c7ab3d34889e804cb4b1e365da090d0b (patch)
treee093cd79a10ade7c28945873201b7c93bf154dc9 /configs
parentf763864786d7b95d46fba6f37e1e9ed601b60733 (diff)
downloadgem5-9ef8bf74c7ab3d34889e804cb4b1e365da090d0b.tar.xz
change name of 2nd switch_cpu so that ckpt recovery with multiple cpus doens't get confused.
--HG-- extra : convert_revision : 16c710c4196c520d03c1993a26f38cf1f04ab637
Diffstat (limited to 'configs')
-rw-r--r--configs/common/Simulation.py14
1 files changed, 8 insertions, 6 deletions
diff --git a/configs/common/Simulation.py b/configs/common/Simulation.py
index a05e36bd1..a2b1d84d2 100644
--- a/configs/common/Simulation.py
+++ b/configs/common/Simulation.py
@@ -53,25 +53,27 @@ def run(options, root, testsys):
if options.standard_switch:
switch_cpus = [TimingSimpleCPU(defer_registration=True, cpu_id=(np+i))
for i in xrange(np)]
- switch_cpus1 = [DerivO3CPU(defer_registration=True, cpu_id=(2*np+i))
+ switch_cpus_1 = [DerivO3CPU(defer_registration=True, cpu_id=(2*np+i))
for i in xrange(np)]
+
for i in xrange(np):
switch_cpus[i].system = testsys
- switch_cpus1[i].system = testsys
+ switch_cpus_1[i].system = testsys
if not m5.build_env['FULL_SYSTEM']:
switch_cpus[i].workload = testsys.cpu[i].workload
- switch_cpus1[i].workload = testsys.cpu[i].workload
+ switch_cpus_1[i].workload = testsys.cpu[i].workload
switch_cpus[i].clock = testsys.cpu[0].clock
- switch_cpus1[i].clock = testsys.cpu[0].clock
+ switch_cpus_1[i].clock = testsys.cpu[0].clock
if options.caches:
switch_cpus[i].addPrivateSplitL1Caches(L1Cache(size = '32kB'),
L1Cache(size = '64kB'))
switch_cpus[i].connectMemPorts(testsys.membus)
+
root.switch_cpus = switch_cpus
- root.switch_cpus1 = switch_cpus1
+ root.switch_cpus_1 = switch_cpus_1
switch_cpu_list = [(testsys.cpu[i], switch_cpus[i]) for i in xrange(np)]
- switch_cpu_list1 = [(switch_cpus[i], switch_cpus1[i]) for i in xrange(np)]
+ switch_cpu_list1 = [(switch_cpus[i], switch_cpus_1[i]) for i in xrange(np)]
m5.instantiate(root)