summaryrefslogtreecommitdiff
path: root/src/arch
diff options
context:
space:
mode:
authorNathan Binkert <nate@binkert.org>2009-05-17 14:34:50 -0700
committerNathan Binkert <nate@binkert.org>2009-05-17 14:34:50 -0700
commiteef3a2e142443d94b75de333ff3ccb69644a9831 (patch)
tree14224dd738c732b269f8c94c95659d5b759570bf /src/arch
parentcbf237897f8de0ae411b572aea896c5ce4ea26fb (diff)
downloadgem5-eef3a2e142443d94b75de333ff3ccb69644a9831.tar.xz
types: Move stuff for global types into src/base/types.hh
--HG-- rename : src/sim/host.hh => src/base/types.hh
Diffstat (limited to 'src/arch')
-rw-r--r--src/arch/alpha/isa_traits.hh2
-rw-r--r--src/arch/alpha/miscregfile.hh2
-rw-r--r--src/arch/alpha/predecoder.hh2
-rw-r--r--src/arch/alpha/types.hh2
-rw-r--r--src/arch/arm/isa_traits.hh2
-rw-r--r--src/arch/arm/predecoder.hh2
-rw-r--r--src/arch/arm/types.hh2
-rw-r--r--src/arch/arm/utility.hh2
-rwxr-xr-xsrc/arch/mips/dsp.hh2
-rw-r--r--src/arch/mips/isa_traits.hh2
-rw-r--r--src/arch/mips/predecoder.hh2
-rw-r--r--src/arch/mips/types.hh2
-rw-r--r--src/arch/mips/utility.hh2
-rw-r--r--src/arch/sparc/isa_traits.hh2
-rw-r--r--src/arch/sparc/predecoder.hh2
-rw-r--r--src/arch/sparc/regfile.hh2
-rw-r--r--src/arch/x86/bios/acpi.hh2
-rw-r--r--src/arch/x86/bios/e820.hh2
-rw-r--r--src/arch/x86/bios/intelmp.cc2
-rw-r--r--src/arch/x86/bios/smbios.cc2
-rw-r--r--src/arch/x86/bios/smbios.hh2
-rw-r--r--src/arch/x86/intmessage.hh2
-rw-r--r--src/arch/x86/isa_traits.hh2
-rw-r--r--src/arch/x86/miscregfile.hh2
-rw-r--r--src/arch/x86/pagetable.hh2
-rw-r--r--src/arch/x86/pagetable_walker.hh2
-rw-r--r--src/arch/x86/predecoder.cc2
-rw-r--r--src/arch/x86/predecoder.hh2
-rw-r--r--src/arch/x86/regfile.hh2
-rw-r--r--src/arch/x86/utility.hh2
-rw-r--r--src/arch/x86/vtophys.hh2
-rw-r--r--src/arch/x86/x86_traits.hh2
32 files changed, 32 insertions, 32 deletions
diff --git a/src/arch/alpha/isa_traits.hh b/src/arch/alpha/isa_traits.hh
index ab3af0a1d..aae8271ce 100644
--- a/src/arch/alpha/isa_traits.hh
+++ b/src/arch/alpha/isa_traits.hh
@@ -38,7 +38,7 @@ namespace LittleEndianGuest {}
#include "arch/alpha/max_inst_regs.hh"
#include "arch/alpha/types.hh"
#include "config/full_system.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
class StaticInstPtr;
diff --git a/src/arch/alpha/miscregfile.hh b/src/arch/alpha/miscregfile.hh
index b194e00bb..1a215b8e4 100644
--- a/src/arch/alpha/miscregfile.hh
+++ b/src/arch/alpha/miscregfile.hh
@@ -36,7 +36,7 @@
#include "arch/alpha/ipr.hh"
#include "arch/alpha/types.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
#include "sim/serialize.hh"
class Checkpoint;
diff --git a/src/arch/alpha/predecoder.hh b/src/arch/alpha/predecoder.hh
index 5502342e1..d18bb2402 100644
--- a/src/arch/alpha/predecoder.hh
+++ b/src/arch/alpha/predecoder.hh
@@ -34,7 +34,7 @@
#include "arch/alpha/types.hh"
#include "base/misc.hh"
#include "config/full_system.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
class ThreadContext;
diff --git a/src/arch/alpha/types.hh b/src/arch/alpha/types.hh
index 7905114b8..d670784c4 100644
--- a/src/arch/alpha/types.hh
+++ b/src/arch/alpha/types.hh
@@ -32,7 +32,7 @@
#ifndef __ARCH_ALPHA_TYPES_HH__
#define __ARCH_ALPHA_TYPES_HH__
-#include "sim/host.hh"
+#include "base/types.hh"
namespace AlphaISA {
diff --git a/src/arch/arm/isa_traits.hh b/src/arch/arm/isa_traits.hh
index cf07699ce..6f5e17497 100644
--- a/src/arch/arm/isa_traits.hh
+++ b/src/arch/arm/isa_traits.hh
@@ -34,7 +34,7 @@
#define __ARCH_ARM_ISA_TRAITS_HH__
#include "arch/arm/types.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
namespace LittleEndianGuest {};
diff --git a/src/arch/arm/predecoder.hh b/src/arch/arm/predecoder.hh
index 86d344b05..2c0b773a0 100644
--- a/src/arch/arm/predecoder.hh
+++ b/src/arch/arm/predecoder.hh
@@ -35,7 +35,7 @@
#include "arch/arm/types.hh"
#include "base/misc.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
class ThreadContext;
diff --git a/src/arch/arm/types.hh b/src/arch/arm/types.hh
index 0a8d5d696..a2f664f1b 100644
--- a/src/arch/arm/types.hh
+++ b/src/arch/arm/types.hh
@@ -31,7 +31,7 @@
#ifndef __ARCH_ARM_TYPES_HH__
#define __ARCH_ARM_TYPES_HH__
-#include "sim/host.hh"
+#include "base/types.hh"
namespace ArmISA
{
diff --git a/src/arch/arm/utility.hh b/src/arch/arm/utility.hh
index fedf1fa05..e26a00706 100644
--- a/src/arch/arm/utility.hh
+++ b/src/arch/arm/utility.hh
@@ -37,7 +37,7 @@
#include "base/misc.hh"
#include "config/full_system.hh"
#include "cpu/thread_context.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
class ThreadContext;
diff --git a/src/arch/mips/dsp.hh b/src/arch/mips/dsp.hh
index fde4b332a..dbada893a 100755
--- a/src/arch/mips/dsp.hh
+++ b/src/arch/mips/dsp.hh
@@ -35,7 +35,7 @@
#include "arch/mips/isa_traits.hh"
#include "base/misc.hh"
#include "config/full_system.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
class ThreadContext;
diff --git a/src/arch/mips/isa_traits.hh b/src/arch/mips/isa_traits.hh
index fb46890c1..b091adb5d 100644
--- a/src/arch/mips/isa_traits.hh
+++ b/src/arch/mips/isa_traits.hh
@@ -37,7 +37,7 @@
#include "arch/mips/types.hh"
#include "arch/mips/mips_core_specific.hh"
#include "config/full_system.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
namespace LittleEndianGuest {};
diff --git a/src/arch/mips/predecoder.hh b/src/arch/mips/predecoder.hh
index a33082718..01e2ee768 100644
--- a/src/arch/mips/predecoder.hh
+++ b/src/arch/mips/predecoder.hh
@@ -34,7 +34,7 @@
#include "arch/mips/types.hh"
#include "base/misc.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
class ThreadContext;
diff --git a/src/arch/mips/types.hh b/src/arch/mips/types.hh
index b459d9e14..4e0684e78 100644
--- a/src/arch/mips/types.hh
+++ b/src/arch/mips/types.hh
@@ -31,7 +31,7 @@
#ifndef __ARCH_MIPS_TYPES_HH__
#define __ARCH_MIPS_TYPES_HH__
-#include "sim/host.hh"
+#include "base/types.hh"
namespace MipsISA
{
diff --git a/src/arch/mips/utility.hh b/src/arch/mips/utility.hh
index 12ce3af9e..95b7c875e 100644
--- a/src/arch/mips/utility.hh
+++ b/src/arch/mips/utility.hh
@@ -40,7 +40,7 @@
#include "config/full_system.hh"
//XXX This is needed for size_t. We should use something other than size_t
//#include "kern/linux/linux.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
#include "cpu/thread_context.hh"
diff --git a/src/arch/sparc/isa_traits.hh b/src/arch/sparc/isa_traits.hh
index 9833057a2..1c783a9b9 100644
--- a/src/arch/sparc/isa_traits.hh
+++ b/src/arch/sparc/isa_traits.hh
@@ -36,7 +36,7 @@
#include "arch/sparc/max_inst_regs.hh"
#include "arch/sparc/sparc_traits.hh"
#include "config/full_system.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
class StaticInstPtr;
diff --git a/src/arch/sparc/predecoder.hh b/src/arch/sparc/predecoder.hh
index 13ce5c1b6..c7503b282 100644
--- a/src/arch/sparc/predecoder.hh
+++ b/src/arch/sparc/predecoder.hh
@@ -34,7 +34,7 @@
#include "arch/sparc/types.hh"
#include "base/misc.hh"
#include "cpu/thread_context.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
class ThreadContext;
diff --git a/src/arch/sparc/regfile.hh b/src/arch/sparc/regfile.hh
index 505d7c8d7..f732c9625 100644
--- a/src/arch/sparc/regfile.hh
+++ b/src/arch/sparc/regfile.hh
@@ -37,7 +37,7 @@
#include "arch/sparc/isa_traits.hh"
#include "arch/sparc/miscregfile.hh"
#include "arch/sparc/types.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
#include <string>
diff --git a/src/arch/x86/bios/acpi.hh b/src/arch/x86/bios/acpi.hh
index 7bca17790..bcc4e1962 100644
--- a/src/arch/x86/bios/acpi.hh
+++ b/src/arch/x86/bios/acpi.hh
@@ -58,7 +58,7 @@
#ifndef __ARCH_X86_BIOS_ACPI_HH__
#define __ARCH_X86_BIOS_ACPI_HH__
-#include "sim/host.hh"
+#include "base/types.hh"
#include "sim/sim_object.hh"
#include <vector>
diff --git a/src/arch/x86/bios/e820.hh b/src/arch/x86/bios/e820.hh
index da738343b..0cff6cc16 100644
--- a/src/arch/x86/bios/e820.hh
+++ b/src/arch/x86/bios/e820.hh
@@ -60,7 +60,7 @@
#include "params/X86E820Entry.hh"
#include "params/X86E820Table.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
#include "sim/sim_object.hh"
#include <vector>
diff --git a/src/arch/x86/bios/intelmp.cc b/src/arch/x86/bios/intelmp.cc
index 2332e7a5c..e526f9658 100644
--- a/src/arch/x86/bios/intelmp.cc
+++ b/src/arch/x86/bios/intelmp.cc
@@ -60,7 +60,7 @@
#include "base/misc.hh"
#include "mem/port.hh"
#include "sim/byteswap.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
// Config entry types
#include "params/X86IntelMPBaseConfigEntry.hh"
diff --git a/src/arch/x86/bios/smbios.cc b/src/arch/x86/bios/smbios.cc
index 95ade1e4d..1e49a875a 100644
--- a/src/arch/x86/bios/smbios.cc
+++ b/src/arch/x86/bios/smbios.cc
@@ -92,7 +92,7 @@
#include "params/X86SMBiosSMBiosStructure.hh"
#include "params/X86SMBiosSMBiosTable.hh"
#include "sim/byteswap.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
using namespace std;
diff --git a/src/arch/x86/bios/smbios.hh b/src/arch/x86/bios/smbios.hh
index 1c50d0b48..688b0a6c5 100644
--- a/src/arch/x86/bios/smbios.hh
+++ b/src/arch/x86/bios/smbios.hh
@@ -93,7 +93,7 @@
#include "enums/Characteristic.hh"
#include "enums/ExtCharacteristic.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
#include "sim/sim_object.hh"
class FunctionalPort;
diff --git a/src/arch/x86/intmessage.hh b/src/arch/x86/intmessage.hh
index 467085da2..48f6c671b 100644
--- a/src/arch/x86/intmessage.hh
+++ b/src/arch/x86/intmessage.hh
@@ -36,7 +36,7 @@
#include "mem/packet.hh"
#include "mem/packet_access.hh"
#include "mem/request.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
namespace X86ISA
{
diff --git a/src/arch/x86/isa_traits.hh b/src/arch/x86/isa_traits.hh
index 09241c310..2b549bb4a 100644
--- a/src/arch/x86/isa_traits.hh
+++ b/src/arch/x86/isa_traits.hh
@@ -62,7 +62,7 @@
#include "arch/x86/max_inst_regs.hh"
#include "arch/x86/types.hh"
#include "arch/x86/x86_traits.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
class StaticInstPtr;
diff --git a/src/arch/x86/miscregfile.hh b/src/arch/x86/miscregfile.hh
index babc65b44..fad70bf78 100644
--- a/src/arch/x86/miscregfile.hh
+++ b/src/arch/x86/miscregfile.hh
@@ -91,7 +91,7 @@
#include "arch/x86/faults.hh"
#include "arch/x86/miscregs.hh"
#include "arch/x86/types.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
#include <string>
diff --git a/src/arch/x86/pagetable.hh b/src/arch/x86/pagetable.hh
index 1a7a945e4..2c359c2cf 100644
--- a/src/arch/x86/pagetable.hh
+++ b/src/arch/x86/pagetable.hh
@@ -61,7 +61,7 @@
#include <iostream>
#include <string>
-#include "sim/host.hh"
+#include "base/types.hh"
#include "base/bitunion.hh"
#include "base/misc.hh"
diff --git a/src/arch/x86/pagetable_walker.hh b/src/arch/x86/pagetable_walker.hh
index 0f9d24923..613832cb9 100644
--- a/src/arch/x86/pagetable_walker.hh
+++ b/src/arch/x86/pagetable_walker.hh
@@ -65,7 +65,7 @@
#include "mem/mem_object.hh"
#include "mem/packet.hh"
#include "params/X86PagetableWalker.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
class ThreadContext;
diff --git a/src/arch/x86/predecoder.cc b/src/arch/x86/predecoder.cc
index 5bac8e711..0ae0fd328 100644
--- a/src/arch/x86/predecoder.cc
+++ b/src/arch/x86/predecoder.cc
@@ -60,7 +60,7 @@
#include "base/misc.hh"
#include "base/trace.hh"
#include "cpu/thread_context.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
namespace X86ISA
{
diff --git a/src/arch/x86/predecoder.hh b/src/arch/x86/predecoder.hh
index 4893f1de9..baef64d43 100644
--- a/src/arch/x86/predecoder.hh
+++ b/src/arch/x86/predecoder.hh
@@ -65,7 +65,7 @@
#include "base/bitfield.hh"
#include "base/misc.hh"
#include "base/trace.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
class ThreadContext;
diff --git a/src/arch/x86/regfile.hh b/src/arch/x86/regfile.hh
index 8938ab0bc..07561fe8a 100644
--- a/src/arch/x86/regfile.hh
+++ b/src/arch/x86/regfile.hh
@@ -63,7 +63,7 @@
#include "arch/x86/isa_traits.hh"
#include "arch/x86/miscregfile.hh"
#include "arch/x86/types.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
#include <string>
diff --git a/src/arch/x86/utility.hh b/src/arch/x86/utility.hh
index 6f0812a6a..c34411a2f 100644
--- a/src/arch/x86/utility.hh
+++ b/src/arch/x86/utility.hh
@@ -63,7 +63,7 @@
#include "base/misc.hh"
#include "config/full_system.hh"
#include "cpu/thread_context.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
class ThreadContext;
diff --git a/src/arch/x86/vtophys.hh b/src/arch/x86/vtophys.hh
index be5e2700f..b36c24a1a 100644
--- a/src/arch/x86/vtophys.hh
+++ b/src/arch/x86/vtophys.hh
@@ -60,7 +60,7 @@
#include "arch/x86/isa_traits.hh"
#include "arch/x86/pagetable.hh"
-#include "sim/host.hh"
+#include "base/types.hh"
class ThreadContext;
class FunctionalPort;
diff --git a/src/arch/x86/x86_traits.hh b/src/arch/x86/x86_traits.hh
index 0347a7099..381695c40 100644
--- a/src/arch/x86/x86_traits.hh
+++ b/src/arch/x86/x86_traits.hh
@@ -60,7 +60,7 @@
#include <assert.h>
-#include "sim/host.hh"
+#include "base/types.hh"
namespace X86ISA
{