summaryrefslogtreecommitdiff
path: root/src/mem/tport.cc
diff options
context:
space:
mode:
authorAli Saidi <saidi@eecs.umich.edu>2007-08-12 19:43:54 -0400
committerAli Saidi <saidi@eecs.umich.edu>2007-08-12 19:43:54 -0400
commit02353a60ee6ce831302067aae38bc31b739f14e5 (patch)
tree630b02e2850aa3fe08e87c49ed827095c749bae3 /src/mem/tport.cc
parent64295b800fd67e9b9bb3eee0131511a71ddf1fdb (diff)
downloadgem5-02353a60ee6ce831302067aae38bc31b739f14e5.tar.xz
MemorySystem: Fix the use of ?: to produce correct results.
--HG-- extra : convert_revision : 31aad7170b35556a4c984f4ebc013137d55d85eb
Diffstat (limited to 'src/mem/tport.cc')
-rw-r--r--src/mem/tport.cc1
1 files changed, 1 insertions, 0 deletions
diff --git a/src/mem/tport.cc b/src/mem/tport.cc
index b1a6a4813..9fa27046b 100644
--- a/src/mem/tport.cc
+++ b/src/mem/tport.cc
@@ -95,6 +95,7 @@ void
SimpleTimingPort::schedSendTiming(PacketPtr pkt, Tick when)
{
assert(when > curTick);
+ assert(when < curTick + Clock::Int::ms);
// Nothing is on the list: add it and schedule an event
if (transmitList.empty() || when < transmitList.front().tick) {