diff options
author | Gabe Black <gabeblack@google.com> | 2018-05-24 01:37:55 -0700 |
---|---|---|
committer | Gabe Black <gabeblack@google.com> | 2018-08-08 10:09:54 +0000 |
commit | 16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f (patch) | |
tree | 7b6faaacb4574a555e561534aa4a8508c0624c32 /src/systemc/tests/systemc/misc/v1.0/dash4/dist.h | |
parent | 7235d3b5211d0ba8f528d930a4c1e7ad62eec51a (diff) | |
download | gem5-16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f.tar.xz |
systemc: Import tests from the Accellera systemc distribution.
Change-Id: Iad76b398949a55d768a34d027a2d8e3739953da6
Reviewed-on: https://gem5-review.googlesource.com/10845
Reviewed-by: Giacomo Travaglini <giacomo.travaglini@arm.com>
Maintainer: Gabe Black <gabeblack@google.com>
Diffstat (limited to 'src/systemc/tests/systemc/misc/v1.0/dash4/dist.h')
-rw-r--r-- | src/systemc/tests/systemc/misc/v1.0/dash4/dist.h | 164 |
1 files changed, 164 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/misc/v1.0/dash4/dist.h b/src/systemc/tests/systemc/misc/v1.0/dash4/dist.h new file mode 100644 index 000000000..9c7cb5761 --- /dev/null +++ b/src/systemc/tests/systemc/misc/v1.0/dash4/dist.h @@ -0,0 +1,164 @@ +/***************************************************************************** + + Licensed to Accellera Systems Initiative Inc. (Accellera) under one or + more contributor license agreements. See the NOTICE file distributed + with this work for additional information regarding copyright ownership. + Accellera licenses this file to you under the Apache License, Version 2.0 + (the "License"); you may not use this file except in compliance with the + License. You may obtain a copy of the License at + + http://www.apache.org/licenses/LICENSE-2.0 + + Unless required by applicable law or agreed to in writing, software + distributed under the License is distributed on an "AS IS" BASIS, + WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or + implied. See the License for the specific language governing + permissions and limitations under the License. + + *****************************************************************************/ + +/***************************************************************************** + + dist.h -- Definition of the odometers. + + Original Author: Ali Dasdan, Synopsys, Inc. + + *****************************************************************************/ + +/***************************************************************************** + + MODIFICATION LOG - modifiers, enter your name, affiliation, date and + changes you are making here. + + Name, Affiliation, Date: + Description of Modification: + + *****************************************************************************/ + +#ifndef DIST_H +#define DIST_H + +SC_MODULE( dist_read_mod ) +{ + // Input ports: + sc_in<bool> pulse; // Pulse coming from the pulse generator. + sc_in<bool> start; // Becomes true if the car's started. + + // Output ports: + sc_out<bool> ok_for_incr; + + // Get the pulses for one distance increment. + void get_dist_proc(); + + SC_CTOR( dist_read_mod ) + { + SC_THREAD( get_dist_proc ); + sensitive << pulse.pos(); + } +}; + +SC_MODULE( dist_compute_mod ) +{ + // Input ports: + sc_in<bool> reset; // Reset the partial distance odometer if true. + sc_in<bool> start; // Becomes true if the car's started. + sc_in<bool> ok_for_incr; + + // Output ports: + sc_out<double> total_dist; + sc_out<double> partial_dist; + + // Internal variables: + static bool prev_reset; + static double total_compute_dist; + static double partial_compute_dist; + + // Compute total and partial distances. + void compute_total_proc(); + void compute_partial_proc(); + + SC_CTOR( dist_compute_mod ) + { + SC_METHOD( compute_total_proc ); + sensitive << ok_for_incr; + + SC_METHOD( compute_partial_proc ); + sensitive << ok_for_incr; + + prev_reset = false; + total_compute_dist = 0.0; + partial_compute_dist = 0.0; + } +}; + +SC_MODULE( dist_lcd_mod ) +{ + // Input ports: + sc_in<bool> start; // Becomes true if the car's started. + sc_in<double> total_dist; + sc_in<double> partial_dist; + + // Output ports: + sc_out<double> total; // Total distance. + sc_out<double> partial; // Partial distance. + + // LCD display driver. + void lcd_driver_proc(); + + SC_CTOR( dist_lcd_mod ) + { + SC_METHOD( lcd_driver_proc ); + sensitive << total_dist << partial_dist; + } +}; + +SC_MODULE( dist_mod ) +{ + // Ports: + sc_in<bool> pulse; // Pulse coming ftom the pulse generator. + sc_in<bool> reset; // Reset the partial distance odometer if true. + sc_in<bool> start; // Becomes true if the car's started. + + // Output ports: + sc_out<double> total; // Total distance. + sc_out<double> partial; // Partial distance. + + // Internal signals: + sc_signal<bool> ok_for_incr; + sc_signal<double> total_dist; + sc_signal<double> partial_dist; + + // Internal models: + dist_read_mod *read_mod; + dist_compute_mod *compute_mod; + dist_lcd_mod *lcd_mod; + + SC_CTOR( dist_mod ) + { + read_mod = new dist_read_mod("read_mod"); + compute_mod = new dist_compute_mod("compute_mod"); + lcd_mod = new dist_lcd_mod("lcd_mod"); + + read_mod->pulse.bind(pulse); + read_mod->start.bind(start); + read_mod->ok_for_incr.bind(ok_for_incr); + + compute_mod->reset.bind(reset); + compute_mod->start.bind(start); + compute_mod->ok_for_incr.bind(ok_for_incr); + compute_mod->total_dist.bind(total_dist); + compute_mod->partial_dist.bind(partial_dist); + + lcd_mod->start.bind(start); + lcd_mod->total_dist.bind(total_dist); + lcd_mod->partial_dist.bind(partial_dist); + lcd_mod->total.bind(total); + lcd_mod->partial.bind(partial); + + ok_for_incr = false; + total_dist = 0.0; + partial_dist = 0.0; + } +}; + +#endif |