summaryrefslogtreecommitdiff
path: root/src/systemc/tests/systemc/tracing/vcd_trace/test04
diff options
context:
space:
mode:
authorGabe Black <gabeblack@google.com>2018-05-24 01:37:55 -0700
committerGabe Black <gabeblack@google.com>2018-08-08 10:09:54 +0000
commit16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f (patch)
tree7b6faaacb4574a555e561534aa4a8508c0624c32 /src/systemc/tests/systemc/tracing/vcd_trace/test04
parent7235d3b5211d0ba8f528d930a4c1e7ad62eec51a (diff)
downloadgem5-16fa8d7cc8c92f5ab879e4cf9c6c0bbb3567860f.tar.xz
systemc: Import tests from the Accellera systemc distribution.
Change-Id: Iad76b398949a55d768a34d027a2d8e3739953da6 Reviewed-on: https://gem5-review.googlesource.com/10845 Reviewed-by: Giacomo Travaglini <giacomo.travaglini@arm.com> Maintainer: Gabe Black <gabeblack@google.com>
Diffstat (limited to 'src/systemc/tests/systemc/tracing/vcd_trace/test04')
-rw-r--r--src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd181
-rw-r--r--src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.bsd64181
-rw-r--r--src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.cygwin64181
-rw-r--r--src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.linux64181
-rw-r--r--src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.linuxaarch64181
-rw-r--r--src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.macosx64181
-rw-r--r--src/systemc/tests/systemc/tracing/vcd_trace/test04/test04.cpp114
7 files changed, 1200 insertions, 0 deletions
diff --git a/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd b/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd
new file mode 100644
index 000000000..c2129bb0e
--- /dev/null
+++ b/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd
@@ -0,0 +1,181 @@
+
+$timescale
+ 1 ps
+$end
+
+$scope module SystemC $end
+$var wire 8 aaaaa Char [7:0] $end
+$var wire 16 aaaab Short [15:0] $end
+$var wire 32 aaaac Int [31:0] $end
+$var wire 32 aaaad Long [31:0] $end
+$var wire 64 aaaae Int64 [63:0] $end
+$var wire 1 aaaaf Clock $end
+$upscope $end
+$enddefinitions $end
+
+$comment
+All initial values are dumped below at time 0 sec = 0 timescale units.
+$end
+
+$dumpvars
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+$end
+
+#10000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b11111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#20000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#30000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b11111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#40000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#50000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b11111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#60000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#70000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b11111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#80000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#90000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b11111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#100000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#110000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b11111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#120000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#130000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b11111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#140000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#150000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b11111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#160000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#170000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b11111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#180000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#190000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b11111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#200000
diff --git a/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.bsd64 b/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.bsd64
new file mode 100644
index 000000000..538167b24
--- /dev/null
+++ b/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.bsd64
@@ -0,0 +1,181 @@
+
+$timescale
+ 1 ps
+$end
+
+$scope module SystemC $end
+$var wire 8 aaaaa Char [7:0] $end
+$var wire 16 aaaab Short [15:0] $end
+$var wire 32 aaaac Int [31:0] $end
+$var wire 64 aaaad Long [63:0] $end
+$var wire 64 aaaae Int64 [63:0] $end
+$var wire 1 aaaaf Clock $end
+$upscope $end
+$enddefinitions $end
+
+$comment
+All initial values are dumped below at time 0 sec = 0 timescale units.
+$end
+
+$dumpvars
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+$end
+
+#10000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#20000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#30000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#40000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#50000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#60000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#70000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#80000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#90000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#100000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#110000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#120000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#130000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#140000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#150000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#160000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#170000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#180000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#190000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#200000
diff --git a/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.cygwin64 b/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.cygwin64
new file mode 100644
index 000000000..538167b24
--- /dev/null
+++ b/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.cygwin64
@@ -0,0 +1,181 @@
+
+$timescale
+ 1 ps
+$end
+
+$scope module SystemC $end
+$var wire 8 aaaaa Char [7:0] $end
+$var wire 16 aaaab Short [15:0] $end
+$var wire 32 aaaac Int [31:0] $end
+$var wire 64 aaaad Long [63:0] $end
+$var wire 64 aaaae Int64 [63:0] $end
+$var wire 1 aaaaf Clock $end
+$upscope $end
+$enddefinitions $end
+
+$comment
+All initial values are dumped below at time 0 sec = 0 timescale units.
+$end
+
+$dumpvars
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+$end
+
+#10000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#20000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#30000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#40000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#50000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#60000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#70000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#80000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#90000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#100000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#110000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#120000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#130000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#140000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#150000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#160000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#170000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#180000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#190000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#200000
diff --git a/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.linux64 b/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.linux64
new file mode 100644
index 000000000..538167b24
--- /dev/null
+++ b/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.linux64
@@ -0,0 +1,181 @@
+
+$timescale
+ 1 ps
+$end
+
+$scope module SystemC $end
+$var wire 8 aaaaa Char [7:0] $end
+$var wire 16 aaaab Short [15:0] $end
+$var wire 32 aaaac Int [31:0] $end
+$var wire 64 aaaad Long [63:0] $end
+$var wire 64 aaaae Int64 [63:0] $end
+$var wire 1 aaaaf Clock $end
+$upscope $end
+$enddefinitions $end
+
+$comment
+All initial values are dumped below at time 0 sec = 0 timescale units.
+$end
+
+$dumpvars
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+$end
+
+#10000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#20000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#30000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#40000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#50000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#60000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#70000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#80000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#90000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#100000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#110000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#120000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#130000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#140000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#150000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#160000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#170000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#180000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#190000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#200000
diff --git a/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.linuxaarch64 b/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.linuxaarch64
new file mode 100644
index 000000000..538167b24
--- /dev/null
+++ b/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.linuxaarch64
@@ -0,0 +1,181 @@
+
+$timescale
+ 1 ps
+$end
+
+$scope module SystemC $end
+$var wire 8 aaaaa Char [7:0] $end
+$var wire 16 aaaab Short [15:0] $end
+$var wire 32 aaaac Int [31:0] $end
+$var wire 64 aaaad Long [63:0] $end
+$var wire 64 aaaae Int64 [63:0] $end
+$var wire 1 aaaaf Clock $end
+$upscope $end
+$enddefinitions $end
+
+$comment
+All initial values are dumped below at time 0 sec = 0 timescale units.
+$end
+
+$dumpvars
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+$end
+
+#10000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#20000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#30000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#40000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#50000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#60000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#70000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#80000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#90000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#100000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#110000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#120000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#130000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#140000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#150000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#160000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#170000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#180000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#190000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#200000
diff --git a/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.macosx64 b/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.macosx64
new file mode 100644
index 000000000..538167b24
--- /dev/null
+++ b/src/systemc/tests/systemc/tracing/vcd_trace/test04/golden/test04.vcd.macosx64
@@ -0,0 +1,181 @@
+
+$timescale
+ 1 ps
+$end
+
+$scope module SystemC $end
+$var wire 8 aaaaa Char [7:0] $end
+$var wire 16 aaaab Short [15:0] $end
+$var wire 32 aaaac Int [31:0] $end
+$var wire 64 aaaad Long [63:0] $end
+$var wire 64 aaaae Int64 [63:0] $end
+$var wire 1 aaaaf Clock $end
+$upscope $end
+$enddefinitions $end
+
+$comment
+All initial values are dumped below at time 0 sec = 0 timescale units.
+$end
+
+$dumpvars
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+$end
+
+#10000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#20000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#30000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#40000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#50000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#60000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#70000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#80000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#90000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#100000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#110000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#120000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#130000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#140000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#150000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#160000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#170000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#180000
+b111 aaaaa
+b11111 aaaab
+b11111111111111111111110000000001 aaaac
+b11111111111 aaaad
+b1111111111111111111111111111111111111111 aaaae
+1aaaaf
+
+#190000
+b1 aaaaa
+b1111111111111110 aaaab
+b10000000000 aaaac
+b1111111111111111111111111111111111111111111111111111100000000000 aaaad
+b1111111111111111111111110000000000000000000000000000000000000000 aaaae
+0aaaaf
+
+#200000
diff --git a/src/systemc/tests/systemc/tracing/vcd_trace/test04/test04.cpp b/src/systemc/tests/systemc/tracing/vcd_trace/test04/test04.cpp
new file mode 100644
index 000000000..546b3ca55
--- /dev/null
+++ b/src/systemc/tests/systemc/tracing/vcd_trace/test04/test04.cpp
@@ -0,0 +1,114 @@
+/*****************************************************************************
+
+ Licensed to Accellera Systems Initiative Inc. (Accellera) under one or
+ more contributor license agreements. See the NOTICE file distributed
+ with this work for additional information regarding copyright ownership.
+ Accellera licenses this file to you under the Apache License, Version 2.0
+ (the "License"); you may not use this file except in compliance with the
+ License. You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
+ implied. See the License for the specific language governing
+ permissions and limitations under the License.
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ test04.cpp --
+
+ Original Author: Martin Janssen, Synopsys, Inc., 2002-02-15
+
+ *****************************************************************************/
+
+/*****************************************************************************
+
+ MODIFICATION LOG - modifiers, enter your name, affiliation, date and
+ changes you are making here.
+
+ Name, Affiliation, Date:
+ Description of Modification:
+
+ *****************************************************************************/
+
+#include "systemc.h"
+
+SC_MODULE( proc1 )
+{
+ SC_HAS_PROCESS( proc1 );
+
+ sc_in<bool> clk;
+
+ char obj1;
+ short obj2;
+ int obj3;
+ long obj4;
+ int64 obj5;
+
+ proc1( sc_module_name NAME,
+ sc_signal<bool>& CLK )
+ {
+ clk(CLK);
+ SC_THREAD( entry );
+ sensitive << clk;
+ obj1 = 0;
+ obj2 = 0;
+ obj3 = 0;
+ obj4 = 0;
+ obj5 = 0;
+ }
+
+ void entry();
+};
+
+void proc1::entry()
+{
+ wait();
+ while(true) {
+ obj1 = 7;
+ obj2 = 31;
+ obj3 = -1023;
+ obj4 = 2047;
+ obj5 = -1;
+ obj5 = ~(obj5 << 40);
+ wait();
+ obj1 = 1;
+ obj2 = -2;
+ obj3 = 1024;
+ obj4 = -2048;
+ obj5 = -(obj5+1);
+ wait();
+ }
+}
+
+
+int sc_main(int ac, char *av[])
+{
+ sc_trace_file *tf;
+ sc_signal<bool> clock;
+
+ proc1 P1("P1", clock);
+
+ tf = sc_create_vcd_trace_file("test04");
+ sc_trace(tf, P1.obj1, "Char");
+ sc_trace(tf, P1.obj2, "Short");
+ sc_trace(tf, P1.obj3, "Int");
+ sc_trace(tf, P1.obj4, "Long");
+ sc_trace(tf, P1.obj5, "Int64");
+ sc_trace(tf, clock, "Clock");
+
+ clock.write(0);
+ sc_start(0, SC_NS);
+ for (int i = 0; i< 10; i++) {
+ clock.write(1);
+ sc_start(10, SC_NS);
+ clock.write(0);
+ sc_start(10, SC_NS);
+ }
+ sc_close_vcd_trace_file( tf );
+ return 0;
+}