summaryrefslogtreecommitdiff
path: root/tests/long/fs
diff options
context:
space:
mode:
authorCurtis Dunham <Curtis.Dunham@arm.com>2016-08-02 11:34:32 +0100
committerCurtis Dunham <Curtis.Dunham@arm.com>2016-08-02 11:34:32 +0100
commitae445c034880aea5700e7a9a1a69e5920413bb37 (patch)
tree22d047da31ccb1430831f2c51fcdb118dd12925f /tests/long/fs
parent8b3434a4f2090961dc5b3da7bc48b8b636b9f1e3 (diff)
downloadgem5-ae445c034880aea5700e7a9a1a69e5920413bb37.tar.xz
stats: update references
Diffstat (limited to 'tests/long/fs')
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/config.ini4
-rwxr-xr-xtests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/simout6
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/stats.txt12
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/config.ini4
-rwxr-xr-xtests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/simout6
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/stats.txt14
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/config.ini2
-rwxr-xr-xtests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/simout6
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/stats.txt12
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/config.ini8
-rwxr-xr-xtests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/simout6
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/stats.txt16
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/config.ini4
-rwxr-xr-xtests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/simout6
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/stats.txt14
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/config.ini4
-rwxr-xr-xtests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/simout6
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/stats.txt12
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/config.ini4
-rwxr-xr-xtests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/simout6
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/stats.txt14
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/config.ini2
-rwxr-xr-xtests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/simout6
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/stats.txt12
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/config.ini8
-rwxr-xr-xtests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/simout6
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/stats.txt12
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/config.ini4
-rwxr-xr-xtests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/simout6
-rw-r--r--tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/stats.txt14
30 files changed, 118 insertions, 118 deletions
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/config.ini b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/config.ini
index d68fc6b82..1ffb07f29 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/config.ini
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/config.ini
@@ -330,7 +330,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
@@ -828,7 +828,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/simout b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/simout
index b3e3131fa..2379a9494 100755
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/simout
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/simout
@@ -3,9 +3,9 @@ Redirecting stderr to build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realvi
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:37:41
-gem5 started Jul 21 2016 14:38:23
-gem5 executing on e108600-lin, pid 23084
+gem5 compiled Aug 1 2016 17:10:05
+gem5 started Aug 1 2016 17:10:34
+gem5 executing on e108600-lin, pid 12228
command line: /work/curdun01/gem5-external.hg/build/ARM/gem5.opt -d build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realview-o3-checker -re /work/curdun01/gem5-external.hg/tests/testing/../run.py long/fs/10.linux-boot/arm/linux/realview-o3-checker
Global frequency set at 1000000000000 ticks per second
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/stats.txt b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/stats.txt
index a853a2952..410d93856 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/stats.txt
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-checker/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 2.832894 # Nu
sim_ticks 2832894126500 # Number of ticks simulated
final_tick 2832894126500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 55098 # Simulator instruction rate (inst/s)
-host_op_rate 66829 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 1379949547 # Simulator tick rate (ticks/s)
-host_mem_usage 579316 # Number of bytes of host memory used
-host_seconds 2052.90 # Real time elapsed on the host
+host_inst_rate 74117 # Simulator instruction rate (inst/s)
+host_op_rate 89897 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 1856270381 # Simulator tick rate (ticks/s)
+host_mem_usage 579324 # Number of bytes of host memory used
+host_seconds 1526.12 # Real time elapsed on the host
sim_insts 113111333 # Number of instructions simulated
sim_ops 137193850 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -1010,7 +1010,7 @@ system.cpu.fp_regfile_reads 9528 # nu
system.cpu.fp_regfile_writes 2716 # number of floating regfile writes
system.cpu.cc_regfile_reads 502191760 # number of cc regfile reads
system.cpu.cc_regfile_writes 53133619 # number of cc regfile writes
-system.cpu.misc_regfile_reads 459496628 # number of misc regfile reads
+system.cpu.misc_regfile_reads 461629806 # number of misc regfile reads
system.cpu.misc_regfile_writes 1521804 # number of misc regfile writes
system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 2832894126500 # Cumulative time (in ticks) in various power states
system.cpu.dcache.tags.replacements 838109 # number of replacements
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/config.ini b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/config.ini
index ecd5fd333..567a187d7 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/config.ini
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/config.ini
@@ -651,7 +651,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
@@ -1370,7 +1370,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/simout b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/simout
index 1edb75ef2..e697726d2 100755
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/simout
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/simout
@@ -3,9 +3,9 @@ Redirecting stderr to build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realvi
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:37:41
-gem5 started Jul 21 2016 14:39:51
-gem5 executing on e108600-lin, pid 23108
+gem5 compiled Aug 1 2016 17:10:05
+gem5 started Aug 1 2016 17:31:02
+gem5 executing on e108600-lin, pid 12561
command line: /work/curdun01/gem5-external.hg/build/ARM/gem5.opt -d build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realview-o3-dual -re /work/curdun01/gem5-external.hg/tests/testing/../run.py long/fs/10.linux-boot/arm/linux/realview-o3-dual
Global frequency set at 1000000000000 ticks per second
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/stats.txt b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/stats.txt
index d0d350a97..d38a658b5 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/stats.txt
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 2.825947 # Nu
sim_ticks 2825947406000 # Number of ticks simulated
final_tick 2825947406000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 72283 # Simulator instruction rate (inst/s)
-host_op_rate 87685 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 1700475056 # Simulator tick rate (ticks/s)
-host_mem_usage 618496 # Number of bytes of host memory used
-host_seconds 1661.86 # Real time elapsed on the host
+host_inst_rate 132633 # Simulator instruction rate (inst/s)
+host_op_rate 160894 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 3120208803 # Simulator tick rate (ticks/s)
+host_mem_usage 618508 # Number of bytes of host memory used
+host_seconds 905.69 # Real time elapsed on the host
sim_insts 120124543 # Number of instructions simulated
sim_ops 145720076 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -903,7 +903,7 @@ system.cpu0.fp_regfile_reads 8185 # nu
system.cpu0.fp_regfile_writes 2264 # number of floating regfile writes
system.cpu0.cc_regfile_reads 464864695 # number of cc regfile reads
system.cpu0.cc_regfile_writes 49723023 # number of cc regfile writes
-system.cpu0.misc_regfile_reads 388122601 # number of misc regfile reads
+system.cpu0.misc_regfile_reads 392114938 # number of misc regfile reads
system.cpu0.misc_regfile_writes 1224736 # number of misc regfile writes
system.cpu0.dcache.tags.pwrStateResidencyTicks::UNDEFINED 2825947406000 # Cumulative time (in ticks) in various power states
system.cpu0.dcache.tags.replacements 709879 # number of replacements
@@ -2153,7 +2153,7 @@ system.cpu1.fp_regfile_reads 1401 # nu
system.cpu1.fp_regfile_writes 516 # number of floating regfile writes
system.cpu1.cc_regfile_reads 75515975 # number of cc regfile reads
system.cpu1.cc_regfile_writes 6821727 # number of cc regfile writes
-system.cpu1.misc_regfile_reads 66067792 # number of misc regfile reads
+system.cpu1.misc_regfile_reads 68877879 # number of misc regfile reads
system.cpu1.misc_regfile_writes 387520 # number of misc regfile writes
system.cpu1.dcache.tags.pwrStateResidencyTicks::UNDEFINED 2825947406000 # Cumulative time (in ticks) in various power states
system.cpu1.dcache.tags.replacements 189327 # number of replacements
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/config.ini b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/config.ini
index d07548930..39155f2aa 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/config.ini
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/config.ini
@@ -651,7 +651,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/simout b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/simout
index 2736dcdd3..af03e613f 100755
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/simout
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/simout
@@ -3,9 +3,9 @@ Redirecting stderr to build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realvi
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:37:41
-gem5 started Jul 21 2016 14:38:25
-gem5 executing on e108600-lin, pid 23094
+gem5 compiled Aug 1 2016 17:10:05
+gem5 started Aug 1 2016 17:36:45
+gem5 executing on e108600-lin, pid 13212
command line: /work/curdun01/gem5-external.hg/build/ARM/gem5.opt -d build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realview-o3 -re /work/curdun01/gem5-external.hg/tests/testing/../run.py long/fs/10.linux-boot/arm/linux/realview-o3
Global frequency set at 1000000000000 ticks per second
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/stats.txt b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/stats.txt
index ae5fa99bf..f6937a594 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/stats.txt
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 2.832894 # Nu
sim_ticks 2832894126500 # Number of ticks simulated
final_tick 2832894126500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 74158 # Simulator instruction rate (inst/s)
-host_op_rate 89946 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 1857289633 # Simulator tick rate (ticks/s)
-host_mem_usage 579060 # Number of bytes of host memory used
-host_seconds 1525.28 # Real time elapsed on the host
+host_inst_rate 136161 # Simulator instruction rate (inst/s)
+host_op_rate 165152 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 3410188815 # Simulator tick rate (ticks/s)
+host_mem_usage 579068 # Number of bytes of host memory used
+host_seconds 830.71 # Real time elapsed on the host
sim_insts 113111333 # Number of instructions simulated
sim_ops 137193850 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -866,7 +866,7 @@ system.cpu.fp_regfile_reads 9528 # nu
system.cpu.fp_regfile_writes 2716 # number of floating regfile writes
system.cpu.cc_regfile_reads 502191757 # number of cc regfile reads
system.cpu.cc_regfile_writes 53133619 # number of cc regfile writes
-system.cpu.misc_regfile_reads 459496628 # number of misc regfile reads
+system.cpu.misc_regfile_reads 461629806 # number of misc regfile reads
system.cpu.misc_regfile_writes 1521804 # number of misc regfile writes
system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 2832894126500 # Cumulative time (in ticks) in various power states
system.cpu.dcache.tags.replacements 838109 # number of replacements
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/config.ini b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/config.ini
index 25914c016..b338c1186 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/config.ini
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/config.ini
@@ -314,7 +314,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
@@ -488,7 +488,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
@@ -1099,7 +1099,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
@@ -1658,7 +1658,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/simout b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/simout
index ff911276c..7841978fe 100755
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/simout
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/simout
@@ -3,9 +3,9 @@ Redirecting stderr to build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realvi
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:37:41
-gem5 started Jul 21 2016 14:38:25
-gem5 executing on e108600-lin, pid 23095
+gem5 compiled Aug 1 2016 17:10:05
+gem5 started Aug 1 2016 17:10:35
+gem5 executing on e108600-lin, pid 12240
command line: /work/curdun01/gem5-external.hg/build/ARM/gem5.opt -d build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realview-switcheroo-full -re /work/curdun01/gem5-external.hg/tests/testing/../run.py long/fs/10.linux-boot/arm/linux/realview-switcheroo-full
Global frequency set at 1000000000000 ticks per second
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/stats.txt b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/stats.txt
index 58986be27..317b8f2e9 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/stats.txt
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-full/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 2.823751 # Nu
sim_ticks 2823750824500 # Number of ticks simulated
final_tick 2823750824500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 186823 # Simulator instruction rate (inst/s)
-host_op_rate 226618 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 4292269892 # Simulator tick rate (ticks/s)
-host_mem_usage 585968 # Number of bytes of host memory used
-host_seconds 657.87 # Real time elapsed on the host
+host_inst_rate 215412 # Simulator instruction rate (inst/s)
+host_op_rate 261296 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 4949093514 # Simulator tick rate (ticks/s)
+host_mem_usage 585976 # Number of bytes of host memory used
+host_seconds 570.56 # Real time elapsed on the host
sim_insts 122905142 # Number of instructions simulated
sim_ops 149084969 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -555,7 +555,7 @@ system.cpu0.num_func_calls 5784619 # nu
system.cpu0.num_conditional_control_insts 7357566 # number of instructions that are conditional controls
system.cpu0.num_int_insts 59006752 # number of integer instructions
system.cpu0.num_fp_insts 4424 # number of float instructions
-system.cpu0.num_int_register_reads 108790658 # number of times the integer registers were read
+system.cpu0.num_int_register_reads 108803726 # number of times the integer registers were read
system.cpu0.num_int_register_writes 41133474 # number of times the integer registers were written
system.cpu0.num_fp_register_reads 3383 # number of times the floating registers were read
system.cpu0.num_fp_register_writes 1042 # number of times the floating registers were written
@@ -1333,7 +1333,7 @@ system.cpu1.num_func_calls 1994134 # nu
system.cpu1.num_conditional_control_insts 2173480 # number of instructions that are conditional controls
system.cpu1.num_int_insts 18580086 # number of integer instructions
system.cpu1.num_fp_insts 1666 # number of float instructions
-system.cpu1.num_int_register_reads 34429785 # number of times the integer registers were read
+system.cpu1.num_int_register_reads 34430067 # number of times the integer registers were read
system.cpu1.num_int_register_writes 13026660 # number of times the integer registers were written
system.cpu1.num_fp_register_reads 1213 # number of times the floating registers were read
system.cpu1.num_fp_register_writes 454 # number of times the floating registers were written
@@ -2165,7 +2165,7 @@ system.cpu3.fp_regfile_reads 14375 # nu
system.cpu3.fp_regfile_writes 12072 # number of floating regfile writes
system.cpu3.cc_regfile_reads 144434496 # number of cc regfile reads
system.cpu3.cc_regfile_writes 15958517 # number of cc regfile writes
-system.cpu3.misc_regfile_reads 98127938 # number of misc regfile reads
+system.cpu3.misc_regfile_reads 98379107 # number of misc regfile reads
system.cpu3.misc_regfile_writes 343145 # number of misc regfile writes
system.iobus.pwrStateResidencyTicks::UNDEFINED 2823750824500 # Cumulative time (in ticks) in various power states
system.iobus.trans_dist::ReadReq 30152 # Transaction distribution
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/config.ini b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/config.ini
index 439558bee..99681c867 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/config.ini
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/config.ini
@@ -696,7 +696,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
@@ -1256,7 +1256,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/simout b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/simout
index 4e3f8e345..daaefa924 100755
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/simout
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/simout
@@ -3,9 +3,9 @@ Redirecting stderr to build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realvi
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:37:41
-gem5 started Jul 21 2016 15:03:28
-gem5 executing on e108600-lin, pid 24169
+gem5 compiled Aug 1 2016 17:10:05
+gem5 started Aug 1 2016 17:10:34
+gem5 executing on e108600-lin, pid 12213
command line: /work/curdun01/gem5-external.hg/build/ARM/gem5.opt -d build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realview-switcheroo-o3 -re /work/curdun01/gem5-external.hg/tests/testing/../run.py long/fs/10.linux-boot/arm/linux/realview-switcheroo-o3
Global frequency set at 1000000000000 ticks per second
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/stats.txt b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/stats.txt
index 6d5d200ba..0ff6fe40b 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/stats.txt
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-o3/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 2.804565 # Nu
sim_ticks 2804565276000 # Number of ticks simulated
final_tick 2804565276000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 101255 # Simulator instruction rate (inst/s)
-host_op_rate 122895 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 2428978767 # Simulator tick rate (ticks/s)
-host_mem_usage 586736 # Number of bytes of host memory used
-host_seconds 1154.63 # Real time elapsed on the host
+host_inst_rate 107399 # Simulator instruction rate (inst/s)
+host_op_rate 130353 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 2576386262 # Simulator tick rate (ticks/s)
+host_mem_usage 586748 # Number of bytes of host memory used
+host_seconds 1088.57 # Real time elapsed on the host
sim_insts 116911386 # Number of instructions simulated
sim_ops 141898031 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -908,7 +908,7 @@ system.cpu0.fp_regfile_reads 17075 # nu
system.cpu0.fp_regfile_writes 13292 # number of floating regfile writes
system.cpu0.cc_regfile_reads 262559417 # number of cc regfile reads
system.cpu0.cc_regfile_writes 27235047 # number of cc regfile writes
-system.cpu0.misc_regfile_reads 188033527 # number of misc regfile reads
+system.cpu0.misc_regfile_reads 188679333 # number of misc regfile reads
system.cpu0.misc_regfile_writes 725405 # number of misc regfile writes
system.cpu0.dcache.tags.pwrStateResidencyTicks::UNDEFINED 2804565276000 # Cumulative time (in ticks) in various power states
system.cpu0.dcache.tags.replacements 851456 # number of replacements
@@ -1857,7 +1857,7 @@ system.cpu1.fp_regfile_reads 16607 # nu
system.cpu1.fp_regfile_writes 12960 # number of floating regfile writes
system.cpu1.cc_regfile_reads 280533576 # number of cc regfile reads
system.cpu1.cc_regfile_writes 29711691 # number of cc regfile writes
-system.cpu1.misc_regfile_reads 195918297 # number of misc regfile reads
+system.cpu1.misc_regfile_reads 196904196 # number of misc regfile reads
system.cpu1.misc_regfile_writes 794253 # number of misc regfile writes
system.iobus.pwrStateResidencyTicks::UNDEFINED 2804565276000 # Cumulative time (in ticks) in various power states
system.iobus.trans_dist::ReadReq 30198 # Transaction distribution
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/config.ini b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/config.ini
index 094c3d2fb..1432fcef9 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/config.ini
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/config.ini
@@ -330,7 +330,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
@@ -828,7 +828,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/simout b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/simout
index 0a3ce6a26..2667f123a 100755
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/simout
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/simout
@@ -3,9 +3,9 @@ Redirecting stderr to build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realvi
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:37:41
-gem5 started Jul 21 2016 14:39:11
-gem5 executing on e108600-lin, pid 23099
+gem5 compiled Aug 1 2016 17:10:05
+gem5 started Aug 1 2016 17:10:43
+gem5 executing on e108600-lin, pid 12252
command line: /work/curdun01/gem5-external.hg/build/ARM/gem5.opt -d build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realview64-o3-checker -re /work/curdun01/gem5-external.hg/tests/testing/../run.py long/fs/10.linux-boot/arm/linux/realview64-o3-checker
Selected 64-bit ARM architecture, updating default disk image...
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/stats.txt b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/stats.txt
index eb2eff4bd..0a085b4b5 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/stats.txt
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-checker/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 51.327143 # Nu
sim_ticks 51327142820000 # Number of ticks simulated
final_tick 51327142820000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 112988 # Simulator instruction rate (inst/s)
-host_op_rate 132763 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 6836989613 # Simulator tick rate (ticks/s)
-host_mem_usage 681568 # Number of bytes of host memory used
-host_seconds 7507.27 # Real time elapsed on the host
+host_inst_rate 124063 # Simulator instruction rate (inst/s)
+host_op_rate 145776 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 7507150065 # Simulator tick rate (ticks/s)
+host_mem_usage 681576 # Number of bytes of host memory used
+host_seconds 6837.10 # Real time elapsed on the host
sim_insts 848230502 # Number of instructions simulated
sim_ops 996685945 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -1040,7 +1040,7 @@ system.cpu.fp_regfile_reads 1462803 # nu
system.cpu.fp_regfile_writes 780644 # number of floating regfile writes
system.cpu.cc_regfile_reads 225050166 # number of cc regfile reads
system.cpu.cc_regfile_writes 225684828 # number of cc regfile writes
-system.cpu.misc_regfile_reads 2558325337 # number of misc regfile reads
+system.cpu.misc_regfile_reads 2570368432 # number of misc regfile reads
system.cpu.misc_regfile_writes 26931155 # number of misc regfile writes
system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 51327142820000 # Cumulative time (in ticks) in various power states
system.cpu.dcache.tags.replacements 9701158 # number of replacements
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/config.ini b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/config.ini
index 5e79cd0cc..72dca03c3 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/config.ini
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/config.ini
@@ -651,7 +651,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
@@ -1370,7 +1370,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/simout b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/simout
index 83022ad25..336574573 100755
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/simout
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/simout
@@ -3,9 +3,9 @@ Redirecting stderr to build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realvi
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:37:41
-gem5 started Jul 21 2016 14:49:48
-gem5 executing on e108600-lin, pid 23303
+gem5 compiled Aug 1 2016 17:10:05
+gem5 started Aug 1 2016 17:10:34
+gem5 executing on e108600-lin, pid 12199
command line: /work/curdun01/gem5-external.hg/build/ARM/gem5.opt -d build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realview64-o3-dual -re /work/curdun01/gem5-external.hg/tests/testing/../run.py long/fs/10.linux-boot/arm/linux/realview64-o3-dual
Selected 64-bit ARM architecture, updating default disk image...
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/stats.txt b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/stats.txt
index 374e48ec0..df40a85e7 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/stats.txt
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3-dual/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 47.384351 # Nu
sim_ticks 47384351300000 # Number of ticks simulated
final_tick 47384351300000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 172914 # Simulator instruction rate (inst/s)
-host_op_rate 195786 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 6718052359 # Simulator tick rate (ticks/s)
-host_mem_usage 777068 # Number of bytes of host memory used
-host_seconds 7053.29 # Real time elapsed on the host
+host_inst_rate 183431 # Simulator instruction rate (inst/s)
+host_op_rate 207694 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 7126665146 # Simulator tick rate (ticks/s)
+host_mem_usage 777076 # Number of bytes of host memory used
+host_seconds 6648.88 # Real time elapsed on the host
sim_insts 1219610005 # Number of instructions simulated
sim_ops 1380933056 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -895,7 +895,7 @@ system.cpu0.fp_regfile_reads 685984 # nu
system.cpu0.fp_regfile_writes 317032 # number of floating regfile writes
system.cpu0.cc_regfile_reads 188384037 # number of cc regfile reads
system.cpu0.cc_regfile_writes 189031095 # number of cc regfile writes
-system.cpu0.misc_regfile_reads 1590236345 # number of misc regfile reads
+system.cpu0.misc_regfile_reads 1602344785 # number of misc regfile reads
system.cpu0.misc_regfile_writes 16401028 # number of misc regfile writes
system.cpu0.dcache.tags.pwrStateResidencyTicks::UNDEFINED 47384351300000 # Cumulative time (in ticks) in various power states
system.cpu0.dcache.tags.replacements 6409966 # number of replacements
@@ -2223,7 +2223,7 @@ system.cpu1.fp_regfile_reads 787030 # nu
system.cpu1.fp_regfile_writes 454812 # number of floating regfile writes
system.cpu1.cc_regfile_reads 112918659 # number of cc regfile reads
system.cpu1.cc_regfile_writes 113685571 # number of cc regfile writes
-system.cpu1.misc_regfile_reads 1427881847 # number of misc regfile reads
+system.cpu1.misc_regfile_reads 1436513215 # number of misc regfile reads
system.cpu1.misc_regfile_writes 14489141 # number of misc regfile writes
system.cpu1.dcache.tags.pwrStateResidencyTicks::UNDEFINED 47384351300000 # Cumulative time (in ticks) in various power states
system.cpu1.dcache.tags.replacements 5047432 # number of replacements
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/config.ini b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/config.ini
index 945f8e486..b9ad3e9e4 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/config.ini
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/config.ini
@@ -651,7 +651,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/simout b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/simout
index 25ac82c4f..07f342b7e 100755
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/simout
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/simout
@@ -3,9 +3,9 @@ Redirecting stderr to build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realvi
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:37:41
-gem5 started Jul 21 2016 14:40:47
-gem5 executing on e108600-lin, pid 23116
+gem5 compiled Aug 1 2016 17:10:05
+gem5 started Aug 1 2016 17:10:34
+gem5 executing on e108600-lin, pid 12234
command line: /work/curdun01/gem5-external.hg/build/ARM/gem5.opt -d build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realview64-o3 -re /work/curdun01/gem5-external.hg/tests/testing/../run.py long/fs/10.linux-boot/arm/linux/realview64-o3
Selected 64-bit ARM architecture, updating default disk image...
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/stats.txt b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/stats.txt
index 7cac85e04..7e1388539 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/stats.txt
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-o3/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 51.327143 # Nu
sim_ticks 51327142820000 # Number of ticks simulated
final_tick 51327142820000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 147527 # Simulator instruction rate (inst/s)
-host_op_rate 173346 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 8926963197 # Simulator tick rate (ticks/s)
-host_mem_usage 681308 # Number of bytes of host memory used
-host_seconds 5749.68 # Real time elapsed on the host
+host_inst_rate 161850 # Simulator instruction rate (inst/s)
+host_op_rate 190176 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 9793667461 # Simulator tick rate (ticks/s)
+host_mem_usage 681320 # Number of bytes of host memory used
+host_seconds 5240.85 # Real time elapsed on the host
sim_insts 848230502 # Number of instructions simulated
sim_ops 996685945 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -896,7 +896,7 @@ system.cpu.fp_regfile_reads 1462803 # nu
system.cpu.fp_regfile_writes 780644 # number of floating regfile writes
system.cpu.cc_regfile_reads 225050166 # number of cc regfile reads
system.cpu.cc_regfile_writes 225684828 # number of cc regfile writes
-system.cpu.misc_regfile_reads 2558325337 # number of misc regfile reads
+system.cpu.misc_regfile_reads 2570368432 # number of misc regfile reads
system.cpu.misc_regfile_writes 26931155 # number of misc regfile writes
system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 51327142820000 # Cumulative time (in ticks) in various power states
system.cpu.dcache.tags.replacements 9701158 # number of replacements
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/config.ini b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/config.ini
index 8eaa9ebb0..0608b342d 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/config.ini
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/config.ini
@@ -314,7 +314,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
@@ -488,7 +488,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
@@ -1099,7 +1099,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
@@ -1658,7 +1658,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/simout b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/simout
index a4a16d087..7162b26fb 100755
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/simout
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/simout
@@ -3,9 +3,9 @@ Redirecting stderr to build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realvi
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:37:41
-gem5 started Jul 21 2016 14:38:21
-gem5 executing on e108600-lin, pid 23069
+gem5 compiled Aug 1 2016 17:10:05
+gem5 started Aug 1 2016 17:10:34
+gem5 executing on e108600-lin, pid 12238
command line: /work/curdun01/gem5-external.hg/build/ARM/gem5.opt -d build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realview64-switcheroo-full -re /work/curdun01/gem5-external.hg/tests/testing/../run.py long/fs/10.linux-boot/arm/linux/realview64-switcheroo-full
Selected 64-bit ARM architecture, updating default disk image...
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/stats.txt b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/stats.txt
index 832def903..aa5265b3e 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/stats.txt
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-full/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 51.316261 # Nu
sim_ticks 51316261201000 # Number of ticks simulated
final_tick 51316261201000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 254859 # Simulator instruction rate (inst/s)
-host_op_rate 299482 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 15269258413 # Simulator tick rate (ticks/s)
-host_mem_usage 686176 # Number of bytes of host memory used
-host_seconds 3360.76 # Real time elapsed on the host
+host_inst_rate 303672 # Simulator instruction rate (inst/s)
+host_op_rate 356843 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 18193830206 # Simulator tick rate (ticks/s)
+host_mem_usage 686184 # Number of bytes of host memory used
+host_seconds 2820.53 # Real time elapsed on the host
sim_insts 856517636 # Number of instructions simulated
sim_ops 1006486660 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -2268,7 +2268,7 @@ system.cpu3.fp_regfile_reads 573493 # nu
system.cpu3.fp_regfile_writes 349924 # number of floating regfile writes
system.cpu3.cc_regfile_reads 70842645 # number of cc regfile reads
system.cpu3.cc_regfile_writes 71511543 # number of cc regfile writes
-system.cpu3.misc_regfile_reads 656533782 # number of misc regfile reads
+system.cpu3.misc_regfile_reads 658562640 # number of misc regfile reads
system.cpu3.misc_regfile_writes 7924534 # number of misc regfile writes
system.iobus.pwrStateResidencyTicks::UNDEFINED 51316261201000 # Cumulative time (in ticks) in various power states
system.iobus.trans_dist::ReadReq 40262 # Transaction distribution
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/config.ini b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/config.ini
index 46d508520..b1485955c 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/config.ini
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/config.ini
@@ -696,7 +696,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
@@ -1256,7 +1256,7 @@ id_aa64isar0_el1=0
id_aa64isar1_el1=0
id_aa64mmfr0_el1=15728642
id_aa64mmfr1_el1=0
-id_aa64pfr0_el1=17
+id_aa64pfr0_el1=34
id_aa64pfr1_el1=0
id_isar0=34607377
id_isar1=34677009
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/simout b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/simout
index 6b7f60999..6162f2434 100755
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/simout
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/simout
@@ -3,9 +3,9 @@ Redirecting stderr to build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realvi
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:37:41
-gem5 started Jul 21 2016 14:38:23
-gem5 executing on e108600-lin, pid 23086
+gem5 compiled Aug 1 2016 17:10:05
+gem5 started Aug 1 2016 17:10:34
+gem5 executing on e108600-lin, pid 12209
command line: /work/curdun01/gem5-external.hg/build/ARM/gem5.opt -d build/ARM/tests/opt/long/fs/10.linux-boot/arm/linux/realview64-switcheroo-o3 -re /work/curdun01/gem5-external.hg/tests/testing/../run.py long/fs/10.linux-boot/arm/linux/realview64-switcheroo-o3
Selected 64-bit ARM architecture, updating default disk image...
diff --git a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/stats.txt b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/stats.txt
index 576c749c5..1ebab8c9c 100644
--- a/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/stats.txt
+++ b/tests/long/fs/10.linux-boot/ref/arm/linux/realview64-switcheroo-o3/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 51.317224 # Nu
sim_ticks 51317223946000 # Number of ticks simulated
final_tick 51317223946000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 160482 # Simulator instruction rate (inst/s)
-host_op_rate 188578 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 9038049637 # Simulator tick rate (ticks/s)
-host_mem_usage 694112 # Number of bytes of host memory used
-host_seconds 5677.91 # Real time elapsed on the host
+host_inst_rate 175740 # Simulator instruction rate (inst/s)
+host_op_rate 206507 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 9897363484 # Simulator tick rate (ticks/s)
+host_mem_usage 694116 # Number of bytes of host memory used
+host_seconds 5184.94 # Real time elapsed on the host
sim_insts 911201050 # Number of instructions simulated
sim_ops 1070728401 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -917,7 +917,7 @@ system.cpu0.fp_regfile_reads 859135 # nu
system.cpu0.fp_regfile_writes 476716 # number of floating regfile writes
system.cpu0.cc_regfile_reads 127822251 # number of cc regfile reads
system.cpu0.cc_regfile_writes 129020802 # number of cc regfile writes
-system.cpu0.misc_regfile_reads 1202374377 # number of misc regfile reads
+system.cpu0.misc_regfile_reads 1207381115 # number of misc regfile reads
system.cpu0.misc_regfile_writes 15553504 # number of misc regfile writes
system.cpu0.dcache.tags.pwrStateResidencyTicks::UNDEFINED 51317223946000 # Cumulative time (in ticks) in various power states
system.cpu0.dcache.tags.replacements 10794591 # number of replacements
@@ -1919,7 +1919,7 @@ system.cpu1.fp_regfile_reads 819685 # nu
system.cpu1.fp_regfile_writes 527216 # number of floating regfile writes
system.cpu1.cc_regfile_reads 127646217 # number of cc regfile reads
system.cpu1.cc_regfile_writes 128772606 # number of cc regfile writes
-system.cpu1.misc_regfile_reads 1202681898 # number of misc regfile reads
+system.cpu1.misc_regfile_reads 1207600226 # number of misc regfile reads
system.cpu1.misc_regfile_writes 15276931 # number of misc regfile writes
system.iobus.pwrStateResidencyTicks::UNDEFINED 51317223946000 # Cumulative time (in ticks) in various power states
system.iobus.trans_dist::ReadReq 40295 # Transaction distribution