summaryrefslogtreecommitdiff
path: root/tests/quick/se/00.hello/ref/mips
diff options
context:
space:
mode:
authorCurtis Dunham <Curtis.Dunham@arm.com>2016-10-13 23:21:40 +0100
committerCurtis Dunham <Curtis.Dunham@arm.com>2016-10-13 23:21:40 +0100
commitc87b717dbdf36f4b0ebef1df4592f1ebabad15a5 (patch)
treee8dab9b58aef6394538af96fd1c7f1f2ffaf5775 /tests/quick/se/00.hello/ref/mips
parent78dd152a0d5e55e26cd6c501dbc4f73e316937d9 (diff)
downloadgem5-c87b717dbdf36f4b0ebef1df4592f1ebabad15a5.tar.xz
stats: update references
Diffstat (limited to 'tests/quick/se/00.hello/ref/mips')
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/o3-timing/config.ini41
-rwxr-xr-xtests/quick/se/00.hello/ref/mips/linux/o3-timing/simout8
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt915
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/config.ini276
-rwxr-xr-xtests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simerr3
-rwxr-xr-xtests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simout10
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/stats.txt433
7 files changed, 974 insertions, 712 deletions
diff --git a/tests/quick/se/00.hello/ref/mips/linux/o3-timing/config.ini b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/config.ini
index fb58e2bf8..1e3a930b1 100644
--- a/tests/quick/se/00.hello/ref/mips/linux/o3-timing/config.ini
+++ b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/config.ini
@@ -173,7 +173,7 @@ useIndirect=true
[system.cpu.dcache]
type=Cache
children=tags
-addr_ranges=0:18446744073709551615
+addr_ranges=0:18446744073709551615:0:0:0:0
assoc=2
clk_domain=system.cpu_clk_domain
clusivity=mostly_incl
@@ -531,7 +531,7 @@ pipelined=false
[system.cpu.icache]
type=Cache
children=tags
-addr_ranges=0:18446744073709551615
+addr_ranges=0:18446744073709551615:0:0:0:0
assoc=2
clk_domain=system.cpu_clk_domain
clusivity=mostly_incl
@@ -593,7 +593,7 @@ size=64
[system.cpu.l2cache]
type=Cache
children=tags
-addr_ranges=0:18446744073709551615
+addr_ranges=0:18446744073709551615:0:0:0:0
assoc=8
clk_domain=system.cpu_clk_domain
clusivity=mostly_incl
@@ -710,6 +710,7 @@ transition_latency=100000000
[system.membus]
type=CoherentXBar
+children=snoop_filter
clk_domain=system.clk_domain
default_p_state=UNDEFINED
eventq_index=0
@@ -721,7 +722,7 @@ p_state_clk_gate_min=1000
point_of_coherency=true
power_model=Null
response_latency=2
-snoop_filter=Null
+snoop_filter=system.membus.snoop_filter
snoop_response_latency=4
system=system
use_default_range=false
@@ -729,29 +730,36 @@ width=16
master=system.physmem.port
slave=system.system_port system.cpu.l2cache.mem_side
+[system.membus.snoop_filter]
+type=SnoopFilter
+eventq_index=0
+lookup_latency=1
+max_capacity=8388608
+system=system
+
[system.physmem]
type=DRAMCtrl
-IDD0=0.075000
+IDD0=0.055000
IDD02=0.000000
-IDD2N=0.050000
+IDD2N=0.032000
IDD2N2=0.000000
IDD2P0=0.000000
IDD2P02=0.000000
-IDD2P1=0.000000
+IDD2P1=0.032000
IDD2P12=0.000000
-IDD3N=0.057000
+IDD3N=0.038000
IDD3N2=0.000000
IDD3P0=0.000000
IDD3P02=0.000000
-IDD3P1=0.000000
+IDD3P1=0.038000
IDD3P12=0.000000
-IDD4R=0.187000
+IDD4R=0.157000
IDD4R2=0.000000
-IDD4W=0.165000
+IDD4W=0.125000
IDD4W2=0.000000
-IDD5=0.220000
+IDD5=0.235000
IDD52=0.000000
-IDD6=0.000000
+IDD6=0.020000
IDD62=0.000000
VDD=1.500000
VDD2=0.000000
@@ -771,6 +779,7 @@ devices_per_rank=8
dll=true
eventq_index=0
in_addr_map=true
+kvm_map=true
max_accesses_per_row=16
mem_sched_policy=frfcfs
min_writes_per_switch=16
@@ -780,7 +789,7 @@ p_state_clk_gate_max=1000000000000
p_state_clk_gate_min=1000
page_policy=open_adaptive
power_model=Null
-range=0:134217727
+range=0:134217727:0:0:0:0
ranks_per_channel=2
read_buffer_size=32
static_backend_latency=10000
@@ -802,9 +811,9 @@ tRTW=2500
tWR=15000
tWTR=7500
tXAW=30000
-tXP=0
+tXP=6000
tXPDLL=0
-tXS=0
+tXS=270000
tXSDLL=0
write_buffer_size=64
write_high_thresh_perc=85
diff --git a/tests/quick/se/00.hello/ref/mips/linux/o3-timing/simout b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/simout
index 8c26880d3..fa28c822f 100755
--- a/tests/quick/se/00.hello/ref/mips/linux/o3-timing/simout
+++ b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/simout
@@ -3,13 +3,13 @@ Redirecting stderr to build/MIPS/tests/opt/quick/se/00.hello/mips/linux/o3-timin
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Jul 21 2016 14:23:13
-gem5 started Jul 21 2016 14:23:47
-gem5 executing on e108600-lin, pid 13281
+gem5 compiled Oct 13 2016 20:36:34
+gem5 started Oct 13 2016 20:36:59
+gem5 executing on e108600-lin, pid 36840
command line: /work/curdun01/gem5-external.hg/build/MIPS/gem5.opt -d build/MIPS/tests/opt/quick/se/00.hello/mips/linux/o3-timing -re /work/curdun01/gem5-external.hg/tests/testing/../run.py quick/se/00.hello/mips/linux/o3-timing
Global frequency set at 1000000000000 ticks per second
info: Entering event queue @ 0. Starting simulation...
info: Increasing stack size by one page.
Hello World!
-Exiting @ tick 22532000 because target called exit()
+Exiting @ tick 24405000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt
index fce732112..fb05a48a7 100644
--- a/tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt
@@ -1,19 +1,19 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 0.000023 # Number of seconds simulated
-sim_ticks 22838000 # Number of ticks simulated
-final_tick 22838000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 0.000024 # Number of seconds simulated
+sim_ticks 24405000 # Number of ticks simulated
+final_tick 24405000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 76246 # Simulator instruction rate (inst/s)
-host_op_rate 76230 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 348191953 # Simulator tick rate (ticks/s)
-host_mem_usage 252304 # Number of bytes of host memory used
-host_seconds 0.07 # Real time elapsed on the host
+host_inst_rate 101939 # Simulator instruction rate (inst/s)
+host_op_rate 101907 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 497362491 # Simulator tick rate (ticks/s)
+host_mem_usage 250452 # Number of bytes of host memory used
+host_seconds 0.05 # Real time elapsed on the host
sim_insts 4999 # Number of instructions simulated
sim_ops 4999 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
-system.physmem.pwrStateResidencyTicks::UNDEFINED 22838000 # Cumulative time (in ticks) in various power states
+system.physmem.pwrStateResidencyTicks::UNDEFINED 24405000 # Cumulative time (in ticks) in various power states
system.physmem.bytes_read::cpu.inst 21056 # Number of bytes read from this memory
system.physmem.bytes_read::cpu.data 8960 # Number of bytes read from this memory
system.physmem.bytes_read::total 30016 # Number of bytes read from this memory
@@ -22,14 +22,14 @@ system.physmem.bytes_inst_read::total 21056 # Nu
system.physmem.num_reads::cpu.inst 329 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu.data 140 # Number of read requests responded to by this memory
system.physmem.num_reads::total 469 # Number of read requests responded to by this memory
-system.physmem.bw_read::cpu.inst 921972152 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 392328575 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 1314300727 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 921972152 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 921972152 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 921972152 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 392328575 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 1314300727 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_read::cpu.inst 862774022 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.data 367137882 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 1229911903 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu.inst 862774022 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 862774022 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total::cpu.inst 862774022 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.data 367137882 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 1229911903 # Total bandwidth to/from this memory (bytes/s)
system.physmem.readReqs 469 # Number of read requests accepted
system.physmem.writeReqs 0 # Number of write requests accepted
system.physmem.readBursts 469 # Number of DRAM read bursts, including those serviced by the write queue
@@ -76,7 +76,7 @@ system.physmem.perBankWrBursts::14 0 # Pe
system.physmem.perBankWrBursts::15 0 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 22751500 # Total gap between requests
+system.physmem.totGap 24305500 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 0 # Read request sizes (log2)
@@ -91,11 +91,11 @@ system.physmem.writePktSize::3 0 # Wr
system.physmem.writePktSize::4 0 # Write request sizes (log2)
system.physmem.writePktSize::5 0 # Write request sizes (log2)
system.physmem.writePktSize::6 0 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 276 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 130 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::2 41 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::0 270 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::1 135 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::2 40 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::3 17 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::4 5 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::4 7 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::5 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::6 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::7 0 # What read queue length does an incoming req see
@@ -187,83 +187,94 @@ system.physmem.wrQLenPdf::60 0 # Wh
system.physmem.wrQLenPdf::61 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::62 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.physmem.bytesPerActivate::samples 105 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::mean 259.047619 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 178.738362 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 250.145511 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 30 28.57% 28.57% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 33 31.43% 60.00% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::256-383 19 18.10% 78.10% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::384-511 9 8.57% 86.67% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::512-639 4 3.81% 90.48% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::640-767 2 1.90% 92.38% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::768-895 2 1.90% 94.29% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::1024-1151 6 5.71% 100.00% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::total 105 # Bytes accessed per row activation
-system.physmem.totQLat 4619250 # Total ticks spent queuing
-system.physmem.totMemAccLat 13413000 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.bytesPerActivate::samples 114 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::mean 261.614035 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::gmean 175.762153 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::stdev 255.654479 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::0-127 36 31.58% 31.58% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::128-255 34 29.82% 61.40% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::256-383 19 16.67% 78.07% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::384-511 8 7.02% 85.09% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::512-639 4 3.51% 88.60% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::640-767 2 1.75% 90.35% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::768-895 4 3.51% 93.86% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::896-1023 3 2.63% 96.49% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::1024-1151 4 3.51% 100.00% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::total 114 # Bytes accessed per row activation
+system.physmem.totQLat 7578250 # Total ticks spent queuing
+system.physmem.totMemAccLat 16372000 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 2345000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 9849.15 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 16158.32 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 28599.15 # Average memory access latency per DRAM burst
-system.physmem.avgRdBW 1314.30 # Average DRAM read bandwidth in MiByte/s
+system.physmem.avgMemAccLat 34908.32 # Average memory access latency per DRAM burst
+system.physmem.avgRdBW 1229.91 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 1314.30 # Average system read bandwidth in MiByte/s
+system.physmem.avgRdBWSys 1229.91 # Average system read bandwidth in MiByte/s
system.physmem.avgWrBWSys 0.00 # Average system write bandwidth in MiByte/s
system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.physmem.busUtil 10.27 # Data bus utilization in percentage
-system.physmem.busUtilRead 10.27 # Data bus utilization in percentage for reads
+system.physmem.busUtil 9.61 # Data bus utilization in percentage
+system.physmem.busUtilRead 9.61 # Data bus utilization in percentage for reads
system.physmem.busUtilWrite 0.00 # Data bus utilization in percentage for writes
-system.physmem.avgRdQLen 1.73 # Average read queue length when enqueuing
+system.physmem.avgRdQLen 1.76 # Average read queue length when enqueuing
system.physmem.avgWrQLen 0.00 # Average write queue length when enqueuing
-system.physmem.readRowHits 353 # Number of row buffer hits during reads
+system.physmem.readRowHits 352 # Number of row buffer hits during reads
system.physmem.writeRowHits 0 # Number of row buffer hits during writes
-system.physmem.readRowHitRate 75.27 # Row buffer hit rate for reads
+system.physmem.readRowHitRate 75.05 # Row buffer hit rate for reads
system.physmem.writeRowHitRate nan # Row buffer hit rate for writes
-system.physmem.avgGap 48510.66 # Average gap between requests
-system.physmem.pageHitRate 75.27 # Row buffer hit rate, read and write combined
-system.physmem_0.actEnergy 128520 # Energy for activate commands per rank (pJ)
-system.physmem_0.preEnergy 70125 # Energy for precharge commands per rank (pJ)
-system.physmem_0.readEnergy 514800 # Energy for read commands per rank (pJ)
+system.physmem.avgGap 51824.09 # Average gap between requests
+system.physmem.pageHitRate 75.05 # Row buffer hit rate, read and write combined
+system.physmem_0.actEnergy 192780 # Energy for activate commands per rank (pJ)
+system.physmem_0.preEnergy 98670 # Energy for precharge commands per rank (pJ)
+system.physmem_0.readEnergy 756840 # Energy for read commands per rank (pJ)
system.physmem_0.writeEnergy 0 # Energy for write commands per rank (pJ)
-system.physmem_0.refreshEnergy 1017120 # Energy for refresh commands per rank (pJ)
-system.physmem_0.actBackEnergy 9522135 # Energy for active background per rank (pJ)
-system.physmem_0.preBackEnergy 1146750 # Energy for precharge background per rank (pJ)
-system.physmem_0.totalEnergy 12399450 # Total energy per rank (pJ)
-system.physmem_0.averagePower 783.164377 # Core power per rank (mW)
-system.physmem_0.memoryStateTime::IDLE 1868000 # Time in different power states
-system.physmem_0.memoryStateTime::REF 520000 # Time in different power states
-system.physmem_0.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem_0.memoryStateTime::ACT 13458250 # Time in different power states
-system.physmem_0.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.physmem_1.actEnergy 521640 # Energy for activate commands per rank (pJ)
-system.physmem_1.preEnergy 284625 # Energy for precharge commands per rank (pJ)
-system.physmem_1.readEnergy 2168400 # Energy for read commands per rank (pJ)
+system.physmem_0.refreshEnergy 1843920.000000 # Energy for refresh commands per rank (pJ)
+system.physmem_0.actBackEnergy 1602840 # Energy for active background per rank (pJ)
+system.physmem_0.preBackEnergy 46080 # Energy for precharge background per rank (pJ)
+system.physmem_0.actPowerDownEnergy 8339100 # Energy for active power-down per rank (pJ)
+system.physmem_0.prePowerDownEnergy 953280 # Energy for precharge power-down per rank (pJ)
+system.physmem_0.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
+system.physmem_0.totalEnergy 13833510 # Total energy per rank (pJ)
+system.physmem_0.averagePower 566.830977 # Core power per rank (mW)
+system.physmem_0.totalIdleTime 20709000 # Total Idle time Per DRAM Rank
+system.physmem_0.memoryStateTime::IDLE 23500 # Time in different power states
+system.physmem_0.memoryStateTime::REF 780000 # Time in different power states
+system.physmem_0.memoryStateTime::SREF 0 # Time in different power states
+system.physmem_0.memoryStateTime::PRE_PDN 2481750 # Time in different power states
+system.physmem_0.memoryStateTime::ACT 2828750 # Time in different power states
+system.physmem_0.memoryStateTime::ACT_PDN 18291000 # Time in different power states
+system.physmem_1.actEnergy 642600 # Energy for activate commands per rank (pJ)
+system.physmem_1.preEnergy 333960 # Energy for precharge commands per rank (pJ)
+system.physmem_1.readEnergy 2591820 # Energy for read commands per rank (pJ)
system.physmem_1.writeEnergy 0 # Energy for write commands per rank (pJ)
-system.physmem_1.refreshEnergy 1017120 # Energy for refresh commands per rank (pJ)
-system.physmem_1.actBackEnergy 10729395 # Energy for active background per rank (pJ)
-system.physmem_1.preBackEnergy 87750 # Energy for precharge background per rank (pJ)
-system.physmem_1.totalEnergy 14808930 # Total energy per rank (pJ)
-system.physmem_1.averagePower 935.350071 # Core power per rank (mW)
-system.physmem_1.memoryStateTime::IDLE 200750 # Time in different power states
-system.physmem_1.memoryStateTime::REF 520000 # Time in different power states
-system.physmem_1.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem_1.memoryStateTime::ACT 15221750 # Time in different power states
-system.physmem_1.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.pwrStateResidencyTicks::UNDEFINED 22838000 # Cumulative time (in ticks) in various power states
-system.cpu.branchPred.lookups 2189 # Number of BP lookups
-system.cpu.branchPred.condPredicted 1457 # Number of conditional branches predicted
-system.cpu.branchPred.condIncorrect 425 # Number of conditional branches incorrect
+system.physmem_1.refreshEnergy 1843920.000000 # Energy for refresh commands per rank (pJ)
+system.physmem_1.actBackEnergy 4214580 # Energy for active background per rank (pJ)
+system.physmem_1.preBackEnergy 89760 # Energy for precharge background per rank (pJ)
+system.physmem_1.actPowerDownEnergy 6593190 # Energy for active power-down per rank (pJ)
+system.physmem_1.prePowerDownEnergy 180480 # Energy for precharge power-down per rank (pJ)
+system.physmem_1.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
+system.physmem_1.totalEnergy 16490310 # Total energy per rank (pJ)
+system.physmem_1.averagePower 675.693915 # Core power per rank (mW)
+system.physmem_1.totalIdleTime 14889250 # Total Idle time Per DRAM Rank
+system.physmem_1.memoryStateTime::IDLE 122500 # Time in different power states
+system.physmem_1.memoryStateTime::REF 780000 # Time in different power states
+system.physmem_1.memoryStateTime::SREF 0 # Time in different power states
+system.physmem_1.memoryStateTime::PRE_PDN 470250 # Time in different power states
+system.physmem_1.memoryStateTime::ACT 8563750 # Time in different power states
+system.physmem_1.memoryStateTime::ACT_PDN 14468500 # Time in different power states
+system.pwrStateResidencyTicks::UNDEFINED 24405000 # Cumulative time (in ticks) in various power states
+system.cpu.branchPred.lookups 2188 # Number of BP lookups
+system.cpu.branchPred.condPredicted 1456 # Number of conditional branches predicted
+system.cpu.branchPred.condIncorrect 424 # Number of conditional branches incorrect
system.cpu.branchPred.BTBLookups 1784 # Number of BTB lookups
system.cpu.branchPred.BTBHits 587 # Number of BTB hits
system.cpu.branchPred.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
system.cpu.branchPred.BTBHitPct 32.903587 # BTB Hit Percentage
-system.cpu.branchPred.usedRAS 251 # Number of times the RAS was used to get a target.
+system.cpu.branchPred.usedRAS 252 # Number of times the RAS was used to get a target.
system.cpu.branchPred.RASInCorrect 70 # Number of incorrect RAS predictions.
system.cpu.branchPred.indirectLookups 270 # Number of indirect predictor lookups.
system.cpu.branchPred.indirectHits 2 # Number of indirect target hits.
system.cpu.branchPred.indirectMisses 268 # Number of indirect misses.
-system.cpu.branchPredindirectMispredicted 96 # Number of mispredicted indirect branches.
+system.cpu.branchPredindirectMispredicted 95 # Number of mispredicted indirect branches.
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.dtb.read_hits 0 # DTB read hits
system.cpu.dtb.read_misses 0 # DTB read misses
@@ -284,235 +295,235 @@ system.cpu.itb.hits 0 # DT
system.cpu.itb.misses 0 # DTB misses
system.cpu.itb.accesses 0 # DTB accesses
system.cpu.workload.num_syscalls 7 # Number of system calls
-system.cpu.pwrStateResidencyTicks::ON 22838000 # Cumulative time (in ticks) in various power states
-system.cpu.numCycles 45677 # number of cpu cycles simulated
+system.cpu.pwrStateResidencyTicks::ON 24405000 # Cumulative time (in ticks) in various power states
+system.cpu.numCycles 48811 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.fetch.icacheStallCycles 9081 # Number of cycles fetch is stalled on an Icache miss
-system.cpu.fetch.Insts 13012 # Number of instructions fetch has processed
-system.cpu.fetch.Branches 2189 # Number of branches that fetch encountered
-system.cpu.fetch.predictedBranches 840 # Number of branches that fetch has predicted taken
-system.cpu.fetch.Cycles 4774 # Number of cycles fetch has run and was not squashing or blocked
-system.cpu.fetch.SquashCycles 870 # Number of cycles fetch has spent squashing
+system.cpu.fetch.icacheStallCycles 9089 # Number of cycles fetch is stalled on an Icache miss
+system.cpu.fetch.Insts 13001 # Number of instructions fetch has processed
+system.cpu.fetch.Branches 2188 # Number of branches that fetch encountered
+system.cpu.fetch.predictedBranches 841 # Number of branches that fetch has predicted taken
+system.cpu.fetch.Cycles 5447 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu.fetch.SquashCycles 868 # Number of cycles fetch has spent squashing
system.cpu.fetch.PendingTrapStallCycles 205 # Number of stall cycles due to pending traps
system.cpu.fetch.CacheLines 2050 # Number of cache lines fetched
system.cpu.fetch.IcacheSquashes 263 # Number of outstanding Icache misses that were squashed
-system.cpu.fetch.rateDist::samples 14495 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::mean 0.897689 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::stdev 2.187204 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::samples 15175 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::mean 0.856738 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::stdev 2.144886 # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::0 11134 76.81% 76.81% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::1 1506 10.39% 87.20% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::2 111 0.77% 87.97% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::3 162 1.12% 89.09% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::4 279 1.92% 91.01% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::5 100 0.69% 91.70% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::6 142 0.98% 92.68% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::7 158 1.09% 93.77% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::8 903 6.23% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::0 11815 77.86% 77.86% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::1 1507 9.93% 87.79% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::2 111 0.73% 88.52% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::3 162 1.07% 89.59% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::4 279 1.84% 91.43% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::5 100 0.66% 92.09% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::6 140 0.92% 93.01% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::7 158 1.04% 94.05% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::8 903 5.95% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::total 14495 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.branchRate 0.047923 # Number of branch fetches per cycle
-system.cpu.fetch.rate 0.284870 # Number of inst fetches per cycle
-system.cpu.decode.IdleCycles 8449 # Number of cycles decode is idle
-system.cpu.decode.BlockedCycles 2734 # Number of cycles decode is blocked
-system.cpu.decode.RunCycles 2777 # Number of cycles decode is running
-system.cpu.decode.UnblockCycles 140 # Number of cycles decode is unblocking
-system.cpu.decode.SquashCycles 395 # Number of cycles decode is squashing
+system.cpu.fetch.rateDist::total 15175 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.branchRate 0.044826 # Number of branch fetches per cycle
+system.cpu.fetch.rate 0.266354 # Number of inst fetches per cycle
+system.cpu.decode.IdleCycles 8420 # Number of cycles decode is idle
+system.cpu.decode.BlockedCycles 3451 # Number of cycles decode is blocked
+system.cpu.decode.RunCycles 2768 # Number of cycles decode is running
+system.cpu.decode.UnblockCycles 142 # Number of cycles decode is unblocking
+system.cpu.decode.SquashCycles 394 # Number of cycles decode is squashing
system.cpu.decode.BranchResolved 183 # Number of times decode resolved a branch
system.cpu.decode.BranchMispred 40 # Number of times decode detected a branch misprediction
-system.cpu.decode.DecodedInsts 12026 # Number of instructions handled by decode
+system.cpu.decode.DecodedInsts 12000 # Number of instructions handled by decode
system.cpu.decode.SquashedInsts 160 # Number of squashed instructions handled by decode
-system.cpu.rename.SquashCycles 395 # Number of cycles rename is squashing
-system.cpu.rename.IdleCycles 8600 # Number of cycles rename is idle
-system.cpu.rename.BlockCycles 597 # Number of cycles rename is blocking
-system.cpu.rename.serializeStallCycles 983 # count of cycles rename stalled for serializing inst
-system.cpu.rename.RunCycles 2748 # Number of cycles rename is running
-system.cpu.rename.UnblockCycles 1172 # Number of cycles rename is unblocking
-system.cpu.rename.RenamedInsts 11590 # Number of instructions processed by rename
+system.cpu.rename.SquashCycles 394 # Number of cycles rename is squashing
+system.cpu.rename.IdleCycles 8571 # Number of cycles rename is idle
+system.cpu.rename.BlockCycles 621 # Number of cycles rename is blocking
+system.cpu.rename.serializeStallCycles 1023 # count of cycles rename stalled for serializing inst
+system.cpu.rename.RunCycles 2740 # Number of cycles rename is running
+system.cpu.rename.UnblockCycles 1826 # Number of cycles rename is unblocking
+system.cpu.rename.RenamedInsts 11562 # Number of instructions processed by rename
system.cpu.rename.ROBFullEvents 5 # Number of times rename has blocked due to ROB full
system.cpu.rename.IQFullEvents 4 # Number of times rename has blocked due to IQ full
-system.cpu.rename.LQFullEvents 177 # Number of times rename has blocked due to LQ full
-system.cpu.rename.SQFullEvents 968 # Number of times rename has blocked due to SQ full
-system.cpu.rename.RenamedOperands 6955 # Number of destination operands rename has renamed
-system.cpu.rename.RenameLookups 13597 # Number of register rename lookups that rename has made
-system.cpu.rename.int_rename_lookups 13364 # Number of integer rename lookups
+system.cpu.rename.LQFullEvents 193 # Number of times rename has blocked due to LQ full
+system.cpu.rename.SQFullEvents 1606 # Number of times rename has blocked due to SQ full
+system.cpu.rename.RenamedOperands 6927 # Number of destination operands rename has renamed
+system.cpu.rename.RenameLookups 13556 # Number of register rename lookups that rename has made
+system.cpu.rename.int_rename_lookups 13323 # Number of integer rename lookups
system.cpu.rename.fp_rename_lookups 3 # Number of floating rename lookups
system.cpu.rename.CommittedMaps 3292 # Number of HB maps that are committed
-system.cpu.rename.UndoneMaps 3663 # Number of HB maps that are undone due to squashing
+system.cpu.rename.UndoneMaps 3635 # Number of HB maps that are undone due to squashing
system.cpu.rename.serializingInsts 13 # count of serializing insts renamed
system.cpu.rename.tempSerializingInsts 9 # count of temporary serializing insts renamed
-system.cpu.rename.skidInsts 307 # count of insts added to the skid buffer
-system.cpu.memDep0.insertedLoads 2472 # Number of loads inserted to the mem dependence unit.
-system.cpu.memDep0.insertedStores 1158 # Number of stores inserted to the mem dependence unit.
+system.cpu.rename.skidInsts 323 # count of insts added to the skid buffer
+system.cpu.memDep0.insertedLoads 2470 # Number of loads inserted to the mem dependence unit.
+system.cpu.memDep0.insertedStores 1160 # Number of stores inserted to the mem dependence unit.
system.cpu.memDep0.conflictingLoads 6 # Number of conflicting loads.
system.cpu.memDep0.conflictingStores 2 # Number of conflicting stores.
-system.cpu.iq.iqInstsAdded 9034 # Number of instructions added to the IQ (excludes non-spec)
-system.cpu.iq.iqNonSpecInstsAdded 10 # Number of non-speculative instructions added to the IQ
-system.cpu.iq.iqInstsIssued 8125 # Number of instructions issued
+system.cpu.iq.iqInstsAdded 9019 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu.iq.iqNonSpecInstsAdded 11 # Number of non-speculative instructions added to the IQ
+system.cpu.iq.iqInstsIssued 8119 # Number of instructions issued
system.cpu.iq.iqSquashedInstsIssued 19 # Number of squashed instructions issued
-system.cpu.iq.iqSquashedInstsExamined 4044 # Number of squashed instructions iterated over during squash; mainly for profiling
-system.cpu.iq.iqSquashedOperandsExamined 2026 # Number of squashed operands that are examined and possibly removed from graph
-system.cpu.iq.iqSquashedNonSpecRemoved 1 # Number of squashed non-spec instructions that were removed
-system.cpu.iq.issued_per_cycle::samples 14495 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::mean 0.560538 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::stdev 1.289389 # Number of insts issued each cycle
+system.cpu.iq.iqSquashedInstsExamined 4030 # Number of squashed instructions iterated over during squash; mainly for profiling
+system.cpu.iq.iqSquashedOperandsExamined 2019 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu.iq.iqSquashedNonSpecRemoved 2 # Number of squashed non-spec instructions that were removed
+system.cpu.iq.issued_per_cycle::samples 15175 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::mean 0.535025 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::stdev 1.265920 # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::0 11167 77.04% 77.04% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::1 1340 9.24% 86.28% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::2 721 4.97% 91.26% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::3 460 3.17% 94.43% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::4 343 2.37% 96.80% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::5 283 1.95% 98.75% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::6 110 0.76% 99.51% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::7 52 0.36% 99.87% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::0 11852 78.10% 78.10% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::1 1334 8.79% 86.89% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::2 728 4.80% 91.69% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::3 454 2.99% 94.68% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::4 341 2.25% 96.93% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::5 284 1.87% 98.80% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::6 110 0.72% 99.53% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::7 53 0.35% 99.87% # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::8 19 0.13% 100.00% # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::total 14495 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::total 15175 # Number of insts issued each cycle
system.cpu.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntAlu 7 3.87% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntMult 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntDiv 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatAdd 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatCmp 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatCvt 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatMult 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatDiv 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatSqrt 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAdd 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAlu 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdCmp 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdCvt 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMisc 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMult 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdShift 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdSqrt 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 3.87% # attempts to use FU when none available
-system.cpu.iq.fu_full::MemRead 117 64.64% 68.51% # attempts to use FU when none available
-system.cpu.iq.fu_full::MemWrite 57 31.49% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntAlu 6 3.33% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntMult 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntDiv 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatAdd 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCmp 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCvt 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatMult 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatDiv 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatSqrt 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAdd 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAlu 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCmp 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCvt 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMisc 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMult 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShift 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdSqrt 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 3.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemRead 117 65.00% 68.33% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemWrite 57 31.67% 100.00% # attempts to use FU when none available
system.cpu.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
system.cpu.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
system.cpu.iq.FU_type_0::No_OpClass 0 0.00% 0.00% # Type of FU issued
-system.cpu.iq.FU_type_0::IntAlu 4784 58.88% 58.88% # Type of FU issued
-system.cpu.iq.FU_type_0::IntMult 4 0.05% 58.93% # Type of FU issued
-system.cpu.iq.FU_type_0::IntDiv 1 0.01% 58.94% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatAdd 2 0.02% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatMult 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMult 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdShift 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 58.97% # Type of FU issued
-system.cpu.iq.FU_type_0::MemRead 2273 27.98% 86.94% # Type of FU issued
-system.cpu.iq.FU_type_0::MemWrite 1061 13.06% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IntAlu 4775 58.81% 58.81% # Type of FU issued
+system.cpu.iq.FU_type_0::IntMult 4 0.05% 58.86% # Type of FU issued
+system.cpu.iq.FU_type_0::IntDiv 1 0.01% 58.87% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatAdd 2 0.02% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatMult 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMult 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShift 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 58.90% # Type of FU issued
+system.cpu.iq.FU_type_0::MemRead 2274 28.01% 86.91% # Type of FU issued
+system.cpu.iq.FU_type_0::MemWrite 1063 13.09% 100.00% # Type of FU issued
system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
-system.cpu.iq.FU_type_0::total 8125 # Type of FU issued
-system.cpu.iq.rate 0.177879 # Inst issue rate
-system.cpu.iq.fu_busy_cnt 181 # FU busy when requested
-system.cpu.iq.fu_busy_rate 0.022277 # FU busy rate (busy events/executed inst)
-system.cpu.iq.int_inst_queue_reads 30941 # Number of integer instruction queue reads
-system.cpu.iq.int_inst_queue_writes 13095 # Number of integer instruction queue writes
-system.cpu.iq.int_inst_queue_wakeup_accesses 7347 # Number of integer instruction queue wakeup accesses
+system.cpu.iq.FU_type_0::total 8119 # Type of FU issued
+system.cpu.iq.rate 0.166335 # Inst issue rate
+system.cpu.iq.fu_busy_cnt 180 # FU busy when requested
+system.cpu.iq.fu_busy_rate 0.022170 # FU busy rate (busy events/executed inst)
+system.cpu.iq.int_inst_queue_reads 31608 # Number of integer instruction queue reads
+system.cpu.iq.int_inst_queue_writes 13067 # Number of integer instruction queue writes
+system.cpu.iq.int_inst_queue_wakeup_accesses 7338 # Number of integer instruction queue wakeup accesses
system.cpu.iq.fp_inst_queue_reads 4 # Number of floating instruction queue reads
system.cpu.iq.fp_inst_queue_writes 2 # Number of floating instruction queue writes
system.cpu.iq.fp_inst_queue_wakeup_accesses 2 # Number of floating instruction queue wakeup accesses
-system.cpu.iq.int_alu_accesses 8304 # Number of integer alu accesses
+system.cpu.iq.int_alu_accesses 8297 # Number of integer alu accesses
system.cpu.iq.fp_alu_accesses 2 # Number of floating point alu accesses
system.cpu.iew.lsq.thread0.forwLoads 78 # Number of loads that had data forwarded from stores
system.cpu.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
-system.cpu.iew.lsq.thread0.squashedLoads 1337 # Number of loads squashed
+system.cpu.iew.lsq.thread0.squashedLoads 1335 # Number of loads squashed
system.cpu.iew.lsq.thread0.ignoredResponses 5 # Number of memory responses ignored because the instruction is squashed
system.cpu.iew.lsq.thread0.memOrderViolation 10 # Number of memory ordering violations
-system.cpu.iew.lsq.thread0.squashedStores 257 # Number of stores squashed
+system.cpu.iew.lsq.thread0.squashedStores 259 # Number of stores squashed
system.cpu.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
system.cpu.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
system.cpu.iew.lsq.thread0.rescheduledLoads 0 # Number of loads that were rescheduled
system.cpu.iew.lsq.thread0.cacheBlocked 25 # Number of times an access to memory failed due to the cache being blocked
system.cpu.iew.iewIdleCycles 0 # Number of cycles IEW is idle
-system.cpu.iew.iewSquashCycles 395 # Number of cycles IEW is squashing
+system.cpu.iew.iewSquashCycles 394 # Number of cycles IEW is squashing
system.cpu.iew.iewBlockCycles 491 # Number of cycles IEW is blocking
-system.cpu.iew.iewUnblockCycles 59 # Number of cycles IEW is unblocking
-system.cpu.iew.iewDispatchedInsts 10646 # Number of instructions dispatched to IQ
-system.cpu.iew.iewDispSquashedInsts 156 # Number of squashed instructions skipped by dispatch
-system.cpu.iew.iewDispLoadInsts 2472 # Number of dispatched load instructions
-system.cpu.iew.iewDispStoreInsts 1158 # Number of dispatched store instructions
-system.cpu.iew.iewDispNonSpecInsts 10 # Number of dispatched non-speculative instructions
+system.cpu.iew.iewUnblockCycles 74 # Number of cycles IEW is unblocking
+system.cpu.iew.iewDispatchedInsts 10629 # Number of instructions dispatched to IQ
+system.cpu.iew.iewDispSquashedInsts 154 # Number of squashed instructions skipped by dispatch
+system.cpu.iew.iewDispLoadInsts 2470 # Number of dispatched load instructions
+system.cpu.iew.iewDispStoreInsts 1160 # Number of dispatched store instructions
+system.cpu.iew.iewDispNonSpecInsts 11 # Number of dispatched non-speculative instructions
system.cpu.iew.iewIQFullEvents 0 # Number of times the IQ has become full, causing a stall
-system.cpu.iew.iewLSQFullEvents 59 # Number of times the LSQ has become full, causing a stall
+system.cpu.iew.iewLSQFullEvents 75 # Number of times the LSQ has become full, causing a stall
system.cpu.iew.memOrderViolationEvents 10 # Number of memory order violations
system.cpu.iew.predictedTakenIncorrect 101 # Number of branches that were predicted taken incorrectly
-system.cpu.iew.predictedNotTakenIncorrect 339 # Number of branches that were predicted not taken incorrectly
-system.cpu.iew.branchMispredicts 440 # Number of branch mispredicts detected at execute
-system.cpu.iew.iewExecutedInsts 7799 # Number of executed instructions
+system.cpu.iew.predictedNotTakenIncorrect 338 # Number of branches that were predicted not taken incorrectly
+system.cpu.iew.branchMispredicts 439 # Number of branch mispredicts detected at execute
+system.cpu.iew.iewExecutedInsts 7792 # Number of executed instructions
system.cpu.iew.iewExecLoadInsts 2130 # Number of load instructions executed
-system.cpu.iew.iewExecSquashedInsts 326 # Number of squashed instructions skipped in execute
+system.cpu.iew.iewExecSquashedInsts 327 # Number of squashed instructions skipped in execute
system.cpu.iew.exec_swp 0 # number of swp insts executed
-system.cpu.iew.exec_nop 1602 # number of nop insts executed
+system.cpu.iew.exec_nop 1599 # number of nop insts executed
system.cpu.iew.exec_refs 3179 # number of memory reference insts executed
-system.cpu.iew.exec_branches 1368 # Number of branches executed
+system.cpu.iew.exec_branches 1364 # Number of branches executed
system.cpu.iew.exec_stores 1049 # Number of stores executed
-system.cpu.iew.exec_rate 0.170742 # Inst execution rate
-system.cpu.iew.wb_sent 7444 # cumulative count of insts sent to commit
-system.cpu.iew.wb_count 7349 # cumulative count of insts written-back
-system.cpu.iew.wb_producers 2873 # num instructions producing a value
-system.cpu.iew.wb_consumers 4285 # num instructions consuming a value
-system.cpu.iew.wb_rate 0.160891 # insts written-back per cycle
-system.cpu.iew.wb_fanout 0.670478 # average fanout of values written-back
-system.cpu.commit.commitSquashedInsts 4999 # The number of squashed insts skipped by commit
+system.cpu.iew.exec_rate 0.159636 # Inst execution rate
+system.cpu.iew.wb_sent 7433 # cumulative count of insts sent to commit
+system.cpu.iew.wb_count 7340 # cumulative count of insts written-back
+system.cpu.iew.wb_producers 2867 # num instructions producing a value
+system.cpu.iew.wb_consumers 4275 # num instructions consuming a value
+system.cpu.iew.wb_rate 0.150376 # insts written-back per cycle
+system.cpu.iew.wb_fanout 0.670643 # average fanout of values written-back
+system.cpu.commit.commitSquashedInsts 4990 # The number of squashed insts skipped by commit
system.cpu.commit.commitNonSpecStalls 9 # The number of times commit has been forced to stall to communicate backwards
-system.cpu.commit.branchMispredicts 385 # The number of times a branch was mispredicted
-system.cpu.commit.committed_per_cycle::samples 13612 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::mean 0.414340 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::stdev 1.226502 # Number of insts commited each cycle
+system.cpu.commit.branchMispredicts 384 # The number of times a branch was mispredicted
+system.cpu.commit.committed_per_cycle::samples 14293 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::mean 0.394599 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::stdev 1.198950 # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::0 11422 83.91% 83.91% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::1 884 6.49% 90.41% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::2 520 3.82% 94.23% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::3 254 1.87% 96.09% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::4 161 1.18% 97.27% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::5 165 1.21% 98.49% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::6 61 0.45% 98.93% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::7 41 0.30% 99.24% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::8 104 0.76% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::0 12101 84.66% 84.66% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::1 885 6.19% 90.86% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::2 521 3.65% 94.50% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::3 254 1.78% 96.28% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::4 160 1.12% 97.40% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::5 166 1.16% 98.56% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::6 63 0.44% 99.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::7 41 0.29% 99.29% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::8 102 0.71% 100.00% # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::total 13612 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::total 14293 # Number of insts commited each cycle
system.cpu.commit.committedInsts 5640 # Number of instructions committed
system.cpu.commit.committedOps 5640 # Number of ops (including micro ops) committed
system.cpu.commit.swp_count 0 # Number of s/w prefetches committed
@@ -558,63 +569,63 @@ system.cpu.commit.op_class_0::MemWrite 901 15.98% 100.00% # Cl
system.cpu.commit.op_class_0::IprAccess 0 0.00% 100.00% # Class of committed instruction
system.cpu.commit.op_class_0::InstPrefetch 0 0.00% 100.00% # Class of committed instruction
system.cpu.commit.op_class_0::total 5640 # Class of committed instruction
-system.cpu.commit.bw_lim_events 104 # number cycles where commit BW limit reached
-system.cpu.rob.rob_reads 24134 # The number of ROB reads
-system.cpu.rob.rob_writes 22169 # The number of ROB writes
+system.cpu.commit.bw_lim_events 102 # number cycles where commit BW limit reached
+system.cpu.rob.rob_reads 24808 # The number of ROB reads
+system.cpu.rob.rob_writes 22150 # The number of ROB writes
system.cpu.timesIdled 264 # Number of times that the entire CPU went into an idle state and unscheduled itself
-system.cpu.idleCycles 31182 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu.idleCycles 33636 # Total number of cycles that the CPU has spent unscheduled due to idling
system.cpu.committedInsts 4999 # Number of Instructions Simulated
system.cpu.committedOps 4999 # Number of Ops (including micro ops) Simulated
-system.cpu.cpi 9.137227 # CPI: Cycles Per Instruction
-system.cpu.cpi_total 9.137227 # CPI: Total CPI of All Threads
-system.cpu.ipc 0.109442 # IPC: Instructions Per Cycle
-system.cpu.ipc_total 0.109442 # IPC: Total IPC of All Threads
-system.cpu.int_regfile_reads 10569 # number of integer regfile reads
-system.cpu.int_regfile_writes 5149 # number of integer regfile writes
+system.cpu.cpi 9.764153 # CPI: Cycles Per Instruction
+system.cpu.cpi_total 9.764153 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.102415 # IPC: Instructions Per Cycle
+system.cpu.ipc_total 0.102415 # IPC: Total IPC of All Threads
+system.cpu.int_regfile_reads 10563 # number of integer regfile reads
+system.cpu.int_regfile_writes 5141 # number of integer regfile writes
system.cpu.fp_regfile_reads 3 # number of floating regfile reads
system.cpu.fp_regfile_writes 1 # number of floating regfile writes
-system.cpu.misc_regfile_reads 160 # number of misc regfile reads
-system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 22838000 # Cumulative time (in ticks) in various power states
+system.cpu.misc_regfile_reads 161 # number of misc regfile reads
+system.cpu.dcache.tags.pwrStateResidencyTicks::UNDEFINED 24405000 # Cumulative time (in ticks) in various power states
system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 90.737808 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 2395 # Total number of references to valid blocks.
+system.cpu.dcache.tags.tagsinuse 91.114118 # Cycle average of tags in use
+system.cpu.dcache.tags.total_refs 2396 # Total number of references to valid blocks.
system.cpu.dcache.tags.sampled_refs 140 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 17.107143 # Average number of references to valid blocks.
+system.cpu.dcache.tags.avg_refs 17.114286 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 90.737808 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.data 0.022153 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.022153 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_blocks::cpu.data 91.114118 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_percent::cpu.data 0.022245 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_percent::total 0.022245 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 140 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::0 34 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 106 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::0 31 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::1 109 # Occupied blocks per task id
system.cpu.dcache.tags.occ_task_id_percent::1024 0.034180 # Percentage of cache occupancy per task id
system.cpu.dcache.tags.tag_accesses 5954 # Number of tag accesses
system.cpu.dcache.tags.data_accesses 5954 # Number of data accesses
-system.cpu.dcache.pwrStateResidencyTicks::UNDEFINED 22838000 # Cumulative time (in ticks) in various power states
+system.cpu.dcache.pwrStateResidencyTicks::UNDEFINED 24405000 # Cumulative time (in ticks) in various power states
system.cpu.dcache.ReadReq_hits::cpu.data 1839 # number of ReadReq hits
system.cpu.dcache.ReadReq_hits::total 1839 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 556 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 556 # number of WriteReq hits
-system.cpu.dcache.demand_hits::cpu.data 2395 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 2395 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 2395 # number of overall hits
-system.cpu.dcache.overall_hits::total 2395 # number of overall hits
+system.cpu.dcache.WriteReq_hits::cpu.data 557 # number of WriteReq hits
+system.cpu.dcache.WriteReq_hits::total 557 # number of WriteReq hits
+system.cpu.dcache.demand_hits::cpu.data 2396 # number of demand (read+write) hits
+system.cpu.dcache.demand_hits::total 2396 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits::cpu.data 2396 # number of overall hits
+system.cpu.dcache.overall_hits::total 2396 # number of overall hits
system.cpu.dcache.ReadReq_misses::cpu.data 167 # number of ReadReq misses
system.cpu.dcache.ReadReq_misses::total 167 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 345 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 345 # number of WriteReq misses
-system.cpu.dcache.demand_misses::cpu.data 512 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 512 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 512 # number of overall misses
-system.cpu.dcache.overall_misses::total 512 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 12256500 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 12256500 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 24340499 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 24340499 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 36596999 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 36596999 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 36596999 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 36596999 # number of overall miss cycles
+system.cpu.dcache.WriteReq_misses::cpu.data 344 # number of WriteReq misses
+system.cpu.dcache.WriteReq_misses::total 344 # number of WriteReq misses
+system.cpu.dcache.demand_misses::cpu.data 511 # number of demand (read+write) misses
+system.cpu.dcache.demand_misses::total 511 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses::cpu.data 511 # number of overall misses
+system.cpu.dcache.overall_misses::total 511 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency::cpu.data 12711500 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 12711500 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.data 34219499 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 34219499 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 46930999 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 46930999 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 46930999 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 46930999 # number of overall miss cycles
system.cpu.dcache.ReadReq_accesses::cpu.data 2006 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.ReadReq_accesses::total 2006 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.data 901 # number of WriteReq accesses(hits+misses)
@@ -625,34 +636,34 @@ system.cpu.dcache.overall_accesses::cpu.data 2907
system.cpu.dcache.overall_accesses::total 2907 # number of overall (read+write) accesses
system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.083250 # miss rate for ReadReq accesses
system.cpu.dcache.ReadReq_miss_rate::total 0.083250 # miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.382908 # miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_miss_rate::total 0.382908 # miss rate for WriteReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.176127 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.176127 # miss rate for demand accesses
-system.cpu.dcache.overall_miss_rate::cpu.data 0.176127 # miss rate for overall accesses
-system.cpu.dcache.overall_miss_rate::total 0.176127 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 73392.215569 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 73392.215569 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 70552.171014 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 70552.171014 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 71478.513672 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 71478.513672 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 71478.513672 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 71478.513672 # average overall miss latency
-system.cpu.dcache.blocked_cycles::no_mshrs 600 # number of cycles access was blocked
+system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.381798 # miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_miss_rate::total 0.381798 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate::cpu.data 0.175783 # miss rate for demand accesses
+system.cpu.dcache.demand_miss_rate::total 0.175783 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate::cpu.data 0.175783 # miss rate for overall accesses
+system.cpu.dcache.overall_miss_rate::total 0.175783 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 76116.766467 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 76116.766467 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 99475.287791 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 99475.287791 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 91841.485323 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 91841.485323 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 91841.485323 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 91841.485323 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 636 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 10 # number of cycles access was blocked
system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_mshrs 60 # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs 63.600000 # average number of cycles each access was blocked
system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.dcache.ReadReq_mshr_hits::cpu.data 77 # number of ReadReq MSHR hits
system.cpu.dcache.ReadReq_mshr_hits::total 77 # number of ReadReq MSHR hits
-system.cpu.dcache.WriteReq_mshr_hits::cpu.data 295 # number of WriteReq MSHR hits
-system.cpu.dcache.WriteReq_mshr_hits::total 295 # number of WriteReq MSHR hits
-system.cpu.dcache.demand_mshr_hits::cpu.data 372 # number of demand (read+write) MSHR hits
-system.cpu.dcache.demand_mshr_hits::total 372 # number of demand (read+write) MSHR hits
-system.cpu.dcache.overall_mshr_hits::cpu.data 372 # number of overall MSHR hits
-system.cpu.dcache.overall_mshr_hits::total 372 # number of overall MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits::cpu.data 294 # number of WriteReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits::total 294 # number of WriteReq MSHR hits
+system.cpu.dcache.demand_mshr_hits::cpu.data 371 # number of demand (read+write) MSHR hits
+system.cpu.dcache.demand_mshr_hits::total 371 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits::cpu.data 371 # number of overall MSHR hits
+system.cpu.dcache.overall_mshr_hits::total 371 # number of overall MSHR hits
system.cpu.dcache.ReadReq_mshr_misses::cpu.data 90 # number of ReadReq MSHR misses
system.cpu.dcache.ReadReq_mshr_misses::total 90 # number of ReadReq MSHR misses
system.cpu.dcache.WriteReq_mshr_misses::cpu.data 50 # number of WriteReq MSHR misses
@@ -661,14 +672,14 @@ system.cpu.dcache.demand_mshr_misses::cpu.data 140
system.cpu.dcache.demand_mshr_misses::total 140 # number of demand (read+write) MSHR misses
system.cpu.dcache.overall_mshr_misses::cpu.data 140 # number of overall MSHR misses
system.cpu.dcache.overall_mshr_misses::total 140 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 7898000 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 7898000 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 4132999 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 4132999 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 12030999 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 12030999 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 12030999 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 12030999 # number of overall MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 8095000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 8095000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 4915999 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 4915999 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 13010999 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 13010999 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 13010999 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 13010999 # number of overall MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.044865 # mshr miss rate for ReadReq accesses
system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.044865 # mshr miss rate for ReadReq accesses
system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.055494 # mshr miss rate for WriteReq accesses
@@ -677,67 +688,67 @@ system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.048160
system.cpu.dcache.demand_mshr_miss_rate::total 0.048160 # mshr miss rate for demand accesses
system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.048160 # mshr miss rate for overall accesses
system.cpu.dcache.overall_mshr_miss_rate::total 0.048160 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 87755.555556 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 87755.555556 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 82659.980000 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 82659.980000 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 85935.707143 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 85935.707143 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 85935.707143 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 85935.707143 # average overall mshr miss latency
-system.cpu.icache.tags.pwrStateResidencyTicks::UNDEFINED 22838000 # Cumulative time (in ticks) in various power states
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 89944.444444 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 89944.444444 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 98319.980000 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 98319.980000 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 92935.707143 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 92935.707143 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 92935.707143 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 92935.707143 # average overall mshr miss latency
+system.cpu.icache.tags.pwrStateResidencyTicks::UNDEFINED 24405000 # Cumulative time (in ticks) in various power states
system.cpu.icache.tags.replacements 17 # number of replacements
-system.cpu.icache.tags.tagsinuse 158.952170 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 1612 # Total number of references to valid blocks.
+system.cpu.icache.tags.tagsinuse 160.115290 # Cycle average of tags in use
+system.cpu.icache.tags.total_refs 1613 # Total number of references to valid blocks.
system.cpu.icache.tags.sampled_refs 332 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 4.855422 # Average number of references to valid blocks.
+system.cpu.icache.tags.avg_refs 4.858434 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 158.952170 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.077613 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.077613 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_blocks::cpu.inst 160.115290 # Average occupied blocks per requestor
+system.cpu.icache.tags.occ_percent::cpu.inst 0.078181 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_percent::total 0.078181 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 315 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::0 141 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::1 174 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::0 132 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::1 183 # Occupied blocks per task id
system.cpu.icache.tags.occ_task_id_percent::1024 0.153809 # Percentage of cache occupancy per task id
system.cpu.icache.tags.tag_accesses 4432 # Number of tag accesses
system.cpu.icache.tags.data_accesses 4432 # Number of data accesses
-system.cpu.icache.pwrStateResidencyTicks::UNDEFINED 22838000 # Cumulative time (in ticks) in various power states
-system.cpu.icache.ReadReq_hits::cpu.inst 1612 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 1612 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 1612 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 1612 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 1612 # number of overall hits
-system.cpu.icache.overall_hits::total 1612 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 438 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 438 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 438 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 438 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 438 # number of overall misses
-system.cpu.icache.overall_misses::total 438 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 33275000 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 33275000 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 33275000 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 33275000 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 33275000 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 33275000 # number of overall miss cycles
+system.cpu.icache.pwrStateResidencyTicks::UNDEFINED 24405000 # Cumulative time (in ticks) in various power states
+system.cpu.icache.ReadReq_hits::cpu.inst 1613 # number of ReadReq hits
+system.cpu.icache.ReadReq_hits::total 1613 # number of ReadReq hits
+system.cpu.icache.demand_hits::cpu.inst 1613 # number of demand (read+write) hits
+system.cpu.icache.demand_hits::total 1613 # number of demand (read+write) hits
+system.cpu.icache.overall_hits::cpu.inst 1613 # number of overall hits
+system.cpu.icache.overall_hits::total 1613 # number of overall hits
+system.cpu.icache.ReadReq_misses::cpu.inst 437 # number of ReadReq misses
+system.cpu.icache.ReadReq_misses::total 437 # number of ReadReq misses
+system.cpu.icache.demand_misses::cpu.inst 437 # number of demand (read+write) misses
+system.cpu.icache.demand_misses::total 437 # number of demand (read+write) misses
+system.cpu.icache.overall_misses::cpu.inst 437 # number of overall misses
+system.cpu.icache.overall_misses::total 437 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 35529000 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 35529000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 35529000 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 35529000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 35529000 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 35529000 # number of overall miss cycles
system.cpu.icache.ReadReq_accesses::cpu.inst 2050 # number of ReadReq accesses(hits+misses)
system.cpu.icache.ReadReq_accesses::total 2050 # number of ReadReq accesses(hits+misses)
system.cpu.icache.demand_accesses::cpu.inst 2050 # number of demand (read+write) accesses
system.cpu.icache.demand_accesses::total 2050 # number of demand (read+write) accesses
system.cpu.icache.overall_accesses::cpu.inst 2050 # number of overall (read+write) accesses
system.cpu.icache.overall_accesses::total 2050 # number of overall (read+write) accesses
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.213659 # miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_miss_rate::total 0.213659 # miss rate for ReadReq accesses
-system.cpu.icache.demand_miss_rate::cpu.inst 0.213659 # miss rate for demand accesses
-system.cpu.icache.demand_miss_rate::total 0.213659 # miss rate for demand accesses
-system.cpu.icache.overall_miss_rate::cpu.inst 0.213659 # miss rate for overall accesses
-system.cpu.icache.overall_miss_rate::total 0.213659 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 75970.319635 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 75970.319635 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 75970.319635 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 75970.319635 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 75970.319635 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 75970.319635 # average overall miss latency
+system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.213171 # miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_miss_rate::total 0.213171 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate::cpu.inst 0.213171 # miss rate for demand accesses
+system.cpu.icache.demand_miss_rate::total 0.213171 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate::cpu.inst 0.213171 # miss rate for overall accesses
+system.cpu.icache.overall_miss_rate::total 0.213171 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 81302.059497 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 81302.059497 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 81302.059497 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 81302.059497 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 81302.059497 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 81302.059497 # average overall miss latency
system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -746,55 +757,55 @@ system.cpu.icache.avg_blocked_cycles::no_mshrs nan
system.cpu.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.icache.writebacks::writebacks 17 # number of writebacks
system.cpu.icache.writebacks::total 17 # number of writebacks
-system.cpu.icache.ReadReq_mshr_hits::cpu.inst 106 # number of ReadReq MSHR hits
-system.cpu.icache.ReadReq_mshr_hits::total 106 # number of ReadReq MSHR hits
-system.cpu.icache.demand_mshr_hits::cpu.inst 106 # number of demand (read+write) MSHR hits
-system.cpu.icache.demand_mshr_hits::total 106 # number of demand (read+write) MSHR hits
-system.cpu.icache.overall_mshr_hits::cpu.inst 106 # number of overall MSHR hits
-system.cpu.icache.overall_mshr_hits::total 106 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_hits::cpu.inst 105 # number of ReadReq MSHR hits
+system.cpu.icache.ReadReq_mshr_hits::total 105 # number of ReadReq MSHR hits
+system.cpu.icache.demand_mshr_hits::cpu.inst 105 # number of demand (read+write) MSHR hits
+system.cpu.icache.demand_mshr_hits::total 105 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits::cpu.inst 105 # number of overall MSHR hits
+system.cpu.icache.overall_mshr_hits::total 105 # number of overall MSHR hits
system.cpu.icache.ReadReq_mshr_misses::cpu.inst 332 # number of ReadReq MSHR misses
system.cpu.icache.ReadReq_mshr_misses::total 332 # number of ReadReq MSHR misses
system.cpu.icache.demand_mshr_misses::cpu.inst 332 # number of demand (read+write) MSHR misses
system.cpu.icache.demand_mshr_misses::total 332 # number of demand (read+write) MSHR misses
system.cpu.icache.overall_mshr_misses::cpu.inst 332 # number of overall MSHR misses
system.cpu.icache.overall_mshr_misses::total 332 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 26133000 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 26133000 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 26133000 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 26133000 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 26133000 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 26133000 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 28113000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 28113000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 28113000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 28113000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 28113000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 28113000 # number of overall MSHR miss cycles
system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.161951 # mshr miss rate for ReadReq accesses
system.cpu.icache.ReadReq_mshr_miss_rate::total 0.161951 # mshr miss rate for ReadReq accesses
system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.161951 # mshr miss rate for demand accesses
system.cpu.icache.demand_mshr_miss_rate::total 0.161951 # mshr miss rate for demand accesses
system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.161951 # mshr miss rate for overall accesses
system.cpu.icache.overall_mshr_miss_rate::total 0.161951 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 78713.855422 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 78713.855422 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 78713.855422 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 78713.855422 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 78713.855422 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 78713.855422 # average overall mshr miss latency
-system.cpu.l2cache.tags.pwrStateResidencyTicks::UNDEFINED 22838000 # Cumulative time (in ticks) in various power states
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 84677.710843 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 84677.710843 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 84677.710843 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 84677.710843 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 84677.710843 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 84677.710843 # average overall mshr miss latency
+system.cpu.l2cache.tags.pwrStateResidencyTicks::UNDEFINED 24405000 # Cumulative time (in ticks) in various power states
system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 251.694203 # Cycle average of tags in use
+system.cpu.l2cache.tags.tagsinuse 253.317608 # Cycle average of tags in use
system.cpu.l2cache.tags.total_refs 20 # Total number of references to valid blocks.
system.cpu.l2cache.tags.sampled_refs 469 # Sample count of references to valid blocks.
system.cpu.l2cache.tags.avg_refs 0.042644 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 160.892029 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 90.802174 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.004910 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.002771 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.007681 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 162.143256 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 91.174352 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::cpu.inst 0.004948 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::cpu.data 0.002782 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::total 0.007731 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_task_id_blocks::1024 469 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 187 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 282 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::0 171 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::1 298 # Occupied blocks per task id
system.cpu.l2cache.tags.occ_task_id_percent::1024 0.014313 # Percentage of cache occupancy per task id
system.cpu.l2cache.tags.tag_accesses 4381 # Number of tag accesses
system.cpu.l2cache.tags.data_accesses 4381 # Number of data accesses
-system.cpu.l2cache.pwrStateResidencyTicks::UNDEFINED 22838000 # Cumulative time (in ticks) in various power states
+system.cpu.l2cache.pwrStateResidencyTicks::UNDEFINED 24405000 # Cumulative time (in ticks) in various power states
system.cpu.l2cache.WritebackClean_hits::writebacks 17 # number of WritebackClean hits
system.cpu.l2cache.WritebackClean_hits::total 17 # number of WritebackClean hits
system.cpu.l2cache.ReadCleanReq_hits::cpu.inst 3 # number of ReadCleanReq hits
@@ -815,18 +826,18 @@ system.cpu.l2cache.demand_misses::total 469 # nu
system.cpu.l2cache.overall_misses::cpu.inst 329 # number of overall misses
system.cpu.l2cache.overall_misses::cpu.data 140 # number of overall misses
system.cpu.l2cache.overall_misses::total 469 # number of overall misses
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 4057000 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 4057000 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadCleanReq_miss_latency::cpu.inst 25602000 # number of ReadCleanReq miss cycles
-system.cpu.l2cache.ReadCleanReq_miss_latency::total 25602000 # number of ReadCleanReq miss cycles
-system.cpu.l2cache.ReadSharedReq_miss_latency::cpu.data 7760000 # number of ReadSharedReq miss cycles
-system.cpu.l2cache.ReadSharedReq_miss_latency::total 7760000 # number of ReadSharedReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 25602000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 11817000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 37419000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 25602000 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 11817000 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 37419000 # number of overall miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 4840000 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 4840000 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadCleanReq_miss_latency::cpu.inst 27582000 # number of ReadCleanReq miss cycles
+system.cpu.l2cache.ReadCleanReq_miss_latency::total 27582000 # number of ReadCleanReq miss cycles
+system.cpu.l2cache.ReadSharedReq_miss_latency::cpu.data 7957000 # number of ReadSharedReq miss cycles
+system.cpu.l2cache.ReadSharedReq_miss_latency::total 7957000 # number of ReadSharedReq miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 27582000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 12797000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 40379000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 27582000 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 12797000 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 40379000 # number of overall miss cycles
system.cpu.l2cache.WritebackClean_accesses::writebacks 17 # number of WritebackClean accesses(hits+misses)
system.cpu.l2cache.WritebackClean_accesses::total 17 # number of WritebackClean accesses(hits+misses)
system.cpu.l2cache.ReadExReq_accesses::cpu.data 50 # number of ReadExReq accesses(hits+misses)
@@ -853,18 +864,18 @@ system.cpu.l2cache.demand_miss_rate::total 0.993644 #
system.cpu.l2cache.overall_miss_rate::cpu.inst 0.990964 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::cpu.data 1 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::total 0.993644 # miss rate for overall accesses
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 81140 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 81140 # average ReadExReq miss latency
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::cpu.inst 77817.629179 # average ReadCleanReq miss latency
-system.cpu.l2cache.ReadCleanReq_avg_miss_latency::total 77817.629179 # average ReadCleanReq miss latency
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::cpu.data 86222.222222 # average ReadSharedReq miss latency
-system.cpu.l2cache.ReadSharedReq_avg_miss_latency::total 86222.222222 # average ReadSharedReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 77817.629179 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 84407.142857 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 79784.648188 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 77817.629179 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 84407.142857 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 79784.648188 # average overall miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 96800 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 96800 # average ReadExReq miss latency
+system.cpu.l2cache.ReadCleanReq_avg_miss_latency::cpu.inst 83835.866261 # average ReadCleanReq miss latency
+system.cpu.l2cache.ReadCleanReq_avg_miss_latency::total 83835.866261 # average ReadCleanReq miss latency
+system.cpu.l2cache.ReadSharedReq_avg_miss_latency::cpu.data 88411.111111 # average ReadSharedReq miss latency
+system.cpu.l2cache.ReadSharedReq_avg_miss_latency::total 88411.111111 # average ReadSharedReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 83835.866261 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 91407.142857 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 86095.948827 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 83835.866261 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 91407.142857 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 86095.948827 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -883,18 +894,18 @@ system.cpu.l2cache.demand_mshr_misses::total 469
system.cpu.l2cache.overall_mshr_misses::cpu.inst 329 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.data 140 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::total 469 # number of overall MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 3557000 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 3557000 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::cpu.inst 22312000 # number of ReadCleanReq MSHR miss cycles
-system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::total 22312000 # number of ReadCleanReq MSHR miss cycles
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::cpu.data 6860000 # number of ReadSharedReq MSHR miss cycles
-system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::total 6860000 # number of ReadSharedReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 22312000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 10417000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 32729000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 22312000 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 10417000 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 32729000 # number of overall MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 4340000 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 4340000 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::cpu.inst 24292000 # number of ReadCleanReq MSHR miss cycles
+system.cpu.l2cache.ReadCleanReq_mshr_miss_latency::total 24292000 # number of ReadCleanReq MSHR miss cycles
+system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::cpu.data 7057000 # number of ReadSharedReq MSHR miss cycles
+system.cpu.l2cache.ReadSharedReq_mshr_miss_latency::total 7057000 # number of ReadSharedReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 24292000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 11397000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 35689000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 24292000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 11397000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 35689000 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadExReq accesses
system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 1 # mshr miss rate for ReadExReq accesses
system.cpu.l2cache.ReadCleanReq_mshr_miss_rate::cpu.inst 0.990964 # mshr miss rate for ReadCleanReq accesses
@@ -907,25 +918,25 @@ system.cpu.l2cache.demand_mshr_miss_rate::total 0.993644
system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.990964 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::total 0.993644 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 71140 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 71140 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::cpu.inst 67817.629179 # average ReadCleanReq mshr miss latency
-system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::total 67817.629179 # average ReadCleanReq mshr miss latency
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::cpu.data 76222.222222 # average ReadSharedReq mshr miss latency
-system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::total 76222.222222 # average ReadSharedReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 67817.629179 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 74407.142857 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 69784.648188 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 67817.629179 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 74407.142857 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 69784.648188 # average overall mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 86800 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 86800 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::cpu.inst 73835.866261 # average ReadCleanReq mshr miss latency
+system.cpu.l2cache.ReadCleanReq_avg_mshr_miss_latency::total 73835.866261 # average ReadCleanReq mshr miss latency
+system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::cpu.data 78411.111111 # average ReadSharedReq mshr miss latency
+system.cpu.l2cache.ReadSharedReq_avg_mshr_miss_latency::total 78411.111111 # average ReadSharedReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 73835.866261 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 81407.142857 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 76095.948827 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 73835.866261 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 81407.142857 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 76095.948827 # average overall mshr miss latency
system.cpu.toL2Bus.snoop_filter.tot_requests 489 # Total number of requests made to the snoop filter.
system.cpu.toL2Bus.snoop_filter.hit_single_requests 17 # Number of requests hitting in the snoop filter with a single holder of the requested data.
system.cpu.toL2Bus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
system.cpu.toL2Bus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
system.cpu.toL2Bus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
system.cpu.toL2Bus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.cpu.toL2Bus.pwrStateResidencyTicks::UNDEFINED 22838000 # Cumulative time (in ticks) in various power states
+system.cpu.toL2Bus.pwrStateResidencyTicks::UNDEFINED 24405000 # Cumulative time (in ticks) in various power states
system.cpu.toL2Bus.trans_dist::ReadResp 422 # Transaction distribution
system.cpu.toL2Bus.trans_dist::WritebackClean 17 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 50 # Transaction distribution
@@ -954,7 +965,7 @@ system.cpu.toL2Bus.snoop_fanout::total 472 # Re
system.cpu.toL2Bus.reqLayer0.occupancy 261500 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 1.1 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 498000 # Layer occupancy (ticks)
-system.cpu.toL2Bus.respLayer0.utilization 2.2 # Layer utilization (%)
+system.cpu.toL2Bus.respLayer0.utilization 2.0 # Layer utilization (%)
system.cpu.toL2Bus.respLayer1.occupancy 210000 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 0.9 # Layer utilization (%)
system.membus.snoop_filter.tot_requests 469 # Total number of requests made to the snoop filter.
@@ -963,7 +974,7 @@ system.membus.snoop_filter.hit_multi_requests 0
system.membus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
system.membus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
system.membus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.pwrStateResidencyTicks::UNDEFINED 22838000 # Cumulative time (in ticks) in various power states
+system.membus.pwrStateResidencyTicks::UNDEFINED 24405000 # Cumulative time (in ticks) in various power states
system.membus.trans_dist::ReadResp 419 # Transaction distribution
system.membus.trans_dist::ReadExReq 50 # Transaction distribution
system.membus.trans_dist::ReadExResp 50 # Transaction distribution
@@ -985,8 +996,8 @@ system.membus.snoop_fanout::min_value 0 # Re
system.membus.snoop_fanout::max_value 0 # Request fanout histogram
system.membus.snoop_fanout::total 469 # Request fanout histogram
system.membus.reqLayer0.occupancy 581500 # Layer occupancy (ticks)
-system.membus.reqLayer0.utilization 2.5 # Layer utilization (%)
-system.membus.respLayer1.occupancy 2494250 # Layer occupancy (ticks)
-system.membus.respLayer1.utilization 10.9 # Layer utilization (%)
+system.membus.reqLayer0.utilization 2.4 # Layer utilization (%)
+system.membus.respLayer1.occupancy 2488500 # Layer occupancy (ticks)
+system.membus.respLayer1.utilization 10.2 # Layer utilization (%)
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/config.ini b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/config.ini
index ff42947ce..ff37cda83 100644
--- a/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/config.ini
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/config.ini
@@ -14,6 +14,7 @@ children=clk_domain cpu dvfs_handler mem_ctrls ruby sys_port_proxy voltage_domai
boot_osflags=a
cache_line_size=64
clk_domain=system.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
exit_on_work_items=false
init_param=0
@@ -22,11 +23,15 @@ kernel_addr_check=true
load_addr_mask=1099511627775
load_offset=0
mem_mode=timing
-mem_ranges=0:268435455
+mem_ranges=0:268435455:0:0:0:0
memories=system.mem_ctrls
mmap_using_noreserve=false
multi_thread=false
num_work_ids=16
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
readfile=
symbolfile=
thermal_components=
@@ -55,6 +60,7 @@ branchPred=Null
checker=Null
clk_domain=system.cpu.clk_domain
cpu_id=0
+default_p_state=UNDEFINED
do_checkpoint_insts=true
do_quiesce=true
do_statistics_insts=true
@@ -70,6 +76,10 @@ max_insts_any_thread=0
max_loads_all_threads=0
max_loads_any_thread=0
numThreads=1
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
profile=0
progress_interval=0
simpoint_start_insts=
@@ -124,7 +134,7 @@ env=
errout=cerr
euid=100
eventq_index=0
-executable=/home/stever/hg/m5sim.org/gem5/tests/test-progs/hello/bin/mips/linux/hello
+executable=/arm/projectscratch/randd/systems/dist/test-progs/hello/bin/mips/linux/hello
gid=100
input=cin
kvmInSE=false
@@ -147,27 +157,27 @@ transition_latency=100000
[system.mem_ctrls]
type=DRAMCtrl
-IDD0=0.075000
+IDD0=0.055000
IDD02=0.000000
-IDD2N=0.050000
+IDD2N=0.032000
IDD2N2=0.000000
IDD2P0=0.000000
IDD2P02=0.000000
-IDD2P1=0.000000
+IDD2P1=0.032000
IDD2P12=0.000000
-IDD3N=0.057000
+IDD3N=0.038000
IDD3N2=0.000000
IDD3P0=0.000000
IDD3P02=0.000000
-IDD3P1=0.000000
+IDD3P1=0.038000
IDD3P12=0.000000
-IDD4R=0.187000
+IDD4R=0.157000
IDD4R2=0.000000
-IDD4W=0.165000
+IDD4W=0.125000
IDD4W2=0.000000
-IDD5=0.220000
+IDD5=0.235000
IDD52=0.000000
-IDD6=0.000000
+IDD6=0.020000
IDD62=0.000000
VDD=1.500000
VDD2=0.000000
@@ -179,6 +189,7 @@ burst_length=8
channels=1
clk_domain=system.clk_domain
conf_table_reported=true
+default_p_state=UNDEFINED
device_bus_width=8
device_rowbuffer_size=1024
device_size=536870912
@@ -186,12 +197,17 @@ devices_per_rank=8
dll=true
eventq_index=0
in_addr_map=true
+kvm_map=true
max_accesses_per_row=16
mem_sched_policy=frfcfs
min_writes_per_switch=16
null=false
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
page_policy=open_adaptive
-range=0:268435455
+power_model=Null
+range=0:268435455:5:19:0:0
ranks_per_channel=2
read_buffer_size=32
static_backend_latency=10
@@ -213,9 +229,9 @@ tRTW=3
tWR=15
tWTR=8
tXAW=30
-tXP=0
+tXP=6
tXPDLL=0
-tXS=0
+tXS=270
tXSDLL=0
write_buffer_size=64
write_high_thresh_perc=85
@@ -229,12 +245,17 @@ access_backing_store=false
all_instructions=false
block_size_bytes=64
clk_domain=system.ruby.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
hot_lines=false
memory_size_bits=48
num_of_sequencers=1
number_of_virtual_networks=5
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
phys_mem=Null
+power_model=Null
randomization=false
[system.ruby.clk_domain]
@@ -251,6 +272,7 @@ children=directory dmaRequestToDir dmaResponseFromDir forwardFromDir requestToDi
buffer_size=0
clk_domain=system.ruby.clk_domain
cluster_id=0
+default_p_state=UNDEFINED
directory=system.ruby.dir_cntrl0.directory
directory_latency=12
dmaRequestToDir=system.ruby.dir_cntrl0.dmaRequestToDir
@@ -258,6 +280,10 @@ dmaResponseFromDir=system.ruby.dir_cntrl0.dmaResponseFromDir
eventq_index=0
forwardFromDir=system.ruby.dir_cntrl0.forwardFromDir
number_of_TBEs=256
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
recycle_latency=10
requestToDir=system.ruby.dir_cntrl0.requestToDir
responseFromDir=system.ruby.dir_cntrl0.responseFromDir
@@ -331,11 +357,16 @@ cacheMemory=system.ruby.l1_cntrl0.cacheMemory
cache_response_latency=12
clk_domain=system.cpu.clk_domain
cluster_id=0
+default_p_state=UNDEFINED
eventq_index=0
forwardToCache=system.ruby.l1_cntrl0.forwardToCache
issue_latency=2
mandatoryQueue=system.ruby.l1_cntrl0.mandatoryQueue
number_of_TBEs=256
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
recycle_latency=10
requestFromCache=system.ruby.l1_cntrl0.requestFromCache
responseFromCache=system.ruby.l1_cntrl0.responseFromCache
@@ -417,17 +448,22 @@ coreid=99
dcache=system.ruby.l1_cntrl0.cacheMemory
dcache_hit_latency=1
deadlock_threshold=500000
+default_p_state=UNDEFINED
eventq_index=0
+garnet_standalone=false
icache=system.ruby.l1_cntrl0.cacheMemory
icache_hit_latency=1
is_cpu_sequencer=true
max_outstanding_requests=16
no_retry_on_stall=false
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
ruby_system=system.ruby
support_data_reqs=true
support_inst_reqs=true
system=system
-using_network_tester=false
using_ruby_tester=false
version=0
slave=system.cpu.icache_port system.cpu.dcache_port
@@ -440,18 +476,23 @@ eventq_index=0
[system.ruby.network]
type=SimpleNetwork
-children=ext_links0 ext_links1 int_link_buffers00 int_link_buffers01 int_link_buffers02 int_link_buffers03 int_link_buffers04 int_link_buffers05 int_link_buffers06 int_link_buffers07 int_link_buffers08 int_link_buffers09 int_link_buffers10 int_link_buffers11 int_link_buffers12 int_link_buffers13 int_link_buffers14 int_link_buffers15 int_link_buffers16 int_link_buffers17 int_link_buffers18 int_link_buffers19 int_links0 int_links1 routers0 routers1 routers2
+children=ext_links0 ext_links1 int_link_buffers00 int_link_buffers01 int_link_buffers02 int_link_buffers03 int_link_buffers04 int_link_buffers05 int_link_buffers06 int_link_buffers07 int_link_buffers08 int_link_buffers09 int_link_buffers10 int_link_buffers11 int_link_buffers12 int_link_buffers13 int_link_buffers14 int_link_buffers15 int_link_buffers16 int_link_buffers17 int_link_buffers18 int_link_buffers19 int_link_buffers20 int_link_buffers21 int_link_buffers22 int_link_buffers23 int_link_buffers24 int_link_buffers25 int_link_buffers26 int_link_buffers27 int_link_buffers28 int_link_buffers29 int_link_buffers30 int_link_buffers31 int_link_buffers32 int_link_buffers33 int_link_buffers34 int_link_buffers35 int_link_buffers36 int_link_buffers37 int_link_buffers38 int_link_buffers39 int_links0 int_links1 int_links2 int_links3 routers0 routers1 routers2
adaptive_routing=false
buffer_size=0
clk_domain=system.ruby.clk_domain
control_msg_size=8
+default_p_state=UNDEFINED
endpoint_bandwidth=1000
eventq_index=0
ext_links=system.ruby.network.ext_links0 system.ruby.network.ext_links1
-int_link_buffers=system.ruby.network.int_link_buffers00 system.ruby.network.int_link_buffers01 system.ruby.network.int_link_buffers02 system.ruby.network.int_link_buffers03 system.ruby.network.int_link_buffers04 system.ruby.network.int_link_buffers05 system.ruby.network.int_link_buffers06 system.ruby.network.int_link_buffers07 system.ruby.network.int_link_buffers08 system.ruby.network.int_link_buffers09 system.ruby.network.int_link_buffers10 system.ruby.network.int_link_buffers11 system.ruby.network.int_link_buffers12 system.ruby.network.int_link_buffers13 system.ruby.network.int_link_buffers14 system.ruby.network.int_link_buffers15 system.ruby.network.int_link_buffers16 system.ruby.network.int_link_buffers17 system.ruby.network.int_link_buffers18 system.ruby.network.int_link_buffers19
-int_links=system.ruby.network.int_links0 system.ruby.network.int_links1
+int_link_buffers=system.ruby.network.int_link_buffers00 system.ruby.network.int_link_buffers01 system.ruby.network.int_link_buffers02 system.ruby.network.int_link_buffers03 system.ruby.network.int_link_buffers04 system.ruby.network.int_link_buffers05 system.ruby.network.int_link_buffers06 system.ruby.network.int_link_buffers07 system.ruby.network.int_link_buffers08 system.ruby.network.int_link_buffers09 system.ruby.network.int_link_buffers10 system.ruby.network.int_link_buffers11 system.ruby.network.int_link_buffers12 system.ruby.network.int_link_buffers13 system.ruby.network.int_link_buffers14 system.ruby.network.int_link_buffers15 system.ruby.network.int_link_buffers16 system.ruby.network.int_link_buffers17 system.ruby.network.int_link_buffers18 system.ruby.network.int_link_buffers19 system.ruby.network.int_link_buffers20 system.ruby.network.int_link_buffers21 system.ruby.network.int_link_buffers22 system.ruby.network.int_link_buffers23 system.ruby.network.int_link_buffers24 system.ruby.network.int_link_buffers25 system.ruby.network.int_link_buffers26 system.ruby.network.int_link_buffers27 system.ruby.network.int_link_buffers28 system.ruby.network.int_link_buffers29 system.ruby.network.int_link_buffers30 system.ruby.network.int_link_buffers31 system.ruby.network.int_link_buffers32 system.ruby.network.int_link_buffers33 system.ruby.network.int_link_buffers34 system.ruby.network.int_link_buffers35 system.ruby.network.int_link_buffers36 system.ruby.network.int_link_buffers37 system.ruby.network.int_link_buffers38 system.ruby.network.int_link_buffers39
+int_links=system.ruby.network.int_links0 system.ruby.network.int_links1 system.ruby.network.int_links2 system.ruby.network.int_links3
netifs=
number_of_virtual_networks=5
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
routers=system.ruby.network.routers0 system.ruby.network.routers1 system.ruby.network.routers2
ruby_system=system.ruby
topology=Crossbar
@@ -618,32 +659,206 @@ eventq_index=0
ordered=true
randomization=false
+[system.ruby.network.int_link_buffers20]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers21]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers22]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers23]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers24]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers25]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers26]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers27]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers28]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers29]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers30]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers31]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers32]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers33]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers34]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers35]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers36]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers37]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers38]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
+[system.ruby.network.int_link_buffers39]
+type=MessageBuffer
+buffer_size=0
+eventq_index=0
+ordered=true
+randomization=false
+
[system.ruby.network.int_links0]
type=SimpleIntLink
bandwidth_factor=16
+dst_inport=
+dst_node=system.ruby.network.routers2
eventq_index=0
latency=1
link_id=2
-node_a=system.ruby.network.routers0
-node_b=system.ruby.network.routers2
+src_node=system.ruby.network.routers0
+src_outport=
weight=1
[system.ruby.network.int_links1]
type=SimpleIntLink
bandwidth_factor=16
+dst_inport=
+dst_node=system.ruby.network.routers2
eventq_index=0
latency=1
link_id=3
-node_a=system.ruby.network.routers1
-node_b=system.ruby.network.routers2
+src_node=system.ruby.network.routers1
+src_outport=
+weight=1
+
+[system.ruby.network.int_links2]
+type=SimpleIntLink
+bandwidth_factor=16
+dst_inport=
+dst_node=system.ruby.network.routers0
+eventq_index=0
+latency=1
+link_id=4
+src_node=system.ruby.network.routers2
+src_outport=
+weight=1
+
+[system.ruby.network.int_links3]
+type=SimpleIntLink
+bandwidth_factor=16
+dst_inport=
+dst_node=system.ruby.network.routers1
+eventq_index=0
+latency=1
+link_id=5
+src_node=system.ruby.network.routers2
+src_outport=
weight=1
[system.ruby.network.routers0]
type=Switch
children=port_buffers00 port_buffers01 port_buffers02 port_buffers03 port_buffers04 port_buffers05 port_buffers06 port_buffers07 port_buffers08 port_buffers09 port_buffers10 port_buffers11 port_buffers12 port_buffers13 port_buffers14
clk_domain=system.ruby.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
+latency=1
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
port_buffers=system.ruby.network.routers0.port_buffers00 system.ruby.network.routers0.port_buffers01 system.ruby.network.routers0.port_buffers02 system.ruby.network.routers0.port_buffers03 system.ruby.network.routers0.port_buffers04 system.ruby.network.routers0.port_buffers05 system.ruby.network.routers0.port_buffers06 system.ruby.network.routers0.port_buffers07 system.ruby.network.routers0.port_buffers08 system.ruby.network.routers0.port_buffers09 system.ruby.network.routers0.port_buffers10 system.ruby.network.routers0.port_buffers11 system.ruby.network.routers0.port_buffers12 system.ruby.network.routers0.port_buffers13 system.ruby.network.routers0.port_buffers14
+power_model=Null
router_id=0
virt_nets=5
@@ -756,8 +971,14 @@ randomization=false
type=Switch
children=port_buffers00 port_buffers01 port_buffers02 port_buffers03 port_buffers04 port_buffers05 port_buffers06 port_buffers07 port_buffers08 port_buffers09 port_buffers10 port_buffers11 port_buffers12 port_buffers13 port_buffers14
clk_domain=system.ruby.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
+latency=1
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
port_buffers=system.ruby.network.routers1.port_buffers00 system.ruby.network.routers1.port_buffers01 system.ruby.network.routers1.port_buffers02 system.ruby.network.routers1.port_buffers03 system.ruby.network.routers1.port_buffers04 system.ruby.network.routers1.port_buffers05 system.ruby.network.routers1.port_buffers06 system.ruby.network.routers1.port_buffers07 system.ruby.network.routers1.port_buffers08 system.ruby.network.routers1.port_buffers09 system.ruby.network.routers1.port_buffers10 system.ruby.network.routers1.port_buffers11 system.ruby.network.routers1.port_buffers12 system.ruby.network.routers1.port_buffers13 system.ruby.network.routers1.port_buffers14
+power_model=Null
router_id=1
virt_nets=5
@@ -870,8 +1091,14 @@ randomization=false
type=Switch
children=port_buffers00 port_buffers01 port_buffers02 port_buffers03 port_buffers04 port_buffers05 port_buffers06 port_buffers07 port_buffers08 port_buffers09 port_buffers10 port_buffers11 port_buffers12 port_buffers13 port_buffers14 port_buffers15 port_buffers16 port_buffers17 port_buffers18 port_buffers19
clk_domain=system.ruby.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
+latency=1
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
port_buffers=system.ruby.network.routers2.port_buffers00 system.ruby.network.routers2.port_buffers01 system.ruby.network.routers2.port_buffers02 system.ruby.network.routers2.port_buffers03 system.ruby.network.routers2.port_buffers04 system.ruby.network.routers2.port_buffers05 system.ruby.network.routers2.port_buffers06 system.ruby.network.routers2.port_buffers07 system.ruby.network.routers2.port_buffers08 system.ruby.network.routers2.port_buffers09 system.ruby.network.routers2.port_buffers10 system.ruby.network.routers2.port_buffers11 system.ruby.network.routers2.port_buffers12 system.ruby.network.routers2.port_buffers13 system.ruby.network.routers2.port_buffers14 system.ruby.network.routers2.port_buffers15 system.ruby.network.routers2.port_buffers16 system.ruby.network.routers2.port_buffers17 system.ruby.network.routers2.port_buffers18 system.ruby.network.routers2.port_buffers19
+power_model=Null
router_id=2
virt_nets=5
@@ -1018,9 +1245,14 @@ randomization=false
[system.sys_port_proxy]
type=RubyPortProxy
clk_domain=system.clk_domain
+default_p_state=UNDEFINED
eventq_index=0
is_cpu_sequencer=true
no_retry_on_stall=false
+p_state_clk_gate_bins=20
+p_state_clk_gate_max=1000000000
+p_state_clk_gate_min=1
+power_model=Null
ruby_system=system.ruby
support_data_reqs=true
support_inst_reqs=true
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simerr b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simerr
index ccfdd3697..f6f6f15a5 100755
--- a/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simerr
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simerr
@@ -4,8 +4,7 @@ warn: rounding error > tolerance
1.250000 rounded to 1
warn: rounding error > tolerance
1.250000 rounded to 1
-warn: rounding error > tolerance
- 1.250000 rounded to 1
warn: DRAM device capacity (8192 Mbytes) does not match the address range assigned (256 Mbytes)
warn: Sockets disabled, not accepting gdb connections
+warn: ClockedObject: More than one power state change request encountered within the same simulation tick
warn: Replacement policy updates recently became the responsibility of SLICC state machines. Make sure to setMRU() near callbacks in .sm files!
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simout b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simout
index 735671e5f..2e6bde8a3 100755
--- a/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simout
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simout
@@ -3,13 +3,13 @@ Redirecting stderr to build/MIPS/tests/opt/quick/se/00.hello/mips/linux/simple-t
gem5 Simulator System. http://gem5.org
gem5 is copyrighted software; use the --copyright option for details.
-gem5 compiled Mar 14 2016 22:04:10
-gem5 started Mar 14 2016 22:06:34
-gem5 executing on phenom, pid 29860
-command line: build/MIPS/gem5.opt -d build/MIPS/tests/opt/quick/se/00.hello/mips/linux/simple-timing-ruby -re /home/stever/hg/m5sim.org/gem5/tests/run.py build/MIPS/tests/opt/quick/se/00.hello/mips/linux/simple-timing-ruby
+gem5 compiled Oct 13 2016 20:36:34
+gem5 started Oct 13 2016 20:36:59
+gem5 executing on e108600-lin, pid 36842
+command line: /work/curdun01/gem5-external.hg/build/MIPS/gem5.opt -d build/MIPS/tests/opt/quick/se/00.hello/mips/linux/simple-timing-ruby -re /work/curdun01/gem5-external.hg/tests/testing/../run.py quick/se/00.hello/mips/linux/simple-timing-ruby
Global frequency set at 1000000000 ticks per second
info: Entering event queue @ 0. Starting simulation...
info: Increasing stack size by one page.
Hello World!
-Exiting @ tick 100232 because target called exit()
+Exiting @ tick 106125 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/stats.txt b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/stats.txt
index 5b0097850..d2ad37c0f 100644
--- a/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/stats.txt
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/stats.txt
@@ -1,19 +1,19 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 0.000100 # Number of seconds simulated
-sim_ticks 100232 # Number of ticks simulated
-final_tick 100232 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 0.000106 # Number of seconds simulated
+sim_ticks 106125 # Number of ticks simulated
+final_tick 106125 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000 # Frequency of simulated ticks
-host_inst_rate 93908 # Simulator instruction rate (inst/s)
-host_op_rate 93894 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 1668107 # Simulator tick rate (ticks/s)
-host_mem_usage 455812 # Number of bytes of host memory used
-host_seconds 0.06 # Real time elapsed on the host
+host_inst_rate 64036 # Simulator instruction rate (inst/s)
+host_op_rate 64023 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 1204237 # Simulator tick rate (ticks/s)
+host_mem_usage 413260 # Number of bytes of host memory used
+host_seconds 0.09 # Real time elapsed on the host
sim_insts 5641 # Number of instructions simulated
sim_ops 5641 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1 # Clock period in ticks
-system.mem_ctrls.pwrStateResidencyTicks::UNDEFINED 100232 # Cumulative time (in ticks) in various power states
+system.mem_ctrls.pwrStateResidencyTicks::UNDEFINED 106125 # Cumulative time (in ticks) in various power states
system.mem_ctrls.bytes_read::ruby.dir_cntrl0 94208 # Number of bytes read from this memory
system.mem_ctrls.bytes_read::total 94208 # Number of bytes read from this memory
system.mem_ctrls.bytes_written::ruby.dir_cntrl0 93952 # Number of bytes written to this memory
@@ -22,59 +22,59 @@ system.mem_ctrls.num_reads::ruby.dir_cntrl0 1472 #
system.mem_ctrls.num_reads::total 1472 # Number of read requests responded to by this memory
system.mem_ctrls.num_writes::ruby.dir_cntrl0 1468 # Number of write requests responded to by this memory
system.mem_ctrls.num_writes::total 1468 # Number of write requests responded to by this memory
-system.mem_ctrls.bw_read::ruby.dir_cntrl0 939899433 # Total read bandwidth from this memory (bytes/s)
-system.mem_ctrls.bw_read::total 939899433 # Total read bandwidth from this memory (bytes/s)
-system.mem_ctrls.bw_write::ruby.dir_cntrl0 937345359 # Write bandwidth from this memory (bytes/s)
-system.mem_ctrls.bw_write::total 937345359 # Write bandwidth from this memory (bytes/s)
-system.mem_ctrls.bw_total::ruby.dir_cntrl0 1877244792 # Total bandwidth to/from this memory (bytes/s)
-system.mem_ctrls.bw_total::total 1877244792 # Total bandwidth to/from this memory (bytes/s)
+system.mem_ctrls.bw_read::ruby.dir_cntrl0 887707892 # Total read bandwidth from this memory (bytes/s)
+system.mem_ctrls.bw_read::total 887707892 # Total read bandwidth from this memory (bytes/s)
+system.mem_ctrls.bw_write::ruby.dir_cntrl0 885295642 # Write bandwidth from this memory (bytes/s)
+system.mem_ctrls.bw_write::total 885295642 # Write bandwidth from this memory (bytes/s)
+system.mem_ctrls.bw_total::ruby.dir_cntrl0 1773003534 # Total bandwidth to/from this memory (bytes/s)
+system.mem_ctrls.bw_total::total 1773003534 # Total bandwidth to/from this memory (bytes/s)
system.mem_ctrls.readReqs 1472 # Number of read requests accepted
system.mem_ctrls.writeReqs 1468 # Number of write requests accepted
system.mem_ctrls.readBursts 1472 # Number of DRAM read bursts, including those serviced by the write queue
system.mem_ctrls.writeBursts 1468 # Number of DRAM write bursts, including those merged in the write queue
-system.mem_ctrls.bytesReadDRAM 58752 # Total number of bytes read from DRAM
-system.mem_ctrls.bytesReadWrQ 35456 # Total number of bytes read from write queue
-system.mem_ctrls.bytesWritten 60352 # Total number of bytes written to DRAM
+system.mem_ctrls.bytesReadDRAM 58880 # Total number of bytes read from DRAM
+system.mem_ctrls.bytesReadWrQ 35328 # Total number of bytes read from write queue
+system.mem_ctrls.bytesWritten 59776 # Total number of bytes written to DRAM
system.mem_ctrls.bytesReadSys 94208 # Total read bytes from the system interface side
system.mem_ctrls.bytesWrittenSys 93952 # Total written bytes from the system interface side
-system.mem_ctrls.servicedByWrQ 554 # Number of DRAM read bursts serviced by the write queue
-system.mem_ctrls.mergedWrBursts 502 # Number of DRAM write bursts merged with an existing one
+system.mem_ctrls.servicedByWrQ 552 # Number of DRAM read bursts serviced by the write queue
+system.mem_ctrls.mergedWrBursts 510 # Number of DRAM write bursts merged with an existing one
system.mem_ctrls.neitherReadNorWriteReqs 0 # Number of requests that are neither read nor write
-system.mem_ctrls.perBankRdBursts::0 33 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::0 31 # Per bank write bursts
system.mem_ctrls.perBankRdBursts::1 0 # Per bank write bursts
system.mem_ctrls.perBankRdBursts::2 0 # Per bank write bursts
system.mem_ctrls.perBankRdBursts::3 0 # Per bank write bursts
system.mem_ctrls.perBankRdBursts::4 7 # Per bank write bursts
system.mem_ctrls.perBankRdBursts::5 3 # Per bank write bursts
system.mem_ctrls.perBankRdBursts::6 13 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::7 81 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::7 83 # Per bank write bursts
system.mem_ctrls.perBankRdBursts::8 66 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::9 245 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::10 98 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::11 45 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::12 114 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::13 45 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::14 154 # Per bank write bursts
-system.mem_ctrls.perBankRdBursts::15 14 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::0 34 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::9 250 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::10 100 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::11 44 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::12 107 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::13 46 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::14 157 # Per bank write bursts
+system.mem_ctrls.perBankRdBursts::15 13 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::0 32 # Per bank write bursts
system.mem_ctrls.perBankWrBursts::1 0 # Per bank write bursts
system.mem_ctrls.perBankWrBursts::2 0 # Per bank write bursts
system.mem_ctrls.perBankWrBursts::3 0 # Per bank write bursts
system.mem_ctrls.perBankWrBursts::4 7 # Per bank write bursts
system.mem_ctrls.perBankWrBursts::5 3 # Per bank write bursts
system.mem_ctrls.perBankWrBursts::6 13 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::7 74 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::7 75 # Per bank write bursts
system.mem_ctrls.perBankWrBursts::8 60 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::9 247 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::9 250 # Per bank write bursts
system.mem_ctrls.perBankWrBursts::10 100 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::11 46 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::12 118 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::13 49 # Per bank write bursts
-system.mem_ctrls.perBankWrBursts::14 178 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::11 45 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::12 110 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::13 48 # Per bank write bursts
+system.mem_ctrls.perBankWrBursts::14 177 # Per bank write bursts
system.mem_ctrls.perBankWrBursts::15 14 # Per bank write bursts
system.mem_ctrls.numRdRetry 0 # Number of times read queue was full causing retry
system.mem_ctrls.numWrRetry 0 # Number of times write queue was full causing retry
-system.mem_ctrls.totGap 100183 # Total gap between requests
+system.mem_ctrls.totGap 106076 # Total gap between requests
system.mem_ctrls.readPktSize::0 0 # Read request sizes (log2)
system.mem_ctrls.readPktSize::1 0 # Read request sizes (log2)
system.mem_ctrls.readPktSize::2 0 # Read request sizes (log2)
@@ -89,7 +89,7 @@ system.mem_ctrls.writePktSize::3 0 # Wr
system.mem_ctrls.writePktSize::4 0 # Write request sizes (log2)
system.mem_ctrls.writePktSize::5 0 # Write request sizes (log2)
system.mem_ctrls.writePktSize::6 1468 # Write request sizes (log2)
-system.mem_ctrls.rdQLenPdf::0 918 # What read queue length does an incoming req see
+system.mem_ctrls.rdQLenPdf::0 920 # What read queue length does an incoming req see
system.mem_ctrls.rdQLenPdf::1 0 # What read queue length does an incoming req see
system.mem_ctrls.rdQLenPdf::2 0 # What read queue length does an incoming req see
system.mem_ctrls.rdQLenPdf::3 0 # What read queue length does an incoming req see
@@ -136,25 +136,25 @@ system.mem_ctrls.wrQLenPdf::11 1 # Wh
system.mem_ctrls.wrQLenPdf::12 1 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::13 1 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::14 1 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::15 5 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::16 8 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::17 50 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::18 60 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::15 8 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::16 11 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::17 51 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::18 61 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::19 60 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::20 67 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::20 61 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::21 61 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::22 59 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::23 58 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::24 58 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::25 58 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::26 58 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::27 58 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::28 58 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::29 58 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::30 58 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::31 58 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::32 58 # What write queue length does an incoming req see
-system.mem_ctrls.wrQLenPdf::33 1 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::22 58 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::23 59 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::24 57 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::25 57 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::26 57 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::27 57 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::28 57 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::29 57 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::30 57 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::31 57 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::32 57 # What write queue length does an incoming req see
+system.mem_ctrls.wrQLenPdf::33 0 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::34 0 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::35 0 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::36 0 # What write queue length does an incoming req see
@@ -185,90 +185,101 @@ system.mem_ctrls.wrQLenPdf::60 0 # Wh
system.mem_ctrls.wrQLenPdf::61 0 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::62 0 # What write queue length does an incoming req see
system.mem_ctrls.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.mem_ctrls.bytesPerActivate::samples 336 # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::mean 348.571429 # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::gmean 224.382213 # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::stdev 328.447975 # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::0-127 77 22.92% 22.92% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::128-255 103 30.65% 53.57% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::256-383 48 14.29% 67.86% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::384-511 26 7.74% 75.60% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::512-639 11 3.27% 78.87% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::640-767 8 2.38% 81.25% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::768-895 13 3.87% 85.12% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::896-1023 7 2.08% 87.20% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::1024-1151 43 12.80% 100.00% # Bytes accessed per row activation
-system.mem_ctrls.bytesPerActivate::total 336 # Bytes accessed per row activation
-system.mem_ctrls.rdPerTurnAround::samples 58 # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::mean 15.706897 # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::gmean 15.549891 # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::stdev 2.720995 # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::12-13 5 8.62% 8.62% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::14-15 26 44.83% 53.45% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::16-17 25 43.10% 96.55% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::18-19 1 1.72% 98.28% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::34-35 1 1.72% 100.00% # Reads before turning the bus around for writes
-system.mem_ctrls.rdPerTurnAround::total 58 # Reads before turning the bus around for writes
-system.mem_ctrls.wrPerTurnAround::samples 58 # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::mean 16.258621 # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::gmean 16.240724 # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::stdev 0.806995 # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::16 52 89.66% 89.66% # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::18 4 6.90% 96.55% # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::19 1 1.72% 98.28% # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::20 1 1.72% 100.00% # Writes before turning the bus around for reads
-system.mem_ctrls.wrPerTurnAround::total 58 # Writes before turning the bus around for reads
-system.mem_ctrls.totQLat 12638 # Total ticks spent queuing
-system.mem_ctrls.totMemAccLat 30080 # Total ticks spent from burst creation until serviced by the DRAM
-system.mem_ctrls.totBusLat 4590 # Total ticks spent in databus transfers
-system.mem_ctrls.avgQLat 13.77 # Average queueing delay per DRAM burst
+system.mem_ctrls.bytesPerActivate::samples 352 # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::mean 334.181818 # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::gmean 220.342342 # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::stdev 312.466834 # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::0-127 73 20.74% 20.74% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::128-255 116 32.95% 53.69% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::256-383 49 13.92% 67.61% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::384-511 31 8.81% 76.42% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::512-639 18 5.11% 81.53% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::640-767 13 3.69% 85.23% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::768-895 9 2.56% 87.78% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::896-1023 3 0.85% 88.64% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::1024-1151 40 11.36% 100.00% # Bytes accessed per row activation
+system.mem_ctrls.bytesPerActivate::total 352 # Bytes accessed per row activation
+system.mem_ctrls.rdPerTurnAround::samples 57 # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::mean 16 # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::gmean 15.842454 # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::stdev 2.738613 # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::12-13 2 3.51% 3.51% # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::14-15 25 43.86% 47.37% # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::16-17 25 43.86% 91.23% # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::18-19 4 7.02% 98.25% # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::34-35 1 1.75% 100.00% # Reads before turning the bus around for writes
+system.mem_ctrls.rdPerTurnAround::total 57 # Reads before turning the bus around for writes
+system.mem_ctrls.wrPerTurnAround::samples 57 # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::mean 16.385965 # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::gmean 16.360622 # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::stdev 0.959062 # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::16 48 84.21% 84.21% # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::17 1 1.75% 85.96% # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::18 4 7.02% 92.98% # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::19 3 5.26% 98.25% # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::20 1 1.75% 100.00% # Writes before turning the bus around for reads
+system.mem_ctrls.wrPerTurnAround::total 57 # Writes before turning the bus around for reads
+system.mem_ctrls.totQLat 18473 # Total ticks spent queuing
+system.mem_ctrls.totMemAccLat 35953 # Total ticks spent from burst creation until serviced by the DRAM
+system.mem_ctrls.totBusLat 4600 # Total ticks spent in databus transfers
+system.mem_ctrls.avgQLat 20.08 # Average queueing delay per DRAM burst
system.mem_ctrls.avgBusLat 5.00 # Average bus latency per DRAM burst
-system.mem_ctrls.avgMemAccLat 32.77 # Average memory access latency per DRAM burst
-system.mem_ctrls.avgRdBW 586.16 # Average DRAM read bandwidth in MiByte/s
-system.mem_ctrls.avgWrBW 602.12 # Average achieved write bandwidth in MiByte/s
-system.mem_ctrls.avgRdBWSys 939.90 # Average system read bandwidth in MiByte/s
-system.mem_ctrls.avgWrBWSys 937.35 # Average system write bandwidth in MiByte/s
+system.mem_ctrls.avgMemAccLat 39.08 # Average memory access latency per DRAM burst
+system.mem_ctrls.avgRdBW 554.82 # Average DRAM read bandwidth in MiByte/s
+system.mem_ctrls.avgWrBW 563.26 # Average achieved write bandwidth in MiByte/s
+system.mem_ctrls.avgRdBWSys 887.71 # Average system read bandwidth in MiByte/s
+system.mem_ctrls.avgWrBWSys 885.30 # Average system write bandwidth in MiByte/s
system.mem_ctrls.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.mem_ctrls.busUtil 9.28 # Data bus utilization in percentage
-system.mem_ctrls.busUtilRead 4.58 # Data bus utilization in percentage for reads
-system.mem_ctrls.busUtilWrite 4.70 # Data bus utilization in percentage for writes
+system.mem_ctrls.busUtil 8.73 # Data bus utilization in percentage
+system.mem_ctrls.busUtilRead 4.33 # Data bus utilization in percentage for reads
+system.mem_ctrls.busUtilWrite 4.40 # Data bus utilization in percentage for writes
system.mem_ctrls.avgRdQLen 1.00 # Average read queue length when enqueuing
-system.mem_ctrls.avgWrQLen 25.54 # Average write queue length when enqueuing
-system.mem_ctrls.readRowHits 642 # Number of row buffer hits during reads
-system.mem_ctrls.writeRowHits 873 # Number of row buffer hits during writes
-system.mem_ctrls.readRowHitRate 69.93 # Row buffer hit rate for reads
-system.mem_ctrls.writeRowHitRate 90.37 # Row buffer hit rate for writes
-system.mem_ctrls.avgGap 34.08 # Average gap between requests
-system.mem_ctrls.pageHitRate 80.41 # Row buffer hit rate, read and write combined
-system.mem_ctrls_0.actEnergy 491400 # Energy for activate commands per rank (pJ)
-system.mem_ctrls_0.preEnergy 273000 # Energy for precharge commands per rank (pJ)
-system.mem_ctrls_0.readEnergy 1547520 # Energy for read commands per rank (pJ)
-system.mem_ctrls_0.writeEnergy 1099008 # Energy for write commands per rank (pJ)
-system.mem_ctrls_0.refreshEnergy 6102720 # Energy for refresh commands per rank (pJ)
-system.mem_ctrls_0.actBackEnergy 55680336 # Energy for active background per rank (pJ)
-system.mem_ctrls_0.preBackEnergy 7372800 # Energy for precharge background per rank (pJ)
-system.mem_ctrls_0.totalEnergy 72566784 # Total energy per rank (pJ)
-system.mem_ctrls_0.averagePower 774.524869 # Core power per rank (mW)
-system.mem_ctrls_0.memoryStateTime::IDLE 11950 # Time in different power states
-system.mem_ctrls_0.memoryStateTime::REF 3120 # Time in different power states
-system.mem_ctrls_0.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.mem_ctrls_0.memoryStateTime::ACT 78690 # Time in different power states
-system.mem_ctrls_0.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.mem_ctrls_1.actEnergy 1882440 # Energy for activate commands per rank (pJ)
-system.mem_ctrls_1.preEnergy 1045800 # Energy for precharge commands per rank (pJ)
-system.mem_ctrls_1.readEnergy 9247680 # Energy for read commands per rank (pJ)
-system.mem_ctrls_1.writeEnergy 7993728 # Energy for write commands per rank (pJ)
-system.mem_ctrls_1.refreshEnergy 6102720 # Energy for refresh commands per rank (pJ)
-system.mem_ctrls_1.actBackEnergy 63740592 # Energy for active background per rank (pJ)
-system.mem_ctrls_1.preBackEnergy 302400 # Energy for precharge background per rank (pJ)
-system.mem_ctrls_1.totalEnergy 90315360 # Total energy per rank (pJ)
-system.mem_ctrls_1.averagePower 963.960210 # Core power per rank (mW)
-system.mem_ctrls_1.memoryStateTime::IDLE 182 # Time in different power states
-system.mem_ctrls_1.memoryStateTime::REF 3120 # Time in different power states
-system.mem_ctrls_1.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.mem_ctrls_1.memoryStateTime::ACT 90404 # Time in different power states
-system.mem_ctrls_1.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.pwrStateResidencyTicks::UNDEFINED 100232 # Cumulative time (in ticks) in various power states
+system.mem_ctrls.avgWrQLen 25.41 # Average write queue length when enqueuing
+system.mem_ctrls.readRowHits 632 # Number of row buffer hits during reads
+system.mem_ctrls.writeRowHits 865 # Number of row buffer hits during writes
+system.mem_ctrls.readRowHitRate 68.70 # Row buffer hit rate for reads
+system.mem_ctrls.writeRowHitRate 90.29 # Row buffer hit rate for writes
+system.mem_ctrls.avgGap 36.08 # Average gap between requests
+system.mem_ctrls.pageHitRate 79.71 # Row buffer hit rate, read and write combined
+system.mem_ctrls_0.actEnergy 542640 # Energy for activate commands per rank (pJ)
+system.mem_ctrls_0.preEnergy 289800 # Energy for precharge commands per rank (pJ)
+system.mem_ctrls_0.readEnergy 1565088 # Energy for read commands per rank (pJ)
+system.mem_ctrls_0.writeEnergy 1085760 # Energy for write commands per rank (pJ)
+system.mem_ctrls_0.refreshEnergy 8604960.000000 # Energy for refresh commands per rank (pJ)
+system.mem_ctrls_0.actBackEnergy 15123696 # Energy for active background per rank (pJ)
+system.mem_ctrls_0.preBackEnergy 297600 # Energy for precharge background per rank (pJ)
+system.mem_ctrls_0.actPowerDownEnergy 24352224 # Energy for active power-down per rank (pJ)
+system.mem_ctrls_0.prePowerDownEnergy 7106304 # Energy for precharge power-down per rank (pJ)
+system.mem_ctrls_0.selfRefreshEnergy 647736.000000 # Energy for self refresh per rank (pJ)
+system.mem_ctrls_0.totalEnergy 59655384 # Total energy per rank (pJ)
+system.mem_ctrls_0.averagePower 562.123760 # Core power per rank (mW)
+system.mem_ctrls_0.totalIdleTime 71087 # Total Idle time Per DRAM Rank
+system.mem_ctrls_0.memoryStateTime::IDLE 340 # Time in different power states
+system.mem_ctrls_0.memoryStateTime::REF 3646 # Time in different power states
+system.mem_ctrls_0.memoryStateTime::SREF 185 # Time in different power states
+system.mem_ctrls_0.memoryStateTime::PRE_PDN 18506 # Time in different power states
+system.mem_ctrls_0.memoryStateTime::ACT 30044 # Time in different power states
+system.mem_ctrls_0.memoryStateTime::ACT_PDN 53404 # Time in different power states
+system.mem_ctrls_1.actEnergy 2006340 # Energy for activate commands per rank (pJ)
+system.mem_ctrls_1.preEnergy 1070328 # Energy for precharge commands per rank (pJ)
+system.mem_ctrls_1.readEnergy 8944992 # Energy for read commands per rank (pJ)
+system.mem_ctrls_1.writeEnergy 6715008 # Energy for write commands per rank (pJ)
+system.mem_ctrls_1.refreshEnergy 7990320.000000 # Energy for refresh commands per rank (pJ)
+system.mem_ctrls_1.actBackEnergy 16837800 # Energy for active background per rank (pJ)
+system.mem_ctrls_1.preBackEnergy 207360 # Energy for precharge background per rank (pJ)
+system.mem_ctrls_1.actPowerDownEnergy 31179912 # Energy for active power-down per rank (pJ)
+system.mem_ctrls_1.prePowerDownEnergy 108672 # Energy for precharge power-down per rank (pJ)
+system.mem_ctrls_1.selfRefreshEnergy 0 # Energy for self refresh per rank (pJ)
+system.mem_ctrls_1.totalEnergy 75060732 # Total energy per rank (pJ)
+system.mem_ctrls_1.averagePower 707.286049 # Core power per rank (mW)
+system.mem_ctrls_1.totalIdleTime 68578 # Total Idle time Per DRAM Rank
+system.mem_ctrls_1.memoryStateTime::IDLE 148 # Time in different power states
+system.mem_ctrls_1.memoryStateTime::REF 3380 # Time in different power states
+system.mem_ctrls_1.memoryStateTime::SREF 0 # Time in different power states
+system.mem_ctrls_1.memoryStateTime::PRE_PDN 283 # Time in different power states
+system.mem_ctrls_1.memoryStateTime::ACT 33937 # Time in different power states
+system.mem_ctrls_1.memoryStateTime::ACT_PDN 68377 # Time in different power states
+system.pwrStateResidencyTicks::UNDEFINED 106125 # Cumulative time (in ticks) in various power states
system.cpu.clk_domain.clock 1 # Clock period in ticks
system.cpu.dtb.read_hits 0 # DTB read hits
system.cpu.dtb.read_misses 0 # DTB read misses
@@ -289,8 +300,8 @@ system.cpu.itb.hits 0 # DT
system.cpu.itb.misses 0 # DTB misses
system.cpu.itb.accesses 0 # DTB accesses
system.cpu.workload.num_syscalls 7 # Number of system calls
-system.cpu.pwrStateResidencyTicks::ON 100232 # Cumulative time (in ticks) in various power states
-system.cpu.numCycles 100232 # number of cpu cycles simulated
+system.cpu.pwrStateResidencyTicks::ON 106125 # Cumulative time (in ticks) in various power states
+system.cpu.numCycles 106125 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
system.cpu.committedInsts 5641 # Number of instructions committed
@@ -309,7 +320,7 @@ system.cpu.num_mem_refs 2037 # nu
system.cpu.num_load_insts 1135 # Number of load instructions
system.cpu.num_store_insts 902 # Number of store instructions
system.cpu.num_idle_cycles 0 # Number of idle cycles
-system.cpu.num_busy_cycles 100232 # Number of busy cycles
+system.cpu.num_busy_cycles 106125 # Number of busy cycles
system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
system.cpu.idle_fraction 0 # Percentage of idle cycles
system.cpu.Branches 886 # Number of branches fetched
@@ -349,7 +360,7 @@ system.cpu.op_class::IprAccess 0 0.00% 100.00% # Cl
system.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
system.cpu.op_class::total 5642 # Class of executed instruction
system.ruby.clk_domain.clock 1 # Clock period in ticks
-system.ruby.pwrStateResidencyTicks::UNDEFINED 100232 # Cumulative time (in ticks) in various power states
+system.ruby.pwrStateResidencyTicks::UNDEFINED 106125 # Cumulative time (in ticks) in various power states
system.ruby.delayHist::bucket_size 1 # delay histogram for all message
system.ruby.delayHist::max_bucket 9 # delay histogram for all message
system.ruby.delayHist::samples 2940 # delay histogram for all message
@@ -365,10 +376,10 @@ system.ruby.outstanding_req_hist_seqr::total 7679
system.ruby.latency_hist_seqr::bucket_size 64
system.ruby.latency_hist_seqr::max_bucket 639
system.ruby.latency_hist_seqr::samples 7678
-system.ruby.latency_hist_seqr::mean 12.054441
-system.ruby.latency_hist_seqr::gmean 2.136034
-system.ruby.latency_hist_seqr::stdev 27.599754
-system.ruby.latency_hist_seqr | 7372 96.01% 96.01% | 253 3.30% 99.31% | 37 0.48% 99.79% | 4 0.05% 99.84% | 6 0.08% 99.92% | 5 0.07% 99.99% | 0 0.00% 99.99% | 1 0.01% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.latency_hist_seqr::mean 12.821959
+system.ruby.latency_hist_seqr::gmean 2.158431
+system.ruby.latency_hist_seqr::stdev 29.332675
+system.ruby.latency_hist_seqr | 6783 88.34% 88.34% | 834 10.86% 99.21% | 40 0.52% 99.73% | 8 0.10% 99.83% | 8 0.10% 99.93% | 5 0.07% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.latency_hist_seqr::total 7678
system.ruby.hit_latency_hist_seqr::bucket_size 1
system.ruby.hit_latency_hist_seqr::max_bucket 9
@@ -380,21 +391,21 @@ system.ruby.hit_latency_hist_seqr::total 6206
system.ruby.miss_latency_hist_seqr::bucket_size 64
system.ruby.miss_latency_hist_seqr::max_bucket 639
system.ruby.miss_latency_hist_seqr::samples 1472
-system.ruby.miss_latency_hist_seqr::mean 58.660326
-system.ruby.miss_latency_hist_seqr::gmean 52.389786
-system.ruby.miss_latency_hist_seqr::stdev 35.865583
-system.ruby.miss_latency_hist_seqr | 1166 79.21% 79.21% | 253 17.19% 96.40% | 37 2.51% 98.91% | 4 0.27% 99.18% | 6 0.41% 99.59% | 5 0.34% 99.93% | 0 0.00% 99.93% | 1 0.07% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.miss_latency_hist_seqr::mean 62.663723
+system.ruby.miss_latency_hist_seqr::gmean 55.319189
+system.ruby.miss_latency_hist_seqr::stdev 37.614530
+system.ruby.miss_latency_hist_seqr | 577 39.20% 39.20% | 834 56.66% 95.86% | 40 2.72% 98.57% | 8 0.54% 99.12% | 8 0.54% 99.66% | 5 0.34% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.miss_latency_hist_seqr::total 1472
system.ruby.Directory.incomplete_times_seqr 1471
-system.ruby.dir_cntrl0.pwrStateResidencyTicks::UNDEFINED 100232 # Cumulative time (in ticks) in various power states
+system.ruby.dir_cntrl0.pwrStateResidencyTicks::UNDEFINED 106125 # Cumulative time (in ticks) in various power states
system.ruby.l1_cntrl0.cacheMemory.demand_hits 6206 # Number of cache demand hits
system.ruby.l1_cntrl0.cacheMemory.demand_misses 1472 # Number of cache demand misses
system.ruby.l1_cntrl0.cacheMemory.demand_accesses 7678 # Number of cache demand accesses
-system.ruby.l1_cntrl0.sequencer.pwrStateResidencyTicks::UNDEFINED 100232 # Cumulative time (in ticks) in various power states
-system.ruby.l1_cntrl0.pwrStateResidencyTicks::UNDEFINED 100232 # Cumulative time (in ticks) in various power states
+system.ruby.l1_cntrl0.sequencer.pwrStateResidencyTicks::UNDEFINED 106125 # Cumulative time (in ticks) in various power states
+system.ruby.l1_cntrl0.pwrStateResidencyTicks::UNDEFINED 106125 # Cumulative time (in ticks) in various power states
system.ruby.memctrl_clk_domain.clock 3 # Clock period in ticks
-system.ruby.network.routers0.pwrStateResidencyTicks::UNDEFINED 100232 # Cumulative time (in ticks) in various power states
-system.ruby.network.routers0.percent_links_utilized 7.332987
+system.ruby.network.routers0.pwrStateResidencyTicks::UNDEFINED 106125 # Cumulative time (in ticks) in various power states
+system.ruby.network.routers0.percent_links_utilized 6.925795
system.ruby.network.routers0.msg_count.Control::2 1472
system.ruby.network.routers0.msg_count.Data::2 1468
system.ruby.network.routers0.msg_count.Response_Data::4 1472
@@ -403,8 +414,8 @@ system.ruby.network.routers0.msg_bytes.Control::2 11776
system.ruby.network.routers0.msg_bytes.Data::2 105696
system.ruby.network.routers0.msg_bytes.Response_Data::4 105984
system.ruby.network.routers0.msg_bytes.Writeback_Control::3 11744
-system.ruby.network.routers1.pwrStateResidencyTicks::UNDEFINED 100232 # Cumulative time (in ticks) in various power states
-system.ruby.network.routers1.percent_links_utilized 7.332987
+system.ruby.network.routers1.pwrStateResidencyTicks::UNDEFINED 106125 # Cumulative time (in ticks) in various power states
+system.ruby.network.routers1.percent_links_utilized 6.925795
system.ruby.network.routers1.msg_count.Control::2 1472
system.ruby.network.routers1.msg_count.Data::2 1468
system.ruby.network.routers1.msg_count.Response_Data::4 1472
@@ -413,8 +424,8 @@ system.ruby.network.routers1.msg_bytes.Control::2 11776
system.ruby.network.routers1.msg_bytes.Data::2 105696
system.ruby.network.routers1.msg_bytes.Response_Data::4 105984
system.ruby.network.routers1.msg_bytes.Writeback_Control::3 11744
-system.ruby.network.routers2.pwrStateResidencyTicks::UNDEFINED 100232 # Cumulative time (in ticks) in various power states
-system.ruby.network.routers2.percent_links_utilized 7.332987
+system.ruby.network.routers2.pwrStateResidencyTicks::UNDEFINED 106125 # Cumulative time (in ticks) in various power states
+system.ruby.network.routers2.percent_links_utilized 6.925795
system.ruby.network.routers2.msg_count.Control::2 1472
system.ruby.network.routers2.msg_count.Data::2 1468
system.ruby.network.routers2.msg_count.Response_Data::4 1472
@@ -423,7 +434,7 @@ system.ruby.network.routers2.msg_bytes.Control::2 11776
system.ruby.network.routers2.msg_bytes.Data::2 105696
system.ruby.network.routers2.msg_bytes.Response_Data::4 105984
system.ruby.network.routers2.msg_bytes.Writeback_Control::3 11744
-system.ruby.network.pwrStateResidencyTicks::UNDEFINED 100232 # Cumulative time (in ticks) in various power states
+system.ruby.network.pwrStateResidencyTicks::UNDEFINED 106125 # Cumulative time (in ticks) in various power states
system.ruby.network.msg_count.Control 4416
system.ruby.network.msg_count.Data 4404
system.ruby.network.msg_count.Response_Data 4416
@@ -432,33 +443,33 @@ system.ruby.network.msg_byte.Control 35328
system.ruby.network.msg_byte.Data 317088
system.ruby.network.msg_byte.Response_Data 317952
system.ruby.network.msg_byte.Writeback_Control 35232
-system.sys_port_proxy.pwrStateResidencyTicks::UNDEFINED 100232 # Cumulative time (in ticks) in various power states
-system.ruby.network.routers0.throttle0.link_utilization 7.340969
+system.sys_port_proxy.pwrStateResidencyTicks::UNDEFINED 106125 # Cumulative time (in ticks) in various power states
+system.ruby.network.routers0.throttle0.link_utilization 6.933333
system.ruby.network.routers0.throttle0.msg_count.Response_Data::4 1472
system.ruby.network.routers0.throttle0.msg_count.Writeback_Control::3 1468
system.ruby.network.routers0.throttle0.msg_bytes.Response_Data::4 105984
system.ruby.network.routers0.throttle0.msg_bytes.Writeback_Control::3 11744
-system.ruby.network.routers0.throttle1.link_utilization 7.325006
+system.ruby.network.routers0.throttle1.link_utilization 6.918257
system.ruby.network.routers0.throttle1.msg_count.Control::2 1472
system.ruby.network.routers0.throttle1.msg_count.Data::2 1468
system.ruby.network.routers0.throttle1.msg_bytes.Control::2 11776
system.ruby.network.routers0.throttle1.msg_bytes.Data::2 105696
-system.ruby.network.routers1.throttle0.link_utilization 7.325006
+system.ruby.network.routers1.throttle0.link_utilization 6.918257
system.ruby.network.routers1.throttle0.msg_count.Control::2 1472
system.ruby.network.routers1.throttle0.msg_count.Data::2 1468
system.ruby.network.routers1.throttle0.msg_bytes.Control::2 11776
system.ruby.network.routers1.throttle0.msg_bytes.Data::2 105696
-system.ruby.network.routers1.throttle1.link_utilization 7.340969
+system.ruby.network.routers1.throttle1.link_utilization 6.933333
system.ruby.network.routers1.throttle1.msg_count.Response_Data::4 1472
system.ruby.network.routers1.throttle1.msg_count.Writeback_Control::3 1468
system.ruby.network.routers1.throttle1.msg_bytes.Response_Data::4 105984
system.ruby.network.routers1.throttle1.msg_bytes.Writeback_Control::3 11744
-system.ruby.network.routers2.throttle0.link_utilization 7.340969
+system.ruby.network.routers2.throttle0.link_utilization 6.933333
system.ruby.network.routers2.throttle0.msg_count.Response_Data::4 1472
system.ruby.network.routers2.throttle0.msg_count.Writeback_Control::3 1468
system.ruby.network.routers2.throttle0.msg_bytes.Response_Data::4 105984
system.ruby.network.routers2.throttle0.msg_bytes.Writeback_Control::3 11744
-system.ruby.network.routers2.throttle1.link_utilization 7.325006
+system.ruby.network.routers2.throttle1.link_utilization 6.918257
system.ruby.network.routers2.throttle1.msg_count.Control::2 1472
system.ruby.network.routers2.throttle1.msg_count.Data::2 1468
system.ruby.network.routers2.throttle1.msg_bytes.Control::2 11776
@@ -476,10 +487,10 @@ system.ruby.delayVCHist.vnet_2::total 1468 # de
system.ruby.LD.latency_hist_seqr::bucket_size 64
system.ruby.LD.latency_hist_seqr::max_bucket 639
system.ruby.LD.latency_hist_seqr::samples 1135
-system.ruby.LD.latency_hist_seqr::mean 33.525991
-system.ruby.LD.latency_hist_seqr::gmean 10.018050
-system.ruby.LD.latency_hist_seqr::stdev 38.312060
-system.ruby.LD.latency_hist_seqr | 999 88.02% 88.02% | 116 10.22% 98.24% | 13 1.15% 99.38% | 0 0.00% 99.38% | 6 0.53% 99.91% | 1 0.09% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.LD.latency_hist_seqr::mean 35.394714
+system.ruby.LD.latency_hist_seqr::gmean 10.319359
+system.ruby.LD.latency_hist_seqr::stdev 39.399406
+system.ruby.LD.latency_hist_seqr | 768 67.67% 67.67% | 344 30.31% 97.97% | 15 1.32% 99.30% | 4 0.35% 99.65% | 2 0.18% 99.82% | 2 0.18% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.LD.latency_hist_seqr::total 1135
system.ruby.LD.hit_latency_hist_seqr::bucket_size 1
system.ruby.LD.hit_latency_hist_seqr::max_bucket 9
@@ -491,18 +502,18 @@ system.ruby.LD.hit_latency_hist_seqr::total 466
system.ruby.LD.miss_latency_hist_seqr::bucket_size 64
system.ruby.LD.miss_latency_hist_seqr::max_bucket 639
system.ruby.LD.miss_latency_hist_seqr::samples 669
-system.ruby.LD.miss_latency_hist_seqr::mean 56.182362
-system.ruby.LD.miss_latency_hist_seqr::gmean 49.875907
-system.ruby.LD.miss_latency_hist_seqr::stdev 35.208867
-system.ruby.LD.miss_latency_hist_seqr | 533 79.67% 79.67% | 116 17.34% 97.01% | 13 1.94% 98.95% | 0 0.00% 98.95% | 6 0.90% 99.85% | 1 0.15% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.LD.miss_latency_hist_seqr::mean 59.352765
+system.ruby.LD.miss_latency_hist_seqr::gmean 52.447495
+system.ruby.LD.miss_latency_hist_seqr::stdev 35.144031
+system.ruby.LD.miss_latency_hist_seqr | 302 45.14% 45.14% | 344 51.42% 96.56% | 15 2.24% 98.80% | 4 0.60% 99.40% | 2 0.30% 99.70% | 2 0.30% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.LD.miss_latency_hist_seqr::total 669
-system.ruby.ST.latency_hist_seqr::bucket_size 64
-system.ruby.ST.latency_hist_seqr::max_bucket 639
+system.ruby.ST.latency_hist_seqr::bucket_size 32
+system.ruby.ST.latency_hist_seqr::max_bucket 319
system.ruby.ST.latency_hist_seqr::samples 901
-system.ruby.ST.latency_hist_seqr::mean 13.069922
-system.ruby.ST.latency_hist_seqr::gmean 2.509564
-system.ruby.ST.latency_hist_seqr::stdev 28.093942
-system.ruby.ST.latency_hist_seqr | 870 96.56% 96.56% | 27 3.00% 99.56% | 3 0.33% 99.89% | 0 0.00% 99.89% | 0 0.00% 99.89% | 0 0.00% 99.89% | 0 0.00% 99.89% | 1 0.11% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.ST.latency_hist_seqr::mean 13.442841
+system.ruby.ST.latency_hist_seqr::gmean 2.518866
+system.ruby.ST.latency_hist_seqr::stdev 27.757167
+system.ruby.ST.latency_hist_seqr | 684 75.92% 75.92% | 130 14.43% 90.34% | 81 8.99% 99.33% | 0 0.00% 99.33% | 1 0.11% 99.45% | 3 0.33% 99.78% | 0 0.00% 99.78% | 0 0.00% 99.78% | 1 0.11% 99.89% | 1 0.11% 100.00%
system.ruby.ST.latency_hist_seqr::total 901
system.ruby.ST.hit_latency_hist_seqr::bucket_size 1
system.ruby.ST.hit_latency_hist_seqr::max_bucket 9
@@ -511,21 +522,21 @@ system.ruby.ST.hit_latency_hist_seqr::mean 1
system.ruby.ST.hit_latency_hist_seqr::gmean 1
system.ruby.ST.hit_latency_hist_seqr | 0 0.00% 0.00% | 684 100.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.ST.hit_latency_hist_seqr::total 684
-system.ruby.ST.miss_latency_hist_seqr::bucket_size 64
-system.ruby.ST.miss_latency_hist_seqr::max_bucket 639
+system.ruby.ST.miss_latency_hist_seqr::bucket_size 32
+system.ruby.ST.miss_latency_hist_seqr::max_bucket 319
system.ruby.ST.miss_latency_hist_seqr::samples 217
-system.ruby.ST.miss_latency_hist_seqr::mean 51.115207
-system.ruby.ST.miss_latency_hist_seqr::gmean 45.620625
-system.ruby.ST.miss_latency_hist_seqr::stdev 37.056021
-system.ruby.ST.miss_latency_hist_seqr | 186 85.71% 85.71% | 27 12.44% 98.16% | 3 1.38% 99.54% | 0 0.00% 99.54% | 0 0.00% 99.54% | 0 0.00% 99.54% | 0 0.00% 99.54% | 1 0.46% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.ST.miss_latency_hist_seqr::mean 52.663594
+system.ruby.ST.miss_latency_hist_seqr::gmean 46.326875
+system.ruby.ST.miss_latency_hist_seqr::stdev 34.272225
+system.ruby.ST.miss_latency_hist_seqr | 0 0.00% 0.00% | 130 59.91% 59.91% | 81 37.33% 97.24% | 0 0.00% 97.24% | 1 0.46% 97.70% | 3 1.38% 99.08% | 0 0.00% 99.08% | 0 0.00% 99.08% | 1 0.46% 99.54% | 1 0.46% 100.00%
system.ruby.ST.miss_latency_hist_seqr::total 217
system.ruby.IFETCH.latency_hist_seqr::bucket_size 64
system.ruby.IFETCH.latency_hist_seqr::max_bucket 639
system.ruby.IFETCH.latency_hist_seqr::samples 5642
-system.ruby.IFETCH.latency_hist_seqr::mean 7.572847
-system.ruby.IFETCH.latency_hist_seqr::gmean 1.525495
-system.ruby.IFETCH.latency_hist_seqr::stdev 22.420339
-system.ruby.IFETCH.latency_hist_seqr | 5503 97.54% 97.54% | 110 1.95% 99.49% | 21 0.37% 99.86% | 4 0.07% 99.93% | 0 0.00% 99.93% | 4 0.07% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.IFETCH.latency_hist_seqr::mean 8.181850
+system.ruby.IFETCH.latency_hist_seqr::gmean 1.537199
+system.ruby.IFETCH.latency_hist_seqr::stdev 24.735651
+system.ruby.IFETCH.latency_hist_seqr | 5201 92.18% 92.18% | 409 7.25% 99.43% | 21 0.37% 99.81% | 4 0.07% 99.88% | 4 0.07% 99.95% | 3 0.05% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.IFETCH.latency_hist_seqr::total 5642
system.ruby.IFETCH.hit_latency_hist_seqr::bucket_size 1
system.ruby.IFETCH.hit_latency_hist_seqr::max_bucket 9
@@ -537,18 +548,18 @@ system.ruby.IFETCH.hit_latency_hist_seqr::total 5056
system.ruby.IFETCH.miss_latency_hist_seqr::bucket_size 64
system.ruby.IFETCH.miss_latency_hist_seqr::max_bucket 639
system.ruby.IFETCH.miss_latency_hist_seqr::samples 586
-system.ruby.IFETCH.miss_latency_hist_seqr::mean 64.283276
-system.ruby.IFETCH.miss_latency_hist_seqr::gmean 58.328027
-system.ruby.IFETCH.miss_latency_hist_seqr::stdev 35.386051
-system.ruby.IFETCH.miss_latency_hist_seqr | 447 76.28% 76.28% | 110 18.77% 95.05% | 21 3.58% 98.63% | 4 0.68% 99.32% | 0 0.00% 99.32% | 4 0.68% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.IFETCH.miss_latency_hist_seqr::mean 70.146758
+system.ruby.IFETCH.miss_latency_hist_seqr::gmean 62.782043
+system.ruby.IFETCH.miss_latency_hist_seqr::stdev 40.099052
+system.ruby.IFETCH.miss_latency_hist_seqr | 145 24.74% 24.74% | 409 69.80% 94.54% | 21 3.58% 98.12% | 4 0.68% 98.81% | 4 0.68% 99.49% | 3 0.51% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.IFETCH.miss_latency_hist_seqr::total 586
system.ruby.Directory.miss_mach_latency_hist_seqr::bucket_size 64
system.ruby.Directory.miss_mach_latency_hist_seqr::max_bucket 639
system.ruby.Directory.miss_mach_latency_hist_seqr::samples 1472
-system.ruby.Directory.miss_mach_latency_hist_seqr::mean 58.660326
-system.ruby.Directory.miss_mach_latency_hist_seqr::gmean 52.389786
-system.ruby.Directory.miss_mach_latency_hist_seqr::stdev 35.865583
-system.ruby.Directory.miss_mach_latency_hist_seqr | 1166 79.21% 79.21% | 253 17.19% 96.40% | 37 2.51% 98.91% | 4 0.27% 99.18% | 6 0.41% 99.59% | 5 0.34% 99.93% | 0 0.00% 99.93% | 1 0.07% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.Directory.miss_mach_latency_hist_seqr::mean 62.663723
+system.ruby.Directory.miss_mach_latency_hist_seqr::gmean 55.319189
+system.ruby.Directory.miss_mach_latency_hist_seqr::stdev 37.614530
+system.ruby.Directory.miss_mach_latency_hist_seqr | 577 39.20% 39.20% | 834 56.66% 95.86% | 40 2.72% 98.57% | 8 0.54% 99.12% | 8 0.54% 99.66% | 5 0.34% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.Directory.miss_mach_latency_hist_seqr::total 1472
system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::bucket_size 1
system.ruby.Directory.miss_latency_hist_seqr.issue_to_initial_request::max_bucket 9
@@ -579,26 +590,26 @@ system.ruby.Directory.miss_latency_hist_seqr.first_response_to_completion::total
system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::bucket_size 64
system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::max_bucket 639
system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::samples 669
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::mean 56.182362
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::gmean 49.875907
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::stdev 35.208867
-system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr | 533 79.67% 79.67% | 116 17.34% 97.01% | 13 1.94% 98.95% | 0 0.00% 98.95% | 6 0.90% 99.85% | 1 0.15% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::mean 59.352765
+system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::gmean 52.447495
+system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::stdev 35.144031
+system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr | 302 45.14% 45.14% | 344 51.42% 96.56% | 15 2.24% 98.80% | 4 0.60% 99.40% | 2 0.30% 99.70% | 2 0.30% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.LD.Directory.miss_type_mach_latency_hist_seqr::total 669
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::bucket_size 64
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::max_bucket 639
+system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::bucket_size 32
+system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::max_bucket 319
system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::samples 217
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::mean 51.115207
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::gmean 45.620625
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::stdev 37.056021
-system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr | 186 85.71% 85.71% | 27 12.44% 98.16% | 3 1.38% 99.54% | 0 0.00% 99.54% | 0 0.00% 99.54% | 0 0.00% 99.54% | 0 0.00% 99.54% | 1 0.46% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::mean 52.663594
+system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::gmean 46.326875
+system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::stdev 34.272225
+system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr | 0 0.00% 0.00% | 130 59.91% 59.91% | 81 37.33% 97.24% | 0 0.00% 97.24% | 1 0.46% 97.70% | 3 1.38% 99.08% | 0 0.00% 99.08% | 0 0.00% 99.08% | 1 0.46% 99.54% | 1 0.46% 100.00%
system.ruby.ST.Directory.miss_type_mach_latency_hist_seqr::total 217
system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::bucket_size 64
system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::max_bucket 639
system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::samples 586
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::mean 64.283276
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::gmean 58.328027
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::stdev 35.386051
-system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr | 447 76.28% 76.28% | 110 18.77% 95.05% | 21 3.58% 98.63% | 4 0.68% 99.32% | 0 0.00% 99.32% | 4 0.68% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
+system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::mean 70.146758
+system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::gmean 62.782043
+system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::stdev 40.099052
+system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr | 145 24.74% 24.74% | 409 69.80% 94.54% | 21 3.58% 98.12% | 4 0.68% 98.81% | 4 0.68% 99.49% | 3 0.51% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00% | 0 0.00% 100.00%
system.ruby.IFETCH.Directory.miss_type_mach_latency_hist_seqr::total 586
system.ruby.Directory_Controller.GETX 1472 0.00% 0.00%
system.ruby.Directory_Controller.PUTX 1468 0.00% 0.00%