summaryrefslogtreecommitdiff
path: root/tests/quick/se/00.hello
diff options
context:
space:
mode:
authorGabe Black <gblack@eecs.umich.edu>2012-01-28 07:24:45 -0800
committerGabe Black <gblack@eecs.umich.edu>2012-01-28 07:24:45 -0800
commit57e07ac2d2daaa7469241372510395e43ebe14c0 (patch)
treedc338f4fbe8b26f7d7d3532ea0abe324846ca33d /tests/quick/se/00.hello
parentec20ee2f7cdaff22e63a5ae492f925d0d4839849 (diff)
downloadgem5-57e07ac2d2daaa7469241372510395e43ebe14c0.tar.xz
SE/FS: Make both SE and FS tests available all the time.
--HG-- rename : tests/long/10.linux-boot/ref/alpha/linux/tsunami-o3-dual/config.ini => tests/long/fs/10.linux-boot/ref/alpha/linux/tsunami-o3-dual/config.ini rename : tests/long/10.linux-boot/ref/alpha/linux/tsunami-o3-dual/simerr => tests/long/fs/10.linux-boot/ref/alpha/linux/tsunami-o3-dual/simerr rename : tests/long/10.linux-boot/ref/alpha/linux/tsunami-o3-dual/simout => tests/long/fs/10.linux-boot/ref/alpha/linux/tsunami-o3-dual/simout rename : tests/long/10.linux-boot/ref/alpha/linux/tsunami-o3-dual/stats.txt => tests/long/fs/10.linux-boot/ref/alpha/linux/tsunami-o3-dual/stats.txt rename : tests/long/10.linux-boot/ref/alpha/linux/tsunami-o3-dual/system.terminal => tests/long/fs/10.linux-boot/ref/alpha/linux/tsunami-o3-dual/system.terminal rename : tests/long/10.linux-boot/ref/alpha/linux/tsunami-o3/config.ini => tests/long/fs/10.linux-boot/ref/alpha/linux/tsunami-o3/config.ini rename : tests/long/10.linux-boot/ref/alpha/linux/tsunami-o3/simerr => tests/long/fs/10.linux-boot/ref/alpha/linux/tsunami-o3/simerr rename : tests/long/10.linux-boot/ref/alpha/linux/tsunami-o3/simout => tests/long/fs/10.linux-boot/ref/alpha/linux/tsunami-o3/simout rename : tests/long/10.linux-boot/ref/alpha/linux/tsunami-o3/stats.txt => tests/long/fs/10.linux-boot/ref/alpha/linux/tsunami-o3/stats.txt rename : tests/long/10.linux-boot/ref/alpha/linux/tsunami-o3/system.terminal => tests/long/fs/10.linux-boot/ref/alpha/linux/tsunami-o3/system.terminal rename : tests/long/10.linux-boot/ref/arm/linux/realview-o3-dual/config.ini => tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/config.ini rename : tests/long/10.linux-boot/ref/arm/linux/realview-o3-dual/simerr => tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/simerr rename : tests/long/10.linux-boot/ref/arm/linux/realview-o3-dual/simout => tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/simout rename : tests/long/10.linux-boot/ref/arm/linux/realview-o3-dual/stats.txt => tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/stats.txt rename : tests/long/10.linux-boot/ref/arm/linux/realview-o3-dual/status => tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/status rename : tests/long/10.linux-boot/ref/arm/linux/realview-o3-dual/system.terminal => tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3-dual/system.terminal rename : tests/long/10.linux-boot/ref/arm/linux/realview-o3/config.ini => tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/config.ini rename : tests/long/10.linux-boot/ref/arm/linux/realview-o3/simerr => tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/simerr rename : tests/long/10.linux-boot/ref/arm/linux/realview-o3/simout => tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/simout rename : tests/long/10.linux-boot/ref/arm/linux/realview-o3/stats.txt => tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/stats.txt rename : tests/long/10.linux-boot/ref/arm/linux/realview-o3/system.terminal => tests/long/fs/10.linux-boot/ref/arm/linux/realview-o3/system.terminal rename : tests/long/10.linux-boot/ref/x86/linux/pc-o3-timing/config.ini => tests/long/fs/10.linux-boot/ref/x86/linux/pc-o3-timing/config.ini rename : tests/long/10.linux-boot/ref/x86/linux/pc-o3-timing/simerr => tests/long/fs/10.linux-boot/ref/x86/linux/pc-o3-timing/simerr rename : tests/long/10.linux-boot/ref/x86/linux/pc-o3-timing/simout => tests/long/fs/10.linux-boot/ref/x86/linux/pc-o3-timing/simout rename : tests/long/10.linux-boot/ref/x86/linux/pc-o3-timing/stats.txt => tests/long/fs/10.linux-boot/ref/x86/linux/pc-o3-timing/stats.txt rename : tests/long/10.linux-boot/ref/x86/linux/pc-o3-timing/system.pc.com_1.terminal => tests/long/fs/10.linux-boot/ref/x86/linux/pc-o3-timing/system.pc.com_1.terminal rename : tests/long/10.linux-boot/test.py => tests/long/fs/10.linux-boot/test.py rename : tests/long/80.solaris-boot/ref/sparc/solaris/t1000-simple-atomic/config.ini => tests/long/fs/80.solaris-boot/ref/sparc/solaris/t1000-simple-atomic/config.ini rename : tests/long/80.solaris-boot/ref/sparc/solaris/t1000-simple-atomic/simerr => tests/long/fs/80.solaris-boot/ref/sparc/solaris/t1000-simple-atomic/simerr rename : tests/long/80.solaris-boot/ref/sparc/solaris/t1000-simple-atomic/simout => tests/long/fs/80.solaris-boot/ref/sparc/solaris/t1000-simple-atomic/simout rename : tests/long/80.solaris-boot/ref/sparc/solaris/t1000-simple-atomic/stats.txt => tests/long/fs/80.solaris-boot/ref/sparc/solaris/t1000-simple-atomic/stats.txt rename : tests/long/80.solaris-boot/ref/sparc/solaris/t1000-simple-atomic/system.t1000.hterm => tests/long/fs/80.solaris-boot/ref/sparc/solaris/t1000-simple-atomic/system.t1000.hterm rename : tests/long/80.solaris-boot/ref/sparc/solaris/t1000-simple-atomic/system.t1000.pterm => tests/long/fs/80.solaris-boot/ref/sparc/solaris/t1000-simple-atomic/system.t1000.pterm rename : tests/long/80.solaris-boot/test.py => tests/long/fs/80.solaris-boot/test.py rename : tests/long/00.gzip/ref/alpha/tru64/inorder-timing/config.ini => tests/long/se/00.gzip/ref/alpha/tru64/inorder-timing/config.ini rename : tests/long/00.gzip/ref/alpha/tru64/inorder-timing/simerr => tests/long/se/00.gzip/ref/alpha/tru64/inorder-timing/simerr rename : tests/long/00.gzip/ref/alpha/tru64/inorder-timing/simout => tests/long/se/00.gzip/ref/alpha/tru64/inorder-timing/simout rename : tests/long/00.gzip/ref/alpha/tru64/inorder-timing/stats.txt => tests/long/se/00.gzip/ref/alpha/tru64/inorder-timing/stats.txt rename : tests/long/00.gzip/ref/alpha/tru64/o3-timing/config.ini => tests/long/se/00.gzip/ref/alpha/tru64/o3-timing/config.ini rename : tests/long/00.gzip/ref/alpha/tru64/o3-timing/simerr => tests/long/se/00.gzip/ref/alpha/tru64/o3-timing/simerr rename : tests/long/00.gzip/ref/alpha/tru64/o3-timing/simout => tests/long/se/00.gzip/ref/alpha/tru64/o3-timing/simout rename : tests/long/00.gzip/ref/alpha/tru64/o3-timing/stats.txt => tests/long/se/00.gzip/ref/alpha/tru64/o3-timing/stats.txt rename : tests/long/00.gzip/ref/alpha/tru64/simple-atomic/config.ini => tests/long/se/00.gzip/ref/alpha/tru64/simple-atomic/config.ini rename : tests/long/00.gzip/ref/alpha/tru64/simple-atomic/simerr => tests/long/se/00.gzip/ref/alpha/tru64/simple-atomic/simerr rename : tests/long/00.gzip/ref/alpha/tru64/simple-atomic/simout => tests/long/se/00.gzip/ref/alpha/tru64/simple-atomic/simout rename : tests/long/00.gzip/ref/alpha/tru64/simple-atomic/stats.txt => tests/long/se/00.gzip/ref/alpha/tru64/simple-atomic/stats.txt rename : tests/long/00.gzip/ref/alpha/tru64/simple-timing/config.ini => tests/long/se/00.gzip/ref/alpha/tru64/simple-timing/config.ini rename : tests/long/00.gzip/ref/alpha/tru64/simple-timing/simerr => tests/long/se/00.gzip/ref/alpha/tru64/simple-timing/simerr rename : tests/long/00.gzip/ref/alpha/tru64/simple-timing/simout => tests/long/se/00.gzip/ref/alpha/tru64/simple-timing/simout rename : tests/long/00.gzip/ref/alpha/tru64/simple-timing/stats.txt => tests/long/se/00.gzip/ref/alpha/tru64/simple-timing/stats.txt rename : tests/long/00.gzip/ref/arm/linux/o3-timing/config.ini => tests/long/se/00.gzip/ref/arm/linux/o3-timing/config.ini rename : tests/long/00.gzip/ref/arm/linux/o3-timing/simerr => tests/long/se/00.gzip/ref/arm/linux/o3-timing/simerr rename : tests/long/00.gzip/ref/arm/linux/o3-timing/simout => tests/long/se/00.gzip/ref/arm/linux/o3-timing/simout rename : tests/long/00.gzip/ref/arm/linux/o3-timing/stats.txt => tests/long/se/00.gzip/ref/arm/linux/o3-timing/stats.txt rename : tests/long/00.gzip/ref/arm/linux/simple-atomic/config.ini => tests/long/se/00.gzip/ref/arm/linux/simple-atomic/config.ini rename : tests/long/00.gzip/ref/arm/linux/simple-atomic/simerr => tests/long/se/00.gzip/ref/arm/linux/simple-atomic/simerr rename : tests/long/00.gzip/ref/arm/linux/simple-atomic/simout => tests/long/se/00.gzip/ref/arm/linux/simple-atomic/simout rename : tests/long/00.gzip/ref/arm/linux/simple-atomic/stats.txt => tests/long/se/00.gzip/ref/arm/linux/simple-atomic/stats.txt rename : tests/long/00.gzip/ref/arm/linux/simple-timing/config.ini => tests/long/se/00.gzip/ref/arm/linux/simple-timing/config.ini rename : tests/long/00.gzip/ref/arm/linux/simple-timing/simerr => tests/long/se/00.gzip/ref/arm/linux/simple-timing/simerr rename : tests/long/00.gzip/ref/arm/linux/simple-timing/simout => tests/long/se/00.gzip/ref/arm/linux/simple-timing/simout rename : tests/long/00.gzip/ref/arm/linux/simple-timing/stats.txt => tests/long/se/00.gzip/ref/arm/linux/simple-timing/stats.txt rename : tests/long/00.gzip/ref/sparc/linux/o3-timing/config.ini => tests/long/se/00.gzip/ref/sparc/linux/o3-timing/config.ini rename : tests/long/00.gzip/ref/sparc/linux/o3-timing/simerr => tests/long/se/00.gzip/ref/sparc/linux/o3-timing/simerr rename : tests/long/00.gzip/ref/sparc/linux/o3-timing/simout => tests/long/se/00.gzip/ref/sparc/linux/o3-timing/simout rename : tests/long/00.gzip/ref/sparc/linux/o3-timing/stats.txt => tests/long/se/00.gzip/ref/sparc/linux/o3-timing/stats.txt rename : tests/long/00.gzip/ref/sparc/linux/simple-atomic/config.ini => tests/long/se/00.gzip/ref/sparc/linux/simple-atomic/config.ini rename : tests/long/00.gzip/ref/sparc/linux/simple-atomic/simerr => tests/long/se/00.gzip/ref/sparc/linux/simple-atomic/simerr rename : tests/long/00.gzip/ref/sparc/linux/simple-atomic/simout => tests/long/se/00.gzip/ref/sparc/linux/simple-atomic/simout rename : tests/long/00.gzip/ref/sparc/linux/simple-atomic/stats.txt => tests/long/se/00.gzip/ref/sparc/linux/simple-atomic/stats.txt rename : tests/long/00.gzip/ref/sparc/linux/simple-timing/config.ini => tests/long/se/00.gzip/ref/sparc/linux/simple-timing/config.ini rename : tests/long/00.gzip/ref/sparc/linux/simple-timing/simerr => tests/long/se/00.gzip/ref/sparc/linux/simple-timing/simerr rename : tests/long/00.gzip/ref/sparc/linux/simple-timing/simout => tests/long/se/00.gzip/ref/sparc/linux/simple-timing/simout rename : tests/long/00.gzip/ref/sparc/linux/simple-timing/stats.txt => tests/long/se/00.gzip/ref/sparc/linux/simple-timing/stats.txt rename : tests/long/00.gzip/ref/x86/linux/o3-timing/config.ini => tests/long/se/00.gzip/ref/x86/linux/o3-timing/config.ini rename : tests/long/00.gzip/ref/x86/linux/o3-timing/simerr => tests/long/se/00.gzip/ref/x86/linux/o3-timing/simerr rename : tests/long/00.gzip/ref/x86/linux/o3-timing/simout => tests/long/se/00.gzip/ref/x86/linux/o3-timing/simout rename : tests/long/00.gzip/ref/x86/linux/o3-timing/stats.txt => tests/long/se/00.gzip/ref/x86/linux/o3-timing/stats.txt rename : tests/long/00.gzip/ref/x86/linux/simple-atomic/config.ini => tests/long/se/00.gzip/ref/x86/linux/simple-atomic/config.ini rename : tests/long/00.gzip/ref/x86/linux/simple-atomic/simerr => tests/long/se/00.gzip/ref/x86/linux/simple-atomic/simerr rename : tests/long/00.gzip/ref/x86/linux/simple-atomic/simout => tests/long/se/00.gzip/ref/x86/linux/simple-atomic/simout rename : tests/long/00.gzip/ref/x86/linux/simple-atomic/stats.txt => tests/long/se/00.gzip/ref/x86/linux/simple-atomic/stats.txt rename : tests/long/00.gzip/ref/x86/linux/simple-timing/config.ini => tests/long/se/00.gzip/ref/x86/linux/simple-timing/config.ini rename : tests/long/00.gzip/ref/x86/linux/simple-timing/simerr => tests/long/se/00.gzip/ref/x86/linux/simple-timing/simerr rename : tests/long/00.gzip/ref/x86/linux/simple-timing/simout => tests/long/se/00.gzip/ref/x86/linux/simple-timing/simout rename : tests/long/00.gzip/ref/x86/linux/simple-timing/stats.txt => tests/long/se/00.gzip/ref/x86/linux/simple-timing/stats.txt rename : tests/long/00.gzip/test.py => tests/long/se/00.gzip/test.py rename : tests/long/10.mcf/ref/arm/linux/o3-timing/chair.cook.ppm => tests/long/se/10.mcf/ref/arm/linux/o3-timing/chair.cook.ppm rename : tests/long/10.mcf/ref/arm/linux/o3-timing/config.ini => tests/long/se/10.mcf/ref/arm/linux/o3-timing/config.ini rename : tests/long/10.mcf/ref/arm/linux/o3-timing/mcf.out => tests/long/se/10.mcf/ref/arm/linux/o3-timing/mcf.out rename : tests/long/10.mcf/ref/arm/linux/o3-timing/simerr => tests/long/se/10.mcf/ref/arm/linux/o3-timing/simerr rename : tests/long/10.mcf/ref/arm/linux/o3-timing/simout => tests/long/se/10.mcf/ref/arm/linux/o3-timing/simout rename : tests/long/10.mcf/ref/arm/linux/o3-timing/stats.txt => tests/long/se/10.mcf/ref/arm/linux/o3-timing/stats.txt rename : tests/long/10.mcf/ref/arm/linux/simple-atomic/chair.cook.ppm => tests/long/se/10.mcf/ref/arm/linux/simple-atomic/chair.cook.ppm rename : tests/long/10.mcf/ref/arm/linux/simple-atomic/config.ini => tests/long/se/10.mcf/ref/arm/linux/simple-atomic/config.ini rename : tests/long/10.mcf/ref/arm/linux/simple-atomic/mcf.out => tests/long/se/10.mcf/ref/arm/linux/simple-atomic/mcf.out rename : tests/long/10.mcf/ref/arm/linux/simple-atomic/simerr => tests/long/se/10.mcf/ref/arm/linux/simple-atomic/simerr rename : tests/long/10.mcf/ref/arm/linux/simple-atomic/simout => tests/long/se/10.mcf/ref/arm/linux/simple-atomic/simout rename : tests/long/10.mcf/ref/arm/linux/simple-atomic/stats.txt => tests/long/se/10.mcf/ref/arm/linux/simple-atomic/stats.txt rename : tests/long/10.mcf/ref/arm/linux/simple-timing/chair.cook.ppm => tests/long/se/10.mcf/ref/arm/linux/simple-timing/chair.cook.ppm rename : tests/long/10.mcf/ref/arm/linux/simple-timing/config.ini => tests/long/se/10.mcf/ref/arm/linux/simple-timing/config.ini rename : tests/long/10.mcf/ref/arm/linux/simple-timing/mcf.out => tests/long/se/10.mcf/ref/arm/linux/simple-timing/mcf.out rename : tests/long/10.mcf/ref/arm/linux/simple-timing/simerr => tests/long/se/10.mcf/ref/arm/linux/simple-timing/simerr rename : tests/long/10.mcf/ref/arm/linux/simple-timing/simout => tests/long/se/10.mcf/ref/arm/linux/simple-timing/simout rename : tests/long/10.mcf/ref/arm/linux/simple-timing/stats.txt => tests/long/se/10.mcf/ref/arm/linux/simple-timing/stats.txt rename : tests/long/10.mcf/ref/sparc/linux/simple-atomic/config.ini => tests/long/se/10.mcf/ref/sparc/linux/simple-atomic/config.ini rename : tests/long/10.mcf/ref/sparc/linux/simple-atomic/mcf.out => tests/long/se/10.mcf/ref/sparc/linux/simple-atomic/mcf.out rename : tests/long/10.mcf/ref/sparc/linux/simple-atomic/simerr => tests/long/se/10.mcf/ref/sparc/linux/simple-atomic/simerr rename : tests/long/10.mcf/ref/sparc/linux/simple-atomic/simout => tests/long/se/10.mcf/ref/sparc/linux/simple-atomic/simout rename : tests/long/10.mcf/ref/sparc/linux/simple-atomic/stats.txt => tests/long/se/10.mcf/ref/sparc/linux/simple-atomic/stats.txt rename : tests/long/10.mcf/ref/sparc/linux/simple-timing/config.ini => tests/long/se/10.mcf/ref/sparc/linux/simple-timing/config.ini rename : tests/long/10.mcf/ref/sparc/linux/simple-timing/mcf.out => tests/long/se/10.mcf/ref/sparc/linux/simple-timing/mcf.out rename : tests/long/10.mcf/ref/sparc/linux/simple-timing/simerr => tests/long/se/10.mcf/ref/sparc/linux/simple-timing/simerr rename : tests/long/10.mcf/ref/sparc/linux/simple-timing/simout => tests/long/se/10.mcf/ref/sparc/linux/simple-timing/simout rename : tests/long/10.mcf/ref/sparc/linux/simple-timing/stats.txt => tests/long/se/10.mcf/ref/sparc/linux/simple-timing/stats.txt rename : tests/long/10.mcf/ref/x86/linux/o3-timing/config.ini => tests/long/se/10.mcf/ref/x86/linux/o3-timing/config.ini rename : tests/long/10.mcf/ref/x86/linux/o3-timing/mcf.out => tests/long/se/10.mcf/ref/x86/linux/o3-timing/mcf.out rename : tests/long/10.mcf/ref/x86/linux/o3-timing/simerr => tests/long/se/10.mcf/ref/x86/linux/o3-timing/simerr rename : tests/long/10.mcf/ref/x86/linux/o3-timing/simout => tests/long/se/10.mcf/ref/x86/linux/o3-timing/simout rename : tests/long/10.mcf/ref/x86/linux/o3-timing/stats.txt => tests/long/se/10.mcf/ref/x86/linux/o3-timing/stats.txt rename : tests/long/10.mcf/ref/x86/linux/simple-atomic/config.ini => tests/long/se/10.mcf/ref/x86/linux/simple-atomic/config.ini rename : tests/long/10.mcf/ref/x86/linux/simple-atomic/mcf.out => tests/long/se/10.mcf/ref/x86/linux/simple-atomic/mcf.out rename : tests/long/10.mcf/ref/x86/linux/simple-atomic/simerr => tests/long/se/10.mcf/ref/x86/linux/simple-atomic/simerr rename : tests/long/10.mcf/ref/x86/linux/simple-atomic/simout => tests/long/se/10.mcf/ref/x86/linux/simple-atomic/simout rename : tests/long/10.mcf/ref/x86/linux/simple-atomic/stats.txt => tests/long/se/10.mcf/ref/x86/linux/simple-atomic/stats.txt rename : tests/long/10.mcf/ref/x86/linux/simple-timing/config.ini => tests/long/se/10.mcf/ref/x86/linux/simple-timing/config.ini rename : tests/long/10.mcf/ref/x86/linux/simple-timing/mcf.out => tests/long/se/10.mcf/ref/x86/linux/simple-timing/mcf.out rename : tests/long/10.mcf/ref/x86/linux/simple-timing/simerr => tests/long/se/10.mcf/ref/x86/linux/simple-timing/simerr rename : tests/long/10.mcf/ref/x86/linux/simple-timing/simout => tests/long/se/10.mcf/ref/x86/linux/simple-timing/simout rename : tests/long/10.mcf/ref/x86/linux/simple-timing/stats.txt => tests/long/se/10.mcf/ref/x86/linux/simple-timing/stats.txt rename : tests/long/10.mcf/test.py => tests/long/se/10.mcf/test.py rename : tests/long/20.parser/ref/alpha/tru64/NOTE => tests/long/se/20.parser/ref/alpha/tru64/NOTE rename : tests/long/20.parser/ref/arm/linux/o3-timing/config.ini => tests/long/se/20.parser/ref/arm/linux/o3-timing/config.ini rename : tests/long/20.parser/ref/arm/linux/o3-timing/simerr => tests/long/se/20.parser/ref/arm/linux/o3-timing/simerr rename : tests/long/20.parser/ref/arm/linux/o3-timing/simout => tests/long/se/20.parser/ref/arm/linux/o3-timing/simout rename : tests/long/20.parser/ref/arm/linux/o3-timing/stats.txt => tests/long/se/20.parser/ref/arm/linux/o3-timing/stats.txt rename : tests/long/20.parser/ref/arm/linux/simple-atomic/config.ini => tests/long/se/20.parser/ref/arm/linux/simple-atomic/config.ini rename : tests/long/20.parser/ref/arm/linux/simple-atomic/simerr => tests/long/se/20.parser/ref/arm/linux/simple-atomic/simerr rename : tests/long/20.parser/ref/arm/linux/simple-atomic/simout => tests/long/se/20.parser/ref/arm/linux/simple-atomic/simout rename : tests/long/20.parser/ref/arm/linux/simple-atomic/stats.txt => tests/long/se/20.parser/ref/arm/linux/simple-atomic/stats.txt rename : tests/long/20.parser/ref/arm/linux/simple-timing/config.ini => tests/long/se/20.parser/ref/arm/linux/simple-timing/config.ini rename : tests/long/20.parser/ref/arm/linux/simple-timing/simerr => tests/long/se/20.parser/ref/arm/linux/simple-timing/simerr rename : tests/long/20.parser/ref/arm/linux/simple-timing/simout => tests/long/se/20.parser/ref/arm/linux/simple-timing/simout rename : tests/long/20.parser/ref/arm/linux/simple-timing/stats.txt => tests/long/se/20.parser/ref/arm/linux/simple-timing/stats.txt rename : tests/long/20.parser/ref/x86/linux/o3-timing/config.ini => tests/long/se/20.parser/ref/x86/linux/o3-timing/config.ini rename : tests/long/20.parser/ref/x86/linux/o3-timing/simerr => tests/long/se/20.parser/ref/x86/linux/o3-timing/simerr rename : tests/long/20.parser/ref/x86/linux/o3-timing/simout => tests/long/se/20.parser/ref/x86/linux/o3-timing/simout rename : tests/long/20.parser/ref/x86/linux/o3-timing/stats.txt => tests/long/se/20.parser/ref/x86/linux/o3-timing/stats.txt rename : tests/long/20.parser/ref/x86/linux/simple-atomic/config.ini => tests/long/se/20.parser/ref/x86/linux/simple-atomic/config.ini rename : tests/long/20.parser/ref/x86/linux/simple-atomic/simerr => tests/long/se/20.parser/ref/x86/linux/simple-atomic/simerr rename : tests/long/20.parser/ref/x86/linux/simple-atomic/simout => tests/long/se/20.parser/ref/x86/linux/simple-atomic/simout rename : tests/long/20.parser/ref/x86/linux/simple-atomic/stats.txt => tests/long/se/20.parser/ref/x86/linux/simple-atomic/stats.txt rename : tests/long/20.parser/ref/x86/linux/simple-timing/config.ini => tests/long/se/20.parser/ref/x86/linux/simple-timing/config.ini rename : tests/long/20.parser/ref/x86/linux/simple-timing/simerr => tests/long/se/20.parser/ref/x86/linux/simple-timing/simerr rename : tests/long/20.parser/ref/x86/linux/simple-timing/simout => tests/long/se/20.parser/ref/x86/linux/simple-timing/simout rename : tests/long/20.parser/ref/x86/linux/simple-timing/stats.txt => tests/long/se/20.parser/ref/x86/linux/simple-timing/stats.txt rename : tests/long/20.parser/test.py => tests/long/se/20.parser/test.py rename : tests/long/30.eon/ref/alpha/tru64/inorder-timing/config.ini => tests/long/se/30.eon/ref/alpha/tru64/inorder-timing/config.ini rename : tests/long/30.eon/ref/alpha/tru64/inorder-timing/simerr => tests/long/se/30.eon/ref/alpha/tru64/inorder-timing/simerr rename : tests/long/30.eon/ref/alpha/tru64/inorder-timing/simout => tests/long/se/30.eon/ref/alpha/tru64/inorder-timing/simout rename : tests/long/30.eon/ref/alpha/tru64/inorder-timing/stats.txt => tests/long/se/30.eon/ref/alpha/tru64/inorder-timing/stats.txt rename : tests/long/30.eon/ref/alpha/tru64/o3-timing/config.ini => tests/long/se/30.eon/ref/alpha/tru64/o3-timing/config.ini rename : tests/long/30.eon/ref/alpha/tru64/o3-timing/simerr => tests/long/se/30.eon/ref/alpha/tru64/o3-timing/simerr rename : tests/long/30.eon/ref/alpha/tru64/o3-timing/simout => tests/long/se/30.eon/ref/alpha/tru64/o3-timing/simout rename : tests/long/30.eon/ref/alpha/tru64/o3-timing/stats.txt => tests/long/se/30.eon/ref/alpha/tru64/o3-timing/stats.txt rename : tests/long/30.eon/ref/alpha/tru64/simple-atomic/config.ini => tests/long/se/30.eon/ref/alpha/tru64/simple-atomic/config.ini rename : tests/long/30.eon/ref/alpha/tru64/simple-atomic/simerr => tests/long/se/30.eon/ref/alpha/tru64/simple-atomic/simerr rename : tests/long/30.eon/ref/alpha/tru64/simple-atomic/simout => tests/long/se/30.eon/ref/alpha/tru64/simple-atomic/simout rename : tests/long/30.eon/ref/alpha/tru64/simple-atomic/stats.txt => tests/long/se/30.eon/ref/alpha/tru64/simple-atomic/stats.txt rename : tests/long/30.eon/ref/alpha/tru64/simple-timing/config.ini => tests/long/se/30.eon/ref/alpha/tru64/simple-timing/config.ini rename : tests/long/30.eon/ref/alpha/tru64/simple-timing/simerr => tests/long/se/30.eon/ref/alpha/tru64/simple-timing/simerr rename : tests/long/30.eon/ref/alpha/tru64/simple-timing/simout => tests/long/se/30.eon/ref/alpha/tru64/simple-timing/simout rename : tests/long/30.eon/ref/alpha/tru64/simple-timing/stats.txt => tests/long/se/30.eon/ref/alpha/tru64/simple-timing/stats.txt rename : tests/long/30.eon/ref/arm/linux/o3-timing/config.ini => tests/long/se/30.eon/ref/arm/linux/o3-timing/config.ini rename : tests/long/30.eon/ref/arm/linux/o3-timing/simerr => tests/long/se/30.eon/ref/arm/linux/o3-timing/simerr rename : tests/long/30.eon/ref/arm/linux/o3-timing/simout => tests/long/se/30.eon/ref/arm/linux/o3-timing/simout rename : tests/long/30.eon/ref/arm/linux/o3-timing/stats.txt => tests/long/se/30.eon/ref/arm/linux/o3-timing/stats.txt rename : tests/long/30.eon/ref/arm/linux/simple-atomic/config.ini => tests/long/se/30.eon/ref/arm/linux/simple-atomic/config.ini rename : tests/long/30.eon/ref/arm/linux/simple-atomic/simerr => tests/long/se/30.eon/ref/arm/linux/simple-atomic/simerr rename : tests/long/30.eon/ref/arm/linux/simple-atomic/simout => tests/long/se/30.eon/ref/arm/linux/simple-atomic/simout rename : tests/long/30.eon/ref/arm/linux/simple-atomic/stats.txt => tests/long/se/30.eon/ref/arm/linux/simple-atomic/stats.txt rename : tests/long/30.eon/ref/arm/linux/simple-timing/config.ini => tests/long/se/30.eon/ref/arm/linux/simple-timing/config.ini rename : tests/long/30.eon/ref/arm/linux/simple-timing/simerr => tests/long/se/30.eon/ref/arm/linux/simple-timing/simerr rename : tests/long/30.eon/ref/arm/linux/simple-timing/simout => tests/long/se/30.eon/ref/arm/linux/simple-timing/simout rename : tests/long/30.eon/ref/arm/linux/simple-timing/stats.txt => tests/long/se/30.eon/ref/arm/linux/simple-timing/stats.txt rename : tests/long/30.eon/test.py => tests/long/se/30.eon/test.py rename : tests/long/40.perlbmk/ref/alpha/tru64/o3-timing/config.ini => tests/long/se/40.perlbmk/ref/alpha/tru64/o3-timing/config.ini rename : tests/long/40.perlbmk/ref/alpha/tru64/o3-timing/simerr => tests/long/se/40.perlbmk/ref/alpha/tru64/o3-timing/simerr rename : tests/long/40.perlbmk/ref/alpha/tru64/o3-timing/simout => tests/long/se/40.perlbmk/ref/alpha/tru64/o3-timing/simout rename : tests/long/40.perlbmk/ref/alpha/tru64/o3-timing/stats.txt => tests/long/se/40.perlbmk/ref/alpha/tru64/o3-timing/stats.txt rename : tests/long/40.perlbmk/ref/alpha/tru64/simple-atomic/config.ini => tests/long/se/40.perlbmk/ref/alpha/tru64/simple-atomic/config.ini rename : tests/long/40.perlbmk/ref/alpha/tru64/simple-atomic/simerr => tests/long/se/40.perlbmk/ref/alpha/tru64/simple-atomic/simerr rename : tests/long/40.perlbmk/ref/alpha/tru64/simple-atomic/simout => tests/long/se/40.perlbmk/ref/alpha/tru64/simple-atomic/simout rename : tests/long/40.perlbmk/ref/alpha/tru64/simple-atomic/stats.txt => tests/long/se/40.perlbmk/ref/alpha/tru64/simple-atomic/stats.txt rename : tests/long/40.perlbmk/ref/alpha/tru64/simple-timing/config.ini => tests/long/se/40.perlbmk/ref/alpha/tru64/simple-timing/config.ini rename : tests/long/40.perlbmk/ref/alpha/tru64/simple-timing/simerr => tests/long/se/40.perlbmk/ref/alpha/tru64/simple-timing/simerr rename : tests/long/40.perlbmk/ref/alpha/tru64/simple-timing/simout => tests/long/se/40.perlbmk/ref/alpha/tru64/simple-timing/simout rename : tests/long/40.perlbmk/ref/alpha/tru64/simple-timing/stats.txt => tests/long/se/40.perlbmk/ref/alpha/tru64/simple-timing/stats.txt rename : tests/long/40.perlbmk/ref/arm/linux/o3-timing/config.ini => tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/config.ini rename : tests/long/40.perlbmk/ref/arm/linux/o3-timing/simerr => tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/simerr rename : tests/long/40.perlbmk/ref/arm/linux/o3-timing/simout => tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/simout rename : tests/long/40.perlbmk/ref/arm/linux/o3-timing/stats.txt => tests/long/se/40.perlbmk/ref/arm/linux/o3-timing/stats.txt rename : tests/long/40.perlbmk/ref/arm/linux/simple-atomic/config.ini => tests/long/se/40.perlbmk/ref/arm/linux/simple-atomic/config.ini rename : tests/long/40.perlbmk/ref/arm/linux/simple-atomic/simerr => tests/long/se/40.perlbmk/ref/arm/linux/simple-atomic/simerr rename : tests/long/40.perlbmk/ref/arm/linux/simple-atomic/simout => tests/long/se/40.perlbmk/ref/arm/linux/simple-atomic/simout rename : tests/long/40.perlbmk/ref/arm/linux/simple-atomic/stats.txt => tests/long/se/40.perlbmk/ref/arm/linux/simple-atomic/stats.txt rename : tests/long/40.perlbmk/ref/arm/linux/simple-timing/config.ini => tests/long/se/40.perlbmk/ref/arm/linux/simple-timing/config.ini rename : tests/long/40.perlbmk/ref/arm/linux/simple-timing/simerr => tests/long/se/40.perlbmk/ref/arm/linux/simple-timing/simerr rename : tests/long/40.perlbmk/ref/arm/linux/simple-timing/simout => tests/long/se/40.perlbmk/ref/arm/linux/simple-timing/simout rename : tests/long/40.perlbmk/ref/arm/linux/simple-timing/stats.txt => tests/long/se/40.perlbmk/ref/arm/linux/simple-timing/stats.txt rename : tests/long/40.perlbmk/test.py => tests/long/se/40.perlbmk/test.py rename : tests/long/50.vortex/ref/alpha/tru64/inorder-timing/config.ini => tests/long/se/50.vortex/ref/alpha/tru64/inorder-timing/config.ini rename : tests/long/50.vortex/ref/alpha/tru64/inorder-timing/simerr => tests/long/se/50.vortex/ref/alpha/tru64/inorder-timing/simerr rename : tests/long/50.vortex/ref/alpha/tru64/inorder-timing/simout => tests/long/se/50.vortex/ref/alpha/tru64/inorder-timing/simout rename : tests/long/50.vortex/ref/alpha/tru64/inorder-timing/smred.msg => tests/long/se/50.vortex/ref/alpha/tru64/inorder-timing/smred.msg rename : tests/long/50.vortex/ref/alpha/tru64/inorder-timing/smred.out => tests/long/se/50.vortex/ref/alpha/tru64/inorder-timing/smred.out rename : tests/long/50.vortex/ref/alpha/tru64/inorder-timing/stats.txt => tests/long/se/50.vortex/ref/alpha/tru64/inorder-timing/stats.txt rename : tests/long/50.vortex/ref/alpha/tru64/o3-timing/config.ini => tests/long/se/50.vortex/ref/alpha/tru64/o3-timing/config.ini rename : tests/long/50.vortex/ref/alpha/tru64/o3-timing/simerr => tests/long/se/50.vortex/ref/alpha/tru64/o3-timing/simerr rename : tests/long/50.vortex/ref/alpha/tru64/o3-timing/simout => tests/long/se/50.vortex/ref/alpha/tru64/o3-timing/simout rename : tests/long/50.vortex/ref/alpha/tru64/o3-timing/smred.msg => tests/long/se/50.vortex/ref/alpha/tru64/o3-timing/smred.msg rename : tests/long/50.vortex/ref/alpha/tru64/o3-timing/smred.out => tests/long/se/50.vortex/ref/alpha/tru64/o3-timing/smred.out rename : tests/long/50.vortex/ref/alpha/tru64/o3-timing/stats.txt => tests/long/se/50.vortex/ref/alpha/tru64/o3-timing/stats.txt rename : tests/long/50.vortex/ref/alpha/tru64/simple-atomic/config.ini => tests/long/se/50.vortex/ref/alpha/tru64/simple-atomic/config.ini rename : tests/long/50.vortex/ref/alpha/tru64/simple-atomic/simerr => tests/long/se/50.vortex/ref/alpha/tru64/simple-atomic/simerr rename : tests/long/50.vortex/ref/alpha/tru64/simple-atomic/simout => tests/long/se/50.vortex/ref/alpha/tru64/simple-atomic/simout rename : tests/long/50.vortex/ref/alpha/tru64/simple-atomic/smred.msg => tests/long/se/50.vortex/ref/alpha/tru64/simple-atomic/smred.msg rename : tests/long/50.vortex/ref/alpha/tru64/simple-atomic/smred.out => tests/long/se/50.vortex/ref/alpha/tru64/simple-atomic/smred.out rename : tests/long/50.vortex/ref/alpha/tru64/simple-atomic/stats.txt => tests/long/se/50.vortex/ref/alpha/tru64/simple-atomic/stats.txt rename : tests/long/50.vortex/ref/alpha/tru64/simple-timing/config.ini => tests/long/se/50.vortex/ref/alpha/tru64/simple-timing/config.ini rename : tests/long/50.vortex/ref/alpha/tru64/simple-timing/simerr => tests/long/se/50.vortex/ref/alpha/tru64/simple-timing/simerr rename : tests/long/50.vortex/ref/alpha/tru64/simple-timing/simout => tests/long/se/50.vortex/ref/alpha/tru64/simple-timing/simout rename : tests/long/50.vortex/ref/alpha/tru64/simple-timing/smred.msg => tests/long/se/50.vortex/ref/alpha/tru64/simple-timing/smred.msg rename : tests/long/50.vortex/ref/alpha/tru64/simple-timing/smred.out => tests/long/se/50.vortex/ref/alpha/tru64/simple-timing/smred.out rename : tests/long/50.vortex/ref/alpha/tru64/simple-timing/stats.txt => tests/long/se/50.vortex/ref/alpha/tru64/simple-timing/stats.txt rename : tests/long/50.vortex/ref/arm/linux/o3-timing/config.ini => tests/long/se/50.vortex/ref/arm/linux/o3-timing/config.ini rename : tests/long/50.vortex/ref/arm/linux/o3-timing/simerr => tests/long/se/50.vortex/ref/arm/linux/o3-timing/simerr rename : tests/long/50.vortex/ref/arm/linux/o3-timing/simout => tests/long/se/50.vortex/ref/arm/linux/o3-timing/simout rename : tests/long/50.vortex/ref/arm/linux/o3-timing/smred.out => tests/long/se/50.vortex/ref/arm/linux/o3-timing/smred.out rename : tests/long/50.vortex/ref/arm/linux/o3-timing/stats.txt => tests/long/se/50.vortex/ref/arm/linux/o3-timing/stats.txt rename : tests/long/50.vortex/ref/arm/linux/simple-atomic/config.ini => tests/long/se/50.vortex/ref/arm/linux/simple-atomic/config.ini rename : tests/long/50.vortex/ref/arm/linux/simple-atomic/simerr => tests/long/se/50.vortex/ref/arm/linux/simple-atomic/simerr rename : tests/long/50.vortex/ref/arm/linux/simple-atomic/simout => tests/long/se/50.vortex/ref/arm/linux/simple-atomic/simout rename : tests/long/50.vortex/ref/arm/linux/simple-atomic/smred.out => tests/long/se/50.vortex/ref/arm/linux/simple-atomic/smred.out rename : tests/long/50.vortex/ref/arm/linux/simple-atomic/stats.txt => tests/long/se/50.vortex/ref/arm/linux/simple-atomic/stats.txt rename : tests/long/50.vortex/ref/arm/linux/simple-timing/config.ini => tests/long/se/50.vortex/ref/arm/linux/simple-timing/config.ini rename : tests/long/50.vortex/ref/arm/linux/simple-timing/simerr => tests/long/se/50.vortex/ref/arm/linux/simple-timing/simerr rename : tests/long/50.vortex/ref/arm/linux/simple-timing/simout => tests/long/se/50.vortex/ref/arm/linux/simple-timing/simout rename : tests/long/50.vortex/ref/arm/linux/simple-timing/smred.out => tests/long/se/50.vortex/ref/arm/linux/simple-timing/smred.out rename : tests/long/50.vortex/ref/arm/linux/simple-timing/stats.txt => tests/long/se/50.vortex/ref/arm/linux/simple-timing/stats.txt rename : tests/long/50.vortex/ref/sparc/linux/simple-atomic/config.ini => tests/long/se/50.vortex/ref/sparc/linux/simple-atomic/config.ini rename : tests/long/50.vortex/ref/sparc/linux/simple-atomic/simerr => tests/long/se/50.vortex/ref/sparc/linux/simple-atomic/simerr rename : tests/long/50.vortex/ref/sparc/linux/simple-atomic/simout => tests/long/se/50.vortex/ref/sparc/linux/simple-atomic/simout rename : tests/long/50.vortex/ref/sparc/linux/simple-atomic/smred.msg => tests/long/se/50.vortex/ref/sparc/linux/simple-atomic/smred.msg rename : tests/long/50.vortex/ref/sparc/linux/simple-atomic/smred.out => tests/long/se/50.vortex/ref/sparc/linux/simple-atomic/smred.out rename : tests/long/50.vortex/ref/sparc/linux/simple-atomic/stats.txt => tests/long/se/50.vortex/ref/sparc/linux/simple-atomic/stats.txt rename : tests/long/50.vortex/ref/sparc/linux/simple-timing/config.ini => tests/long/se/50.vortex/ref/sparc/linux/simple-timing/config.ini rename : tests/long/50.vortex/ref/sparc/linux/simple-timing/simerr => tests/long/se/50.vortex/ref/sparc/linux/simple-timing/simerr rename : tests/long/50.vortex/ref/sparc/linux/simple-timing/simout => tests/long/se/50.vortex/ref/sparc/linux/simple-timing/simout rename : tests/long/50.vortex/ref/sparc/linux/simple-timing/smred.msg => tests/long/se/50.vortex/ref/sparc/linux/simple-timing/smred.msg rename : tests/long/50.vortex/ref/sparc/linux/simple-timing/smred.out => tests/long/se/50.vortex/ref/sparc/linux/simple-timing/smred.out rename : tests/long/50.vortex/ref/sparc/linux/simple-timing/stats.txt => tests/long/se/50.vortex/ref/sparc/linux/simple-timing/stats.txt rename : tests/long/50.vortex/test.py => tests/long/se/50.vortex/test.py rename : tests/long/60.bzip2/ref/alpha/tru64/inorder-timing/config.ini => tests/long/se/60.bzip2/ref/alpha/tru64/inorder-timing/config.ini rename : tests/long/60.bzip2/ref/alpha/tru64/inorder-timing/simerr => tests/long/se/60.bzip2/ref/alpha/tru64/inorder-timing/simerr rename : tests/long/60.bzip2/ref/alpha/tru64/inorder-timing/simout => tests/long/se/60.bzip2/ref/alpha/tru64/inorder-timing/simout rename : tests/long/60.bzip2/ref/alpha/tru64/inorder-timing/stats.txt => tests/long/se/60.bzip2/ref/alpha/tru64/inorder-timing/stats.txt rename : tests/long/60.bzip2/ref/alpha/tru64/o3-timing/config.ini => tests/long/se/60.bzip2/ref/alpha/tru64/o3-timing/config.ini rename : tests/long/60.bzip2/ref/alpha/tru64/o3-timing/simerr => tests/long/se/60.bzip2/ref/alpha/tru64/o3-timing/simerr rename : tests/long/60.bzip2/ref/alpha/tru64/o3-timing/simout => tests/long/se/60.bzip2/ref/alpha/tru64/o3-timing/simout rename : tests/long/60.bzip2/ref/alpha/tru64/o3-timing/stats.txt => tests/long/se/60.bzip2/ref/alpha/tru64/o3-timing/stats.txt rename : tests/long/60.bzip2/ref/alpha/tru64/simple-atomic/config.ini => tests/long/se/60.bzip2/ref/alpha/tru64/simple-atomic/config.ini rename : tests/long/60.bzip2/ref/alpha/tru64/simple-atomic/simerr => tests/long/se/60.bzip2/ref/alpha/tru64/simple-atomic/simerr rename : tests/long/60.bzip2/ref/alpha/tru64/simple-atomic/simout => tests/long/se/60.bzip2/ref/alpha/tru64/simple-atomic/simout rename : tests/long/60.bzip2/ref/alpha/tru64/simple-atomic/stats.txt => tests/long/se/60.bzip2/ref/alpha/tru64/simple-atomic/stats.txt rename : tests/long/60.bzip2/ref/alpha/tru64/simple-timing/config.ini => tests/long/se/60.bzip2/ref/alpha/tru64/simple-timing/config.ini rename : tests/long/60.bzip2/ref/alpha/tru64/simple-timing/simerr => tests/long/se/60.bzip2/ref/alpha/tru64/simple-timing/simerr rename : tests/long/60.bzip2/ref/alpha/tru64/simple-timing/simout => tests/long/se/60.bzip2/ref/alpha/tru64/simple-timing/simout rename : tests/long/60.bzip2/ref/alpha/tru64/simple-timing/stats.txt => tests/long/se/60.bzip2/ref/alpha/tru64/simple-timing/stats.txt rename : tests/long/60.bzip2/ref/arm/linux/o3-timing/config.ini => tests/long/se/60.bzip2/ref/arm/linux/o3-timing/config.ini rename : tests/long/60.bzip2/ref/arm/linux/o3-timing/simerr => tests/long/se/60.bzip2/ref/arm/linux/o3-timing/simerr rename : tests/long/60.bzip2/ref/arm/linux/o3-timing/simout => tests/long/se/60.bzip2/ref/arm/linux/o3-timing/simout rename : tests/long/60.bzip2/ref/arm/linux/o3-timing/stats.txt => tests/long/se/60.bzip2/ref/arm/linux/o3-timing/stats.txt rename : tests/long/60.bzip2/ref/arm/linux/simple-atomic/config.ini => tests/long/se/60.bzip2/ref/arm/linux/simple-atomic/config.ini rename : tests/long/60.bzip2/ref/arm/linux/simple-atomic/simerr => tests/long/se/60.bzip2/ref/arm/linux/simple-atomic/simerr rename : tests/long/60.bzip2/ref/arm/linux/simple-atomic/simout => tests/long/se/60.bzip2/ref/arm/linux/simple-atomic/simout rename : tests/long/60.bzip2/ref/arm/linux/simple-atomic/stats.txt => tests/long/se/60.bzip2/ref/arm/linux/simple-atomic/stats.txt rename : tests/long/60.bzip2/ref/arm/linux/simple-timing/config.ini => tests/long/se/60.bzip2/ref/arm/linux/simple-timing/config.ini rename : tests/long/60.bzip2/ref/arm/linux/simple-timing/simerr => tests/long/se/60.bzip2/ref/arm/linux/simple-timing/simerr rename : tests/long/60.bzip2/ref/arm/linux/simple-timing/simout => tests/long/se/60.bzip2/ref/arm/linux/simple-timing/simout rename : tests/long/60.bzip2/ref/arm/linux/simple-timing/stats.txt => tests/long/se/60.bzip2/ref/arm/linux/simple-timing/stats.txt rename : tests/long/60.bzip2/ref/x86/linux/simple-atomic/config.ini => tests/long/se/60.bzip2/ref/x86/linux/simple-atomic/config.ini rename : tests/long/60.bzip2/ref/x86/linux/simple-atomic/simerr => tests/long/se/60.bzip2/ref/x86/linux/simple-atomic/simerr rename : tests/long/60.bzip2/ref/x86/linux/simple-atomic/simout => tests/long/se/60.bzip2/ref/x86/linux/simple-atomic/simout rename : tests/long/60.bzip2/ref/x86/linux/simple-atomic/stats.txt => tests/long/se/60.bzip2/ref/x86/linux/simple-atomic/stats.txt rename : tests/long/60.bzip2/ref/x86/linux/simple-timing/config.ini => tests/long/se/60.bzip2/ref/x86/linux/simple-timing/config.ini rename : tests/long/60.bzip2/ref/x86/linux/simple-timing/simerr => tests/long/se/60.bzip2/ref/x86/linux/simple-timing/simerr rename : tests/long/60.bzip2/ref/x86/linux/simple-timing/simout => tests/long/se/60.bzip2/ref/x86/linux/simple-timing/simout rename : tests/long/60.bzip2/ref/x86/linux/simple-timing/stats.txt => tests/long/se/60.bzip2/ref/x86/linux/simple-timing/stats.txt rename : tests/long/60.bzip2/test.py => tests/long/se/60.bzip2/test.py rename : tests/long/70.twolf/ref/alpha/tru64/inorder-timing/config.ini => tests/long/se/70.twolf/ref/alpha/tru64/inorder-timing/config.ini rename : tests/long/70.twolf/ref/alpha/tru64/inorder-timing/simerr => tests/long/se/70.twolf/ref/alpha/tru64/inorder-timing/simerr rename : tests/long/70.twolf/ref/alpha/tru64/inorder-timing/simout => tests/long/se/70.twolf/ref/alpha/tru64/inorder-timing/simout rename : tests/long/70.twolf/ref/alpha/tru64/inorder-timing/smred.out => tests/long/se/70.twolf/ref/alpha/tru64/inorder-timing/smred.out rename : tests/long/70.twolf/ref/alpha/tru64/inorder-timing/smred.pin => tests/long/se/70.twolf/ref/alpha/tru64/inorder-timing/smred.pin rename : tests/long/70.twolf/ref/alpha/tru64/inorder-timing/smred.pl1 => tests/long/se/70.twolf/ref/alpha/tru64/inorder-timing/smred.pl1 rename : tests/long/70.twolf/ref/alpha/tru64/inorder-timing/smred.pl2 => tests/long/se/70.twolf/ref/alpha/tru64/inorder-timing/smred.pl2 rename : tests/long/70.twolf/ref/alpha/tru64/inorder-timing/smred.sav => tests/long/se/70.twolf/ref/alpha/tru64/inorder-timing/smred.sav rename : tests/long/70.twolf/ref/alpha/tru64/inorder-timing/smred.sv2 => tests/long/se/70.twolf/ref/alpha/tru64/inorder-timing/smred.sv2 rename : tests/long/70.twolf/ref/alpha/tru64/inorder-timing/smred.twf => tests/long/se/70.twolf/ref/alpha/tru64/inorder-timing/smred.twf rename : tests/long/70.twolf/ref/alpha/tru64/inorder-timing/stats.txt => tests/long/se/70.twolf/ref/alpha/tru64/inorder-timing/stats.txt rename : tests/long/70.twolf/ref/alpha/tru64/o3-timing/config.ini => tests/long/se/70.twolf/ref/alpha/tru64/o3-timing/config.ini rename : tests/long/70.twolf/ref/alpha/tru64/o3-timing/simerr => tests/long/se/70.twolf/ref/alpha/tru64/o3-timing/simerr rename : tests/long/70.twolf/ref/alpha/tru64/o3-timing/simout => tests/long/se/70.twolf/ref/alpha/tru64/o3-timing/simout rename : tests/long/70.twolf/ref/alpha/tru64/o3-timing/smred.out => tests/long/se/70.twolf/ref/alpha/tru64/o3-timing/smred.out rename : tests/long/70.twolf/ref/alpha/tru64/o3-timing/smred.pin => tests/long/se/70.twolf/ref/alpha/tru64/o3-timing/smred.pin rename : tests/long/70.twolf/ref/alpha/tru64/o3-timing/smred.pl1 => tests/long/se/70.twolf/ref/alpha/tru64/o3-timing/smred.pl1 rename : tests/long/70.twolf/ref/alpha/tru64/o3-timing/smred.pl2 => tests/long/se/70.twolf/ref/alpha/tru64/o3-timing/smred.pl2 rename : tests/long/70.twolf/ref/alpha/tru64/o3-timing/smred.sav => tests/long/se/70.twolf/ref/alpha/tru64/o3-timing/smred.sav rename : tests/long/70.twolf/ref/alpha/tru64/o3-timing/smred.sv2 => tests/long/se/70.twolf/ref/alpha/tru64/o3-timing/smred.sv2 rename : tests/long/70.twolf/ref/alpha/tru64/o3-timing/smred.twf => tests/long/se/70.twolf/ref/alpha/tru64/o3-timing/smred.twf rename : tests/long/70.twolf/ref/alpha/tru64/o3-timing/stats.txt => tests/long/se/70.twolf/ref/alpha/tru64/o3-timing/stats.txt rename : tests/long/70.twolf/ref/alpha/tru64/simple-atomic/config.ini => tests/long/se/70.twolf/ref/alpha/tru64/simple-atomic/config.ini rename : tests/long/70.twolf/ref/alpha/tru64/simple-atomic/simerr => tests/long/se/70.twolf/ref/alpha/tru64/simple-atomic/simerr rename : tests/long/70.twolf/ref/alpha/tru64/simple-atomic/simout => tests/long/se/70.twolf/ref/alpha/tru64/simple-atomic/simout rename : tests/long/70.twolf/ref/alpha/tru64/simple-atomic/smred.out => tests/long/se/70.twolf/ref/alpha/tru64/simple-atomic/smred.out rename : tests/long/70.twolf/ref/alpha/tru64/simple-atomic/smred.pin => tests/long/se/70.twolf/ref/alpha/tru64/simple-atomic/smred.pin rename : tests/long/70.twolf/ref/alpha/tru64/simple-atomic/smred.pl1 => tests/long/se/70.twolf/ref/alpha/tru64/simple-atomic/smred.pl1 rename : tests/long/70.twolf/ref/alpha/tru64/simple-atomic/smred.pl2 => tests/long/se/70.twolf/ref/alpha/tru64/simple-atomic/smred.pl2 rename : tests/long/70.twolf/ref/alpha/tru64/simple-atomic/smred.sav => tests/long/se/70.twolf/ref/alpha/tru64/simple-atomic/smred.sav rename : tests/long/70.twolf/ref/alpha/tru64/simple-atomic/smred.sv2 => tests/long/se/70.twolf/ref/alpha/tru64/simple-atomic/smred.sv2 rename : tests/long/70.twolf/ref/alpha/tru64/simple-atomic/smred.twf => tests/long/se/70.twolf/ref/alpha/tru64/simple-atomic/smred.twf rename : tests/long/70.twolf/ref/alpha/tru64/simple-atomic/stats.txt => tests/long/se/70.twolf/ref/alpha/tru64/simple-atomic/stats.txt rename : tests/long/70.twolf/ref/alpha/tru64/simple-timing/config.ini => tests/long/se/70.twolf/ref/alpha/tru64/simple-timing/config.ini rename : tests/long/70.twolf/ref/alpha/tru64/simple-timing/simerr => tests/long/se/70.twolf/ref/alpha/tru64/simple-timing/simerr rename : tests/long/70.twolf/ref/alpha/tru64/simple-timing/simout => tests/long/se/70.twolf/ref/alpha/tru64/simple-timing/simout rename : tests/long/70.twolf/ref/alpha/tru64/simple-timing/smred.out => tests/long/se/70.twolf/ref/alpha/tru64/simple-timing/smred.out rename : tests/long/70.twolf/ref/alpha/tru64/simple-timing/smred.pin => tests/long/se/70.twolf/ref/alpha/tru64/simple-timing/smred.pin rename : tests/long/70.twolf/ref/alpha/tru64/simple-timing/smred.pl1 => tests/long/se/70.twolf/ref/alpha/tru64/simple-timing/smred.pl1 rename : tests/long/70.twolf/ref/alpha/tru64/simple-timing/smred.pl2 => tests/long/se/70.twolf/ref/alpha/tru64/simple-timing/smred.pl2 rename : tests/long/70.twolf/ref/alpha/tru64/simple-timing/smred.sav => tests/long/se/70.twolf/ref/alpha/tru64/simple-timing/smred.sav rename : tests/long/70.twolf/ref/alpha/tru64/simple-timing/smred.sv2 => tests/long/se/70.twolf/ref/alpha/tru64/simple-timing/smred.sv2 rename : tests/long/70.twolf/ref/alpha/tru64/simple-timing/smred.twf => tests/long/se/70.twolf/ref/alpha/tru64/simple-timing/smred.twf rename : tests/long/70.twolf/ref/alpha/tru64/simple-timing/stats.txt => tests/long/se/70.twolf/ref/alpha/tru64/simple-timing/stats.txt rename : tests/long/70.twolf/ref/arm/linux/o3-timing/config.ini => tests/long/se/70.twolf/ref/arm/linux/o3-timing/config.ini rename : tests/long/70.twolf/ref/arm/linux/o3-timing/simerr => tests/long/se/70.twolf/ref/arm/linux/o3-timing/simerr rename : tests/long/70.twolf/ref/arm/linux/o3-timing/simout => tests/long/se/70.twolf/ref/arm/linux/o3-timing/simout rename : tests/long/70.twolf/ref/arm/linux/o3-timing/smred.out => tests/long/se/70.twolf/ref/arm/linux/o3-timing/smred.out rename : tests/long/70.twolf/ref/arm/linux/o3-timing/stats.txt => tests/long/se/70.twolf/ref/arm/linux/o3-timing/stats.txt rename : tests/long/70.twolf/ref/arm/linux/simple-atomic/config.ini => tests/long/se/70.twolf/ref/arm/linux/simple-atomic/config.ini rename : tests/long/70.twolf/ref/arm/linux/simple-atomic/simerr => tests/long/se/70.twolf/ref/arm/linux/simple-atomic/simerr rename : tests/long/70.twolf/ref/arm/linux/simple-atomic/simout => tests/long/se/70.twolf/ref/arm/linux/simple-atomic/simout rename : tests/long/70.twolf/ref/arm/linux/simple-atomic/smred.out => tests/long/se/70.twolf/ref/arm/linux/simple-atomic/smred.out rename : tests/long/70.twolf/ref/arm/linux/simple-atomic/stats.txt => tests/long/se/70.twolf/ref/arm/linux/simple-atomic/stats.txt rename : tests/long/70.twolf/ref/arm/linux/simple-timing/config.ini => tests/long/se/70.twolf/ref/arm/linux/simple-timing/config.ini rename : tests/long/70.twolf/ref/arm/linux/simple-timing/simerr => tests/long/se/70.twolf/ref/arm/linux/simple-timing/simerr rename : tests/long/70.twolf/ref/arm/linux/simple-timing/simout => tests/long/se/70.twolf/ref/arm/linux/simple-timing/simout rename : tests/long/70.twolf/ref/arm/linux/simple-timing/smred.out => tests/long/se/70.twolf/ref/arm/linux/simple-timing/smred.out rename : tests/long/70.twolf/ref/arm/linux/simple-timing/stats.txt => tests/long/se/70.twolf/ref/arm/linux/simple-timing/stats.txt rename : tests/long/70.twolf/ref/sparc/linux/simple-atomic/config.ini => tests/long/se/70.twolf/ref/sparc/linux/simple-atomic/config.ini rename : tests/long/70.twolf/ref/sparc/linux/simple-atomic/simerr => tests/long/se/70.twolf/ref/sparc/linux/simple-atomic/simerr rename : tests/long/70.twolf/ref/sparc/linux/simple-atomic/simout => tests/long/se/70.twolf/ref/sparc/linux/simple-atomic/simout rename : tests/long/70.twolf/ref/sparc/linux/simple-atomic/smred.out => tests/long/se/70.twolf/ref/sparc/linux/simple-atomic/smred.out rename : tests/long/70.twolf/ref/sparc/linux/simple-atomic/smred.pin => tests/long/se/70.twolf/ref/sparc/linux/simple-atomic/smred.pin rename : tests/long/70.twolf/ref/sparc/linux/simple-atomic/smred.pl1 => tests/long/se/70.twolf/ref/sparc/linux/simple-atomic/smred.pl1 rename : tests/long/70.twolf/ref/sparc/linux/simple-atomic/smred.pl2 => tests/long/se/70.twolf/ref/sparc/linux/simple-atomic/smred.pl2 rename : tests/long/70.twolf/ref/sparc/linux/simple-atomic/smred.sav => tests/long/se/70.twolf/ref/sparc/linux/simple-atomic/smred.sav rename : tests/long/70.twolf/ref/sparc/linux/simple-atomic/smred.sv2 => tests/long/se/70.twolf/ref/sparc/linux/simple-atomic/smred.sv2 rename : tests/long/70.twolf/ref/sparc/linux/simple-atomic/smred.twf => tests/long/se/70.twolf/ref/sparc/linux/simple-atomic/smred.twf rename : tests/long/70.twolf/ref/sparc/linux/simple-atomic/stats.txt => tests/long/se/70.twolf/ref/sparc/linux/simple-atomic/stats.txt rename : tests/long/70.twolf/ref/sparc/linux/simple-timing/config.ini => tests/long/se/70.twolf/ref/sparc/linux/simple-timing/config.ini rename : tests/long/70.twolf/ref/sparc/linux/simple-timing/simerr => tests/long/se/70.twolf/ref/sparc/linux/simple-timing/simerr rename : tests/long/70.twolf/ref/sparc/linux/simple-timing/simout => tests/long/se/70.twolf/ref/sparc/linux/simple-timing/simout rename : tests/long/70.twolf/ref/sparc/linux/simple-timing/smred.out => tests/long/se/70.twolf/ref/sparc/linux/simple-timing/smred.out rename : tests/long/70.twolf/ref/sparc/linux/simple-timing/smred.pin => tests/long/se/70.twolf/ref/sparc/linux/simple-timing/smred.pin rename : tests/long/70.twolf/ref/sparc/linux/simple-timing/smred.pl1 => tests/long/se/70.twolf/ref/sparc/linux/simple-timing/smred.pl1 rename : tests/long/70.twolf/ref/sparc/linux/simple-timing/smred.pl2 => tests/long/se/70.twolf/ref/sparc/linux/simple-timing/smred.pl2 rename : tests/long/70.twolf/ref/sparc/linux/simple-timing/smred.sav => tests/long/se/70.twolf/ref/sparc/linux/simple-timing/smred.sav rename : tests/long/70.twolf/ref/sparc/linux/simple-timing/smred.sv2 => tests/long/se/70.twolf/ref/sparc/linux/simple-timing/smred.sv2 rename : tests/long/70.twolf/ref/sparc/linux/simple-timing/smred.twf => tests/long/se/70.twolf/ref/sparc/linux/simple-timing/smred.twf rename : tests/long/70.twolf/ref/sparc/linux/simple-timing/stats.txt => tests/long/se/70.twolf/ref/sparc/linux/simple-timing/stats.txt rename : tests/long/70.twolf/ref/x86/linux/o3-timing/config.ini => tests/long/se/70.twolf/ref/x86/linux/o3-timing/config.ini rename : tests/long/70.twolf/ref/x86/linux/o3-timing/simerr => tests/long/se/70.twolf/ref/x86/linux/o3-timing/simerr rename : tests/long/70.twolf/ref/x86/linux/o3-timing/simout => tests/long/se/70.twolf/ref/x86/linux/o3-timing/simout rename : tests/long/70.twolf/ref/x86/linux/o3-timing/smred.out => tests/long/se/70.twolf/ref/x86/linux/o3-timing/smred.out rename : tests/long/70.twolf/ref/x86/linux/o3-timing/smred.pin => tests/long/se/70.twolf/ref/x86/linux/o3-timing/smred.pin rename : tests/long/70.twolf/ref/x86/linux/o3-timing/smred.pl1 => tests/long/se/70.twolf/ref/x86/linux/o3-timing/smred.pl1 rename : tests/long/70.twolf/ref/x86/linux/o3-timing/smred.pl2 => tests/long/se/70.twolf/ref/x86/linux/o3-timing/smred.pl2 rename : tests/long/70.twolf/ref/x86/linux/o3-timing/smred.sav => tests/long/se/70.twolf/ref/x86/linux/o3-timing/smred.sav rename : tests/long/70.twolf/ref/x86/linux/o3-timing/smred.sv2 => tests/long/se/70.twolf/ref/x86/linux/o3-timing/smred.sv2 rename : tests/long/70.twolf/ref/x86/linux/o3-timing/smred.twf => tests/long/se/70.twolf/ref/x86/linux/o3-timing/smred.twf rename : tests/long/70.twolf/ref/x86/linux/o3-timing/stats.txt => tests/long/se/70.twolf/ref/x86/linux/o3-timing/stats.txt rename : tests/long/70.twolf/ref/x86/linux/simple-atomic/config.ini => tests/long/se/70.twolf/ref/x86/linux/simple-atomic/config.ini rename : tests/long/70.twolf/ref/x86/linux/simple-atomic/simerr => tests/long/se/70.twolf/ref/x86/linux/simple-atomic/simerr rename : tests/long/70.twolf/ref/x86/linux/simple-atomic/simout => tests/long/se/70.twolf/ref/x86/linux/simple-atomic/simout rename : tests/long/70.twolf/ref/x86/linux/simple-atomic/smred.out => tests/long/se/70.twolf/ref/x86/linux/simple-atomic/smred.out rename : tests/long/70.twolf/ref/x86/linux/simple-atomic/smred.pin => tests/long/se/70.twolf/ref/x86/linux/simple-atomic/smred.pin rename : tests/long/70.twolf/ref/x86/linux/simple-atomic/smred.pl1 => tests/long/se/70.twolf/ref/x86/linux/simple-atomic/smred.pl1 rename : tests/long/70.twolf/ref/x86/linux/simple-atomic/smred.pl2 => tests/long/se/70.twolf/ref/x86/linux/simple-atomic/smred.pl2 rename : tests/long/70.twolf/ref/x86/linux/simple-atomic/smred.sav => tests/long/se/70.twolf/ref/x86/linux/simple-atomic/smred.sav rename : tests/long/70.twolf/ref/x86/linux/simple-atomic/smred.sv2 => tests/long/se/70.twolf/ref/x86/linux/simple-atomic/smred.sv2 rename : tests/long/70.twolf/ref/x86/linux/simple-atomic/smred.twf => tests/long/se/70.twolf/ref/x86/linux/simple-atomic/smred.twf rename : tests/long/70.twolf/ref/x86/linux/simple-atomic/stats.txt => tests/long/se/70.twolf/ref/x86/linux/simple-atomic/stats.txt rename : tests/long/70.twolf/ref/x86/linux/simple-timing/config.ini => tests/long/se/70.twolf/ref/x86/linux/simple-timing/config.ini rename : tests/long/70.twolf/ref/x86/linux/simple-timing/simerr => tests/long/se/70.twolf/ref/x86/linux/simple-timing/simerr rename : tests/long/70.twolf/ref/x86/linux/simple-timing/simout => tests/long/se/70.twolf/ref/x86/linux/simple-timing/simout rename : tests/long/70.twolf/ref/x86/linux/simple-timing/smred.out => tests/long/se/70.twolf/ref/x86/linux/simple-timing/smred.out rename : tests/long/70.twolf/ref/x86/linux/simple-timing/smred.pin => tests/long/se/70.twolf/ref/x86/linux/simple-timing/smred.pin rename : tests/long/70.twolf/ref/x86/linux/simple-timing/smred.pl1 => tests/long/se/70.twolf/ref/x86/linux/simple-timing/smred.pl1 rename : tests/long/70.twolf/ref/x86/linux/simple-timing/smred.pl2 => tests/long/se/70.twolf/ref/x86/linux/simple-timing/smred.pl2 rename : tests/long/70.twolf/ref/x86/linux/simple-timing/smred.sav => tests/long/se/70.twolf/ref/x86/linux/simple-timing/smred.sav rename : tests/long/70.twolf/ref/x86/linux/simple-timing/smred.sv2 => tests/long/se/70.twolf/ref/x86/linux/simple-timing/smred.sv2 rename : tests/long/70.twolf/ref/x86/linux/simple-timing/smred.twf => tests/long/se/70.twolf/ref/x86/linux/simple-timing/smred.twf rename : tests/long/70.twolf/ref/x86/linux/simple-timing/stats.txt => tests/long/se/70.twolf/ref/x86/linux/simple-timing/stats.txt rename : tests/long/70.twolf/test.py => tests/long/se/70.twolf/test.py rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/config.ini => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/config.ini rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/simerr => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/simerr rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/simout => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/simout rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/stats.txt => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/stats.txt rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/system.terminal => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/system.terminal rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/config.ini => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/config.ini rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/simerr => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/simerr rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/simout => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/simout rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/stats.txt => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/stats.txt rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/system.terminal => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/system.terminal rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/config.ini => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/config.ini rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/simerr => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/simerr rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/simout => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/simout rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/stats.txt => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/stats.txt rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/system.terminal => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/system.terminal rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/config.ini => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/config.ini rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/simerr => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/simerr rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/simout => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/simout rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/stats.txt => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/stats.txt rename : tests/quick/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/system.terminal => tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/system.terminal rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/config.ini => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/config.ini rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/simerr => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/simerr rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/simout => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/simout rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/stats.txt => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/stats.txt rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/status => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/status rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/system.terminal => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/system.terminal rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-atomic/config.ini => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic/config.ini rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-atomic/simerr => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic/simerr rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-atomic/simout => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic/simout rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-atomic/stats.txt => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic/stats.txt rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-atomic/status => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic/status rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-atomic/system.terminal => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic/system.terminal rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/config.ini => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/config.ini rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/simerr => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/simerr rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/simout => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/simout rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/stats.txt => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/stats.txt rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/status => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/status rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/system.terminal => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/system.terminal rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-timing/config.ini => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing/config.ini rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-timing/simerr => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing/simerr rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-timing/simout => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing/simout rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-timing/stats.txt => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing/stats.txt rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-timing/status => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing/status rename : tests/quick/10.linux-boot/ref/arm/linux/realview-simple-timing/system.terminal => tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing/system.terminal rename : tests/quick/10.linux-boot/ref/x86/linux/pc-simple-atomic/config.ini => tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-atomic/config.ini rename : tests/quick/10.linux-boot/ref/x86/linux/pc-simple-atomic/simerr => tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-atomic/simerr rename : tests/quick/10.linux-boot/ref/x86/linux/pc-simple-atomic/simout => tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-atomic/simout rename : tests/quick/10.linux-boot/ref/x86/linux/pc-simple-atomic/stats.txt => tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-atomic/stats.txt rename : tests/quick/10.linux-boot/ref/x86/linux/pc-simple-atomic/system.pc.terminal => tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-atomic/system.pc.terminal rename : tests/quick/10.linux-boot/ref/x86/linux/pc-simple-timing/config.ini => tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing/config.ini rename : tests/quick/10.linux-boot/ref/x86/linux/pc-simple-timing/simerr => tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing/simerr rename : tests/quick/10.linux-boot/ref/x86/linux/pc-simple-timing/simout => tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing/simout rename : tests/quick/10.linux-boot/ref/x86/linux/pc-simple-timing/stats.txt => tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing/stats.txt rename : tests/quick/10.linux-boot/ref/x86/linux/pc-simple-timing/system.pc.terminal => tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing/system.pc.terminal rename : tests/quick/10.linux-boot/test.py => tests/quick/fs/10.linux-boot/test.py rename : tests/quick/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/config.ini => tests/quick/fs/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/config.ini rename : tests/quick/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/drivesys.terminal => tests/quick/fs/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/drivesys.terminal rename : tests/quick/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/simerr => tests/quick/fs/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/simerr rename : tests/quick/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/simout => tests/quick/fs/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/simout rename : tests/quick/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/stats.txt => tests/quick/fs/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/stats.txt rename : tests/quick/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/testsys.terminal => tests/quick/fs/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/testsys.terminal rename : tests/quick/80.netperf-stream/test.py => tests/quick/fs/80.netperf-stream/test.py rename : tests/quick/00.hello.mp/test.py => tests/quick/se/00.hello.mp/test.py rename : tests/quick/00.hello/ref/alpha/linux/inorder-timing/config.ini => tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/config.ini rename : tests/quick/00.hello/ref/alpha/linux/inorder-timing/simerr => tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/simerr rename : tests/quick/00.hello/ref/alpha/linux/inorder-timing/simout => tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/simout rename : tests/quick/00.hello/ref/alpha/linux/inorder-timing/stats.txt => tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/stats.txt rename : tests/quick/00.hello/ref/alpha/linux/o3-timing/config.ini => tests/quick/se/00.hello/ref/alpha/linux/o3-timing/config.ini rename : tests/quick/00.hello/ref/alpha/linux/o3-timing/simerr => tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simerr rename : tests/quick/00.hello/ref/alpha/linux/o3-timing/simout => tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simout rename : tests/quick/00.hello/ref/alpha/linux/o3-timing/stats.txt => tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt rename : tests/quick/00.hello/ref/alpha/linux/simple-atomic/config.ini => tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/config.ini rename : tests/quick/00.hello/ref/alpha/linux/simple-atomic/simerr => tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simerr rename : tests/quick/00.hello/ref/alpha/linux/simple-atomic/simout => tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simout rename : tests/quick/00.hello/ref/alpha/linux/simple-atomic/stats.txt => tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/config.ini => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/config.ini rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/ruby.stats => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/ruby.stats rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/simerr => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/simerr rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/simout => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/simout rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/stats.txt => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/stats.txt rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/config.ini => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/config.ini rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/ruby.stats => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/ruby.stats rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/simerr => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/simerr rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/simout => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/simout rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/stats.txt => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/stats.txt rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/config.ini => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/config.ini rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/ruby.stats => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/ruby.stats rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/simerr => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/simerr rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/simout => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/simout rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/stats.txt => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/stats.txt rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/config.ini => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/config.ini rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/ruby.stats => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/ruby.stats rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/simerr => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/simerr rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/simout => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/simout rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/stats.txt => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/stats.txt rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby/config.ini => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/config.ini rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby/ruby.stats => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/ruby.stats rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby/simerr => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simerr rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby/simout => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simout rename : tests/quick/00.hello/ref/alpha/linux/simple-timing-ruby/stats.txt => tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/stats.txt rename : tests/quick/00.hello/ref/alpha/linux/simple-timing/config.ini => tests/quick/se/00.hello/ref/alpha/linux/simple-timing/config.ini rename : tests/quick/00.hello/ref/alpha/linux/simple-timing/simerr => tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simerr rename : tests/quick/00.hello/ref/alpha/linux/simple-timing/simout => tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simout rename : tests/quick/00.hello/ref/alpha/linux/simple-timing/stats.txt => tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt rename : tests/quick/00.hello/ref/alpha/tru64/o3-timing/config.ini => tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/config.ini rename : tests/quick/00.hello/ref/alpha/tru64/o3-timing/simerr => tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/simerr rename : tests/quick/00.hello/ref/alpha/tru64/o3-timing/simout => tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/simout rename : tests/quick/00.hello/ref/alpha/tru64/o3-timing/stats.txt => tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/stats.txt rename : tests/quick/00.hello/ref/alpha/tru64/simple-atomic/config.ini => tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/config.ini rename : tests/quick/00.hello/ref/alpha/tru64/simple-atomic/simerr => tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/simerr rename : tests/quick/00.hello/ref/alpha/tru64/simple-atomic/simout => tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/simout rename : tests/quick/00.hello/ref/alpha/tru64/simple-atomic/stats.txt => tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/stats.txt rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/config.ini => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/config.ini rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/ruby.stats => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/ruby.stats rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/simerr => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/simerr rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/simout => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/simout rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/stats.txt => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/stats.txt rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/config.ini => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/config.ini rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/ruby.stats => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/ruby.stats rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/simerr => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/simerr rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/simout => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/simout rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/stats.txt => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/stats.txt rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/config.ini => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/config.ini rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/ruby.stats => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/ruby.stats rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/simerr => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/simerr rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/simout => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/simout rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/stats.txt => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/stats.txt rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/config.ini => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/config.ini rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/ruby.stats => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/ruby.stats rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/simerr => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/simerr rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/simout => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/simout rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/stats.txt => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/stats.txt rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby/config.ini => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/config.ini rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby/ruby.stats => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/ruby.stats rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby/simerr => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/simerr rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby/simout => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/simout rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing-ruby/stats.txt => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/stats.txt rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing/config.ini => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/config.ini rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing/simerr => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/simerr rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing/simout => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/simout rename : tests/quick/00.hello/ref/alpha/tru64/simple-timing/stats.txt => tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/stats.txt rename : tests/quick/00.hello/ref/arm/linux/o3-timing/config.ini => tests/quick/se/00.hello/ref/arm/linux/o3-timing/config.ini rename : tests/quick/00.hello/ref/arm/linux/o3-timing/simerr => tests/quick/se/00.hello/ref/arm/linux/o3-timing/simerr rename : tests/quick/00.hello/ref/arm/linux/o3-timing/simout => tests/quick/se/00.hello/ref/arm/linux/o3-timing/simout rename : tests/quick/00.hello/ref/arm/linux/o3-timing/stats.txt => tests/quick/se/00.hello/ref/arm/linux/o3-timing/stats.txt rename : tests/quick/00.hello/ref/arm/linux/simple-atomic/config.ini => tests/quick/se/00.hello/ref/arm/linux/simple-atomic/config.ini rename : tests/quick/00.hello/ref/arm/linux/simple-atomic/simerr => tests/quick/se/00.hello/ref/arm/linux/simple-atomic/simerr rename : tests/quick/00.hello/ref/arm/linux/simple-atomic/simout => tests/quick/se/00.hello/ref/arm/linux/simple-atomic/simout rename : tests/quick/00.hello/ref/arm/linux/simple-atomic/stats.txt => tests/quick/se/00.hello/ref/arm/linux/simple-atomic/stats.txt rename : tests/quick/00.hello/ref/arm/linux/simple-timing/config.ini => tests/quick/se/00.hello/ref/arm/linux/simple-timing/config.ini rename : tests/quick/00.hello/ref/arm/linux/simple-timing/simerr => tests/quick/se/00.hello/ref/arm/linux/simple-timing/simerr rename : tests/quick/00.hello/ref/arm/linux/simple-timing/simout => tests/quick/se/00.hello/ref/arm/linux/simple-timing/simout rename : tests/quick/00.hello/ref/arm/linux/simple-timing/stats.txt => tests/quick/se/00.hello/ref/arm/linux/simple-timing/stats.txt rename : tests/quick/00.hello/ref/mips/linux/inorder-timing/config.ini => tests/quick/se/00.hello/ref/mips/linux/inorder-timing/config.ini rename : tests/quick/00.hello/ref/mips/linux/inorder-timing/simerr => tests/quick/se/00.hello/ref/mips/linux/inorder-timing/simerr rename : tests/quick/00.hello/ref/mips/linux/inorder-timing/simout => tests/quick/se/00.hello/ref/mips/linux/inorder-timing/simout rename : tests/quick/00.hello/ref/mips/linux/inorder-timing/stats.txt => tests/quick/se/00.hello/ref/mips/linux/inorder-timing/stats.txt rename : tests/quick/00.hello/ref/mips/linux/o3-timing/config.ini => tests/quick/se/00.hello/ref/mips/linux/o3-timing/config.ini rename : tests/quick/00.hello/ref/mips/linux/o3-timing/simerr => tests/quick/se/00.hello/ref/mips/linux/o3-timing/simerr rename : tests/quick/00.hello/ref/mips/linux/o3-timing/simout => tests/quick/se/00.hello/ref/mips/linux/o3-timing/simout rename : tests/quick/00.hello/ref/mips/linux/o3-timing/stats.txt => tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt rename : tests/quick/00.hello/ref/mips/linux/simple-atomic/config.ini => tests/quick/se/00.hello/ref/mips/linux/simple-atomic/config.ini rename : tests/quick/00.hello/ref/mips/linux/simple-atomic/simerr => tests/quick/se/00.hello/ref/mips/linux/simple-atomic/simerr rename : tests/quick/00.hello/ref/mips/linux/simple-atomic/simout => tests/quick/se/00.hello/ref/mips/linux/simple-atomic/simout rename : tests/quick/00.hello/ref/mips/linux/simple-atomic/stats.txt => tests/quick/se/00.hello/ref/mips/linux/simple-atomic/stats.txt rename : tests/quick/00.hello/ref/mips/linux/simple-timing-ruby/config.ini => tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/config.ini rename : tests/quick/00.hello/ref/mips/linux/simple-timing-ruby/simerr => tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simerr rename : tests/quick/00.hello/ref/mips/linux/simple-timing-ruby/simout => tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simout rename : tests/quick/00.hello/ref/mips/linux/simple-timing-ruby/stats.txt => tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/stats.txt rename : tests/quick/00.hello/ref/mips/linux/simple-timing/config.ini => tests/quick/se/00.hello/ref/mips/linux/simple-timing/config.ini rename : tests/quick/00.hello/ref/mips/linux/simple-timing/simerr => tests/quick/se/00.hello/ref/mips/linux/simple-timing/simerr rename : tests/quick/00.hello/ref/mips/linux/simple-timing/simout => tests/quick/se/00.hello/ref/mips/linux/simple-timing/simout rename : tests/quick/00.hello/ref/mips/linux/simple-timing/stats.txt => tests/quick/se/00.hello/ref/mips/linux/simple-timing/stats.txt rename : tests/quick/00.hello/ref/power/linux/o3-timing/config.ini => tests/quick/se/00.hello/ref/power/linux/o3-timing/config.ini rename : tests/quick/00.hello/ref/power/linux/o3-timing/simerr => tests/quick/se/00.hello/ref/power/linux/o3-timing/simerr rename : tests/quick/00.hello/ref/power/linux/o3-timing/simout => tests/quick/se/00.hello/ref/power/linux/o3-timing/simout rename : tests/quick/00.hello/ref/power/linux/o3-timing/stats.txt => tests/quick/se/00.hello/ref/power/linux/o3-timing/stats.txt rename : tests/quick/00.hello/ref/power/linux/simple-atomic/config.ini => tests/quick/se/00.hello/ref/power/linux/simple-atomic/config.ini rename : tests/quick/00.hello/ref/power/linux/simple-atomic/simerr => tests/quick/se/00.hello/ref/power/linux/simple-atomic/simerr rename : tests/quick/00.hello/ref/power/linux/simple-atomic/simout => tests/quick/se/00.hello/ref/power/linux/simple-atomic/simout rename : tests/quick/00.hello/ref/power/linux/simple-atomic/stats.txt => tests/quick/se/00.hello/ref/power/linux/simple-atomic/stats.txt rename : tests/quick/00.hello/ref/sparc/linux/inorder-timing/config.ini => tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/config.ini rename : tests/quick/00.hello/ref/sparc/linux/inorder-timing/simerr => tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/simerr rename : tests/quick/00.hello/ref/sparc/linux/inorder-timing/simout => tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/simout rename : tests/quick/00.hello/ref/sparc/linux/inorder-timing/stats.txt => tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/stats.txt rename : tests/quick/00.hello/ref/sparc/linux/simple-atomic/config.ini => tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/config.ini rename : tests/quick/00.hello/ref/sparc/linux/simple-atomic/simerr => tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/simerr rename : tests/quick/00.hello/ref/sparc/linux/simple-atomic/simout => tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/simout rename : tests/quick/00.hello/ref/sparc/linux/simple-atomic/stats.txt => tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/stats.txt rename : tests/quick/00.hello/ref/sparc/linux/simple-timing-ruby/config.ini => tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/config.ini rename : tests/quick/00.hello/ref/sparc/linux/simple-timing-ruby/ruby.stats => tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/ruby.stats rename : tests/quick/00.hello/ref/sparc/linux/simple-timing-ruby/simerr => tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/simerr rename : tests/quick/00.hello/ref/sparc/linux/simple-timing-ruby/simout => tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/simout rename : tests/quick/00.hello/ref/sparc/linux/simple-timing-ruby/stats.txt => tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/stats.txt rename : tests/quick/00.hello/ref/sparc/linux/simple-timing/config.ini => tests/quick/se/00.hello/ref/sparc/linux/simple-timing/config.ini rename : tests/quick/00.hello/ref/sparc/linux/simple-timing/simerr => tests/quick/se/00.hello/ref/sparc/linux/simple-timing/simerr rename : tests/quick/00.hello/ref/sparc/linux/simple-timing/simout => tests/quick/se/00.hello/ref/sparc/linux/simple-timing/simout rename : tests/quick/00.hello/ref/sparc/linux/simple-timing/stats.txt => tests/quick/se/00.hello/ref/sparc/linux/simple-timing/stats.txt rename : tests/quick/00.hello/ref/x86/linux/o3-timing/config.ini => tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini rename : tests/quick/00.hello/ref/x86/linux/o3-timing/simerr => tests/quick/se/00.hello/ref/x86/linux/o3-timing/simerr rename : tests/quick/00.hello/ref/x86/linux/o3-timing/simout => tests/quick/se/00.hello/ref/x86/linux/o3-timing/simout rename : tests/quick/00.hello/ref/x86/linux/o3-timing/stats.txt => tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt rename : tests/quick/00.hello/ref/x86/linux/simple-atomic/config.ini => tests/quick/se/00.hello/ref/x86/linux/simple-atomic/config.ini rename : tests/quick/00.hello/ref/x86/linux/simple-atomic/simerr => tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simerr rename : tests/quick/00.hello/ref/x86/linux/simple-atomic/simout => tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simout rename : tests/quick/00.hello/ref/x86/linux/simple-atomic/stats.txt => tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt rename : tests/quick/00.hello/ref/x86/linux/simple-timing-ruby/config.ini => tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini rename : tests/quick/00.hello/ref/x86/linux/simple-timing-ruby/ruby.stats => tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/ruby.stats rename : tests/quick/00.hello/ref/x86/linux/simple-timing-ruby/simerr => tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr rename : tests/quick/00.hello/ref/x86/linux/simple-timing-ruby/simout => tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout rename : tests/quick/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt => tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt rename : tests/quick/00.hello/ref/x86/linux/simple-timing/config.ini => tests/quick/se/00.hello/ref/x86/linux/simple-timing/config.ini rename : tests/quick/00.hello/ref/x86/linux/simple-timing/simerr => tests/quick/se/00.hello/ref/x86/linux/simple-timing/simerr rename : tests/quick/00.hello/ref/x86/linux/simple-timing/simout => tests/quick/se/00.hello/ref/x86/linux/simple-timing/simout rename : tests/quick/00.hello/ref/x86/linux/simple-timing/stats.txt => tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt rename : tests/quick/00.hello/test.py => tests/quick/se/00.hello/test.py rename : tests/quick/01.hello-2T-smt/ref/alpha/linux/o3-timing/config.ini => tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing/config.ini rename : tests/quick/01.hello-2T-smt/ref/alpha/linux/o3-timing/simerr => tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing/simerr rename : tests/quick/01.hello-2T-smt/ref/alpha/linux/o3-timing/simout => tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing/simout rename : tests/quick/01.hello-2T-smt/ref/alpha/linux/o3-timing/stats.txt => tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing/stats.txt rename : tests/quick/01.hello-2T-smt/test.py => tests/quick/se/01.hello-2T-smt/test.py rename : tests/quick/02.insttest/ref/sparc/linux/inorder-timing/config.ini => tests/quick/se/02.insttest/ref/sparc/linux/inorder-timing/config.ini rename : tests/quick/02.insttest/ref/sparc/linux/inorder-timing/simerr => tests/quick/se/02.insttest/ref/sparc/linux/inorder-timing/simerr rename : tests/quick/02.insttest/ref/sparc/linux/inorder-timing/simout => tests/quick/se/02.insttest/ref/sparc/linux/inorder-timing/simout rename : tests/quick/02.insttest/ref/sparc/linux/inorder-timing/stats.txt => tests/quick/se/02.insttest/ref/sparc/linux/inorder-timing/stats.txt rename : tests/quick/02.insttest/ref/sparc/linux/o3-timing/config.ini => tests/quick/se/02.insttest/ref/sparc/linux/o3-timing/config.ini rename : tests/quick/02.insttest/ref/sparc/linux/o3-timing/simerr => tests/quick/se/02.insttest/ref/sparc/linux/o3-timing/simerr rename : tests/quick/02.insttest/ref/sparc/linux/o3-timing/simout => tests/quick/se/02.insttest/ref/sparc/linux/o3-timing/simout rename : tests/quick/02.insttest/ref/sparc/linux/o3-timing/stats.txt => tests/quick/se/02.insttest/ref/sparc/linux/o3-timing/stats.txt rename : tests/quick/02.insttest/ref/sparc/linux/simple-atomic/config.ini => tests/quick/se/02.insttest/ref/sparc/linux/simple-atomic/config.ini rename : tests/quick/02.insttest/ref/sparc/linux/simple-atomic/simerr => tests/quick/se/02.insttest/ref/sparc/linux/simple-atomic/simerr rename : tests/quick/02.insttest/ref/sparc/linux/simple-atomic/simout => tests/quick/se/02.insttest/ref/sparc/linux/simple-atomic/simout rename : tests/quick/02.insttest/ref/sparc/linux/simple-atomic/stats.txt => tests/quick/se/02.insttest/ref/sparc/linux/simple-atomic/stats.txt rename : tests/quick/02.insttest/ref/sparc/linux/simple-timing/config.ini => tests/quick/se/02.insttest/ref/sparc/linux/simple-timing/config.ini rename : tests/quick/02.insttest/ref/sparc/linux/simple-timing/simerr => tests/quick/se/02.insttest/ref/sparc/linux/simple-timing/simerr rename : tests/quick/02.insttest/ref/sparc/linux/simple-timing/simout => tests/quick/se/02.insttest/ref/sparc/linux/simple-timing/simout rename : tests/quick/02.insttest/ref/sparc/linux/simple-timing/stats.txt => tests/quick/se/02.insttest/ref/sparc/linux/simple-timing/stats.txt rename : tests/quick/02.insttest/test.py => tests/quick/se/02.insttest/test.py rename : tests/quick/20.eio-short/ref/alpha/eio/detailed/config.ini => tests/quick/se/20.eio-short/ref/alpha/eio/detailed/config.ini rename : tests/quick/20.eio-short/ref/alpha/eio/detailed/simerr => tests/quick/se/20.eio-short/ref/alpha/eio/detailed/simerr rename : tests/quick/20.eio-short/ref/alpha/eio/detailed/simout => tests/quick/se/20.eio-short/ref/alpha/eio/detailed/simout rename : tests/quick/20.eio-short/ref/alpha/eio/detailed/stats.txt => tests/quick/se/20.eio-short/ref/alpha/eio/detailed/stats.txt rename : tests/quick/20.eio-short/ref/alpha/eio/simple-atomic/config.ini => tests/quick/se/20.eio-short/ref/alpha/eio/simple-atomic/config.ini rename : tests/quick/20.eio-short/ref/alpha/eio/simple-atomic/simerr => tests/quick/se/20.eio-short/ref/alpha/eio/simple-atomic/simerr rename : tests/quick/20.eio-short/ref/alpha/eio/simple-atomic/simout => tests/quick/se/20.eio-short/ref/alpha/eio/simple-atomic/simout rename : tests/quick/20.eio-short/ref/alpha/eio/simple-atomic/stats.txt => tests/quick/se/20.eio-short/ref/alpha/eio/simple-atomic/stats.txt rename : tests/quick/20.eio-short/ref/alpha/eio/simple-timing/config.ini => tests/quick/se/20.eio-short/ref/alpha/eio/simple-timing/config.ini rename : tests/quick/20.eio-short/ref/alpha/eio/simple-timing/simerr => tests/quick/se/20.eio-short/ref/alpha/eio/simple-timing/simerr rename : tests/quick/20.eio-short/ref/alpha/eio/simple-timing/simout => tests/quick/se/20.eio-short/ref/alpha/eio/simple-timing/simout rename : tests/quick/20.eio-short/ref/alpha/eio/simple-timing/stats.txt => tests/quick/se/20.eio-short/ref/alpha/eio/simple-timing/stats.txt rename : tests/quick/20.eio-short/test.py => tests/quick/se/20.eio-short/test.py rename : tests/quick/30.eio-mp/ref/alpha/eio/simple-atomic-mp/config.ini => tests/quick/se/30.eio-mp/ref/alpha/eio/simple-atomic-mp/config.ini rename : tests/quick/30.eio-mp/ref/alpha/eio/simple-atomic-mp/simerr => tests/quick/se/30.eio-mp/ref/alpha/eio/simple-atomic-mp/simerr rename : tests/quick/30.eio-mp/ref/alpha/eio/simple-atomic-mp/simout => tests/quick/se/30.eio-mp/ref/alpha/eio/simple-atomic-mp/simout rename : tests/quick/30.eio-mp/ref/alpha/eio/simple-atomic-mp/stats.txt => tests/quick/se/30.eio-mp/ref/alpha/eio/simple-atomic-mp/stats.txt rename : tests/quick/30.eio-mp/ref/alpha/eio/simple-timing-mp/config.ini => tests/quick/se/30.eio-mp/ref/alpha/eio/simple-timing-mp/config.ini rename : tests/quick/30.eio-mp/ref/alpha/eio/simple-timing-mp/simerr => tests/quick/se/30.eio-mp/ref/alpha/eio/simple-timing-mp/simerr rename : tests/quick/30.eio-mp/ref/alpha/eio/simple-timing-mp/simout => tests/quick/se/30.eio-mp/ref/alpha/eio/simple-timing-mp/simout rename : tests/quick/30.eio-mp/ref/alpha/eio/simple-timing-mp/stats.txt => tests/quick/se/30.eio-mp/ref/alpha/eio/simple-timing-mp/stats.txt rename : tests/quick/30.eio-mp/test.py => tests/quick/se/30.eio-mp/test.py rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/o3-timing-mp/config.ini => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/o3-timing-mp/config.ini rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/o3-timing-mp/simerr => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/o3-timing-mp/simerr rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/o3-timing-mp/simout => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/o3-timing-mp/simout rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/o3-timing-mp/stats.txt => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/o3-timing-mp/stats.txt rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-atomic-mp/config.ini => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-atomic-mp/config.ini rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-atomic-mp/simerr => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-atomic-mp/simerr rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-atomic-mp/simout => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-atomic-mp/simout rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-atomic-mp/stats.txt => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-atomic-mp/stats.txt rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp-ruby/config.ini => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp-ruby/config.ini rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp-ruby/ruby.stats => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp-ruby/ruby.stats rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp-ruby/simerr => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp-ruby/simerr rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp-ruby/simout => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp-ruby/simout rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp-ruby/skip => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp-ruby/skip rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp-ruby/stats.txt => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp-ruby/stats.txt rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp/config.ini => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp/config.ini rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp/simerr => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp/simerr rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp/simout => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp/simout rename : tests/quick/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp/stats.txt => tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp/stats.txt rename : tests/quick/40.m5threads-test-atomic/test.py => tests/quick/se/40.m5threads-test-atomic/test.py rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MESI_CMP_directory/config.ini => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_CMP_directory/config.ini rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MESI_CMP_directory/ruby.stats => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_CMP_directory/ruby.stats rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MESI_CMP_directory/simerr => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_CMP_directory/simerr rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MESI_CMP_directory/simout => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_CMP_directory/simout rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MESI_CMP_directory/stats.txt => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_CMP_directory/stats.txt rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/config.ini => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/config.ini rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/ruby.stats => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/ruby.stats rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/simerr => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/simerr rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/simout => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/simout rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/stats.txt => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/stats.txt rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/config.ini => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/config.ini rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/ruby.stats => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/ruby.stats rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/simerr => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/simerr rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/simout => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/simout rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/stats.txt => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/stats.txt rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/config.ini => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/config.ini rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/ruby.stats => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/ruby.stats rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/simerr => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/simerr rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/simout => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/simout rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/stats.txt => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/stats.txt rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby/config.ini => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/config.ini rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby/ruby.stats => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/ruby.stats rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby/simerr => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/simerr rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby/simout => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/simout rename : tests/quick/50.memtest/ref/alpha/linux/memtest-ruby/stats.txt => tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/stats.txt rename : tests/quick/50.memtest/ref/alpha/linux/memtest/config.ini => tests/quick/se/50.memtest/ref/alpha/linux/memtest/config.ini rename : tests/quick/50.memtest/ref/alpha/linux/memtest/simerr => tests/quick/se/50.memtest/ref/alpha/linux/memtest/simerr rename : tests/quick/50.memtest/ref/alpha/linux/memtest/simout => tests/quick/se/50.memtest/ref/alpha/linux/memtest/simout rename : tests/quick/50.memtest/ref/alpha/linux/memtest/stats.txt => tests/quick/se/50.memtest/ref/alpha/linux/memtest/stats.txt rename : tests/quick/50.memtest/test.py => tests/quick/se/50.memtest/test.py rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MESI_CMP_directory/config.ini => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MESI_CMP_directory/config.ini rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MESI_CMP_directory/ruby.stats => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MESI_CMP_directory/ruby.stats rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MESI_CMP_directory/simerr => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MESI_CMP_directory/simerr rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MESI_CMP_directory/simout => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MESI_CMP_directory/simout rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MESI_CMP_directory/stats.txt => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MESI_CMP_directory/stats.txt rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_directory/config.ini => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_directory/config.ini rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_directory/ruby.stats => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_directory/ruby.stats rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_directory/simerr => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_directory/simerr rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_directory/simout => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_directory/simout rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_directory/stats.txt => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_directory/stats.txt rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_token/config.ini => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_token/config.ini rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_token/ruby.stats => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_token/ruby.stats rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_token/simerr => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_token/simerr rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_token/simout => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_token/simout rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_token/stats.txt => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_CMP_token/stats.txt rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_hammer/config.ini => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_hammer/config.ini rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_hammer/ruby.stats => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_hammer/ruby.stats rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_hammer/simerr => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_hammer/simerr rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_hammer/simout => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_hammer/simout rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_hammer/stats.txt => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby-MOESI_hammer/stats.txt rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby/config.ini => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby/config.ini rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby/ruby.stats => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby/ruby.stats rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby/simerr => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby/simerr rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby/simout => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby/simout rename : tests/quick/60.rubytest/ref/alpha/linux/rubytest-ruby/stats.txt => tests/quick/se/60.rubytest/ref/alpha/linux/rubytest-ruby/stats.txt rename : tests/quick/60.rubytest/test.py => tests/quick/se/60.rubytest/test.py
Diffstat (limited to 'tests/quick/se/00.hello')
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/config.ini240
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/inorder-timing/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/inorder-timing/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/stats.txt309
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/o3-timing/config.ini535
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/o3-timing/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/o3-timing/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt508
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/config.ini102
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt77
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/config.ini327
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/ruby.stats641
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/stats.txt77
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/config.ini323
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/ruby.stats1470
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/stats.txt77
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/config.ini334
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/ruby.stats1043
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/stats.txt77
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/config.ini302
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/ruby.stats973
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/stats.txt77
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/config.ini268
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/ruby.stats311
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/stats.txt77
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing/config.ini205
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/linux/simple-timing/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt260
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/config.ini535
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/o3-timing/simerr4
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/o3-timing/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/stats.txt505
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/config.ini102
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/simerr3
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/stats.txt77
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/config.ini327
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/ruby.stats641
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/simerr3
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/stats.txt77
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/config.ini323
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/ruby.stats1470
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/simerr3
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/stats.txt77
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/config.ini334
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/ruby.stats1036
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/simerr3
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/stats.txt77
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/config.ini302
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/ruby.stats973
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/simerr3
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/stats.txt77
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/config.ini268
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/ruby.stats311
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/simerr3
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/stats.txt77
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/config.ini205
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-timing/simerr3
-rwxr-xr-xtests/quick/se/00.hello/ref/alpha/tru64/simple-timing/simout12
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/stats.txt259
-rw-r--r--tests/quick/se/00.hello/ref/arm/linux/o3-timing/config.ini535
-rwxr-xr-xtests/quick/se/00.hello/ref/arm/linux/o3-timing/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/arm/linux/o3-timing/simout11
-rw-r--r--tests/quick/se/00.hello/ref/arm/linux/o3-timing/stats.txt526
-rw-r--r--tests/quick/se/00.hello/ref/arm/linux/simple-atomic/config.ini102
-rwxr-xr-xtests/quick/se/00.hello/ref/arm/linux/simple-atomic/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/arm/linux/simple-atomic/simout11
-rw-r--r--tests/quick/se/00.hello/ref/arm/linux/simple-atomic/stats.txt87
-rw-r--r--tests/quick/se/00.hello/ref/arm/linux/simple-timing/config.ini205
-rwxr-xr-xtests/quick/se/00.hello/ref/arm/linux/simple-timing/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/arm/linux/simple-timing/simout11
-rw-r--r--tests/quick/se/00.hello/ref/arm/linux/simple-timing/stats.txt274
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/inorder-timing/config.ini240
-rwxr-xr-xtests/quick/se/00.hello/ref/mips/linux/inorder-timing/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/mips/linux/inorder-timing/simout12
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/inorder-timing/stats.txt295
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/o3-timing/config.ini535
-rwxr-xr-xtests/quick/se/00.hello/ref/mips/linux/o3-timing/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/mips/linux/o3-timing/simout12
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt492
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/simple-atomic/config.ini102
-rwxr-xr-xtests/quick/se/00.hello/ref/mips/linux/simple-atomic/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/mips/linux/simple-atomic/simout12
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/simple-atomic/stats.txt63
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/config.ini268
-rwxr-xr-xtests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simout12
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/stats.txt63
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/simple-timing/config.ini205
-rwxr-xr-xtests/quick/se/00.hello/ref/mips/linux/simple-timing/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/mips/linux/simple-timing/simout12
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/simple-timing/stats.txt246
-rw-r--r--tests/quick/se/00.hello/ref/power/linux/o3-timing/config.ini536
-rwxr-xr-xtests/quick/se/00.hello/ref/power/linux/o3-timing/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/power/linux/o3-timing/simout11
-rw-r--r--tests/quick/se/00.hello/ref/power/linux/o3-timing/stats.txt491
-rw-r--r--tests/quick/se/00.hello/ref/power/linux/simple-atomic/config.ini103
-rwxr-xr-xtests/quick/se/00.hello/ref/power/linux/simple-atomic/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/power/linux/simple-atomic/simout11
-rw-r--r--tests/quick/se/00.hello/ref/power/linux/simple-atomic/stats.txt63
-rw-r--r--tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/config.ini240
-rwxr-xr-xtests/quick/se/00.hello/ref/sparc/linux/inorder-timing/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/sparc/linux/inorder-timing/simout10
-rw-r--r--tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/stats.txt277
-rw-r--r--tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/config.ini102
-rwxr-xr-xtests/quick/se/00.hello/ref/sparc/linux/simple-atomic/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/sparc/linux/simple-atomic/simout10
-rw-r--r--tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/stats.txt45
-rw-r--r--tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/config.ini268
-rw-r--r--tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/ruby.stats311
-rwxr-xr-xtests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/simout10
-rw-r--r--tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/stats.txt45
-rw-r--r--tests/quick/se/00.hello/ref/sparc/linux/simple-timing/config.ini205
-rwxr-xr-xtests/quick/se/00.hello/ref/sparc/linux/simple-timing/simerr2
-rwxr-xr-xtests/quick/se/00.hello/ref/sparc/linux/simple-timing/simout10
-rw-r--r--tests/quick/se/00.hello/ref/sparc/linux/simple-timing/stats.txt228
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini535
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/o3-timing/simerr4
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/o3-timing/simout12
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt472
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-atomic/config.ini102
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-atomic/simerr4
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-atomic/simout11
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt45
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini268
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/ruby.stats314
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr4
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout11
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt45
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-timing/config.ini205
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-timing/simerr4
-rwxr-xr-xtests/quick/se/00.hello/ref/x86/linux/simple-timing/simout11
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt228
-rw-r--r--tests/quick/se/00.hello/test.py30
153 files changed, 26553 insertions, 0 deletions
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/config.ini
new file mode 100644
index 000000000..b17544f09
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/config.ini
@@ -0,0 +1,240 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=InOrderCPU
+children=dcache dtb icache itb l2cache toL2Bus tracer workload
+BTBEntries=4096
+BTBTagSize=16
+RASSize=16
+activity=0
+cachePorts=2
+checker=Null
+choiceCtrBits=2
+choicePredictorSize=8192
+clock=500
+cpu_id=0
+dataMemPort=dcache_port
+defer_registration=false
+div16Latency=1
+div16RepeatRate=1
+div24Latency=1
+div24RepeatRate=1
+div32Latency=1
+div32RepeatRate=1
+div8Latency=1
+div8RepeatRate=1
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+fetchBuffSize=4
+fetchMemPort=icache_port
+functionTrace=false
+functionTraceStart=0
+function_trace=false
+function_trace_start=0
+globalCtrBits=2
+globalHistoryBits=13
+globalPredictorSize=8192
+instShiftAmt=2
+itb=system.cpu.itb
+localCtrBits=2
+localHistoryBits=11
+localHistoryTableSize=2048
+localPredictorSize=2048
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+memBlockSize=64
+multLatency=1
+multRepeatRate=1
+numThreads=1
+phase=0
+predType=tournament
+progress_interval=0
+stageTracing=false
+stageWidth=4
+system=system
+threadModel=SMT
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=10000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=100000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/simerr b/tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/simout b/tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/simout
new file mode 100755
index 000000000..ba10334c5
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:48:33
+gem5 started Jan 23 2012 04:58:59
+gem5 executing on zizzer
+command line: build/ALPHA_SE/gem5.opt -d build/ALPHA_SE/tests/opt/quick/00.hello/alpha/linux/inorder-timing -re tests/run.py build/ALPHA_SE/tests/opt/quick/00.hello/alpha/linux/inorder-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 21216000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/stats.txt
new file mode 100644
index 000000000..4ce82e64f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/inorder-timing/stats.txt
@@ -0,0 +1,309 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000021 # Number of seconds simulated
+sim_ticks 21216000 # Number of ticks simulated
+final_tick 21216000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 36015 # Simulator instruction rate (inst/s)
+host_tick_rate 119302866 # Simulator tick rate (ticks/s)
+host_mem_usage 207132 # Number of bytes of host memory used
+host_seconds 0.18 # Real time elapsed on the host
+sim_insts 6404 # Number of instructions simulated
+system.physmem.bytes_read 30016 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 19264 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 469 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 1414781297 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 907993967 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 1414781297 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 1186 # DTB read hits
+system.cpu.dtb.read_misses 7 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 1193 # DTB read accesses
+system.cpu.dtb.write_hits 898 # DTB write hits
+system.cpu.dtb.write_misses 3 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 901 # DTB write accesses
+system.cpu.dtb.data_hits 2084 # DTB hits
+system.cpu.dtb.data_misses 10 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 2094 # DTB accesses
+system.cpu.itb.fetch_hits 929 # ITB hits
+system.cpu.itb.fetch_misses 17 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 946 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 17 # Number of system calls
+system.cpu.numCycles 42433 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.contextSwitches 1 # Number of context switches
+system.cpu.threadCycles 11397 # Total Number of Cycles A Thread Was Active in CPU (Per-Thread)
+system.cpu.smtCycles 0 # Total number of cycles that the CPU was in SMT-mode
+system.cpu.timesIdled 442 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 35050 # Number of cycles cpu's stages were not processed
+system.cpu.runCycles 7383 # Number of cycles cpu stages are processed.
+system.cpu.activity 17.399194 # Percentage of cycles cpu is active
+system.cpu.comLoads 1185 # Number of Load instructions committed
+system.cpu.comStores 865 # Number of Store instructions committed
+system.cpu.comBranches 1051 # Number of Branches instructions committed
+system.cpu.comNops 17 # Number of Nop instructions committed
+system.cpu.comNonSpec 17 # Number of Non-Speculative instructions committed
+system.cpu.comInts 3265 # Number of Integer instructions committed
+system.cpu.comFloats 2 # Number of Floating Point instructions committed
+system.cpu.committedInsts 6404 # Number of Instructions Simulated (Per-Thread)
+system.cpu.smtCommittedInsts 0 # Number of SMT Instructions Simulated (Per-Thread)
+system.cpu.committedInsts_total 6404 # Number of Instructions Simulated (Total)
+system.cpu.cpi 6.626015 # CPI: Cycles Per Instruction (Per-Thread)
+system.cpu.smt_cpi no_value # CPI: Total SMT-CPI
+system.cpu.cpi_total 6.626015 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.150920 # IPC: Instructions Per Cycle (Per-Thread)
+system.cpu.smt_ipc no_value # IPC: Total SMT-IPC
+system.cpu.ipc_total 0.150920 # IPC: Total IPC of All Threads
+system.cpu.branch_predictor.lookups 1670 # Number of BP lookups
+system.cpu.branch_predictor.condPredicted 1199 # Number of conditional branches predicted
+system.cpu.branch_predictor.condIncorrect 712 # Number of conditional branches incorrect
+system.cpu.branch_predictor.BTBLookups 1410 # Number of BTB lookups
+system.cpu.branch_predictor.BTBHits 414 # Number of BTB hits
+system.cpu.branch_predictor.usedRAS 126 # Number of times the RAS was used to get a target.
+system.cpu.branch_predictor.RASInCorrect 0 # Number of incorrect RAS predictions.
+system.cpu.branch_predictor.BTBHitPct 29.361702 # BTB Hit Percentage
+system.cpu.branch_predictor.predictedTaken 565 # Number of Branches Predicted As Taken (True).
+system.cpu.branch_predictor.predictedNotTaken 1105 # Number of Branches Predicted As Not Taken (False).
+system.cpu.regfile_manager.intRegFileReads 5165 # Number of Reads from Int. Register File
+system.cpu.regfile_manager.intRegFileWrites 4580 # Number of Writes to Int. Register File
+system.cpu.regfile_manager.intRegFileAccesses 9745 # Total Accesses (Read+Write) to the Int. Register File
+system.cpu.regfile_manager.floatRegFileReads 8 # Number of Reads from FP Register File
+system.cpu.regfile_manager.floatRegFileWrites 2 # Number of Writes to FP Register File
+system.cpu.regfile_manager.floatRegFileAccesses 10 # Total Accesses (Read+Write) to the FP Register File
+system.cpu.regfile_manager.regForwards 3002 # Number of Registers Read Through Forwarding Logic
+system.cpu.agen_unit.agens 2138 # Number of Address Generations
+system.cpu.execution_unit.predictedTakenIncorrect 357 # Number of Branches Incorrectly Predicted As Taken.
+system.cpu.execution_unit.predictedNotTakenIncorrect 294 # Number of Branches Incorrectly Predicted As Not Taken).
+system.cpu.execution_unit.mispredicted 651 # Number of Branches Incorrectly Predicted
+system.cpu.execution_unit.predicted 401 # Number of Branches Incorrectly Predicted
+system.cpu.execution_unit.mispredictPct 61.882129 # Percentage of Incorrect Branches Predicts
+system.cpu.execution_unit.executions 4447 # Number of Instructions Executed.
+system.cpu.mult_div_unit.multiplies 1 # Number of Multipy Operations Executed
+system.cpu.mult_div_unit.divides 0 # Number of Divide Operations Executed
+system.cpu.stage0.idleCycles 37465 # Number of cycles 0 instructions are processed.
+system.cpu.stage0.runCycles 4968 # Number of cycles 1+ instructions are processed.
+system.cpu.stage0.utilization 11.707869 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage1.idleCycles 38516 # Number of cycles 0 instructions are processed.
+system.cpu.stage1.runCycles 3917 # Number of cycles 1+ instructions are processed.
+system.cpu.stage1.utilization 9.231023 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage2.idleCycles 38252 # Number of cycles 0 instructions are processed.
+system.cpu.stage2.runCycles 4181 # Number of cycles 1+ instructions are processed.
+system.cpu.stage2.utilization 9.853180 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage3.idleCycles 41093 # Number of cycles 0 instructions are processed.
+system.cpu.stage3.runCycles 1340 # Number of cycles 1+ instructions are processed.
+system.cpu.stage3.utilization 3.157920 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage4.idleCycles 37964 # Number of cycles 0 instructions are processed.
+system.cpu.stage4.runCycles 4469 # Number of cycles 1+ instructions are processed.
+system.cpu.stage4.utilization 10.531897 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.icache.replacements 0 # number of replacements
+system.cpu.icache.tagsinuse 138.882502 # Cycle average of tags in use
+system.cpu.icache.total_refs 581 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 301 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 1.930233 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 138.882502 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.067814 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 581 # number of ReadReq hits
+system.cpu.icache.demand_hits 581 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 581 # number of overall hits
+system.cpu.icache.ReadReq_misses 348 # number of ReadReq misses
+system.cpu.icache.demand_misses 348 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 348 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 19241000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 19241000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 19241000 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 929 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 929 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 929 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.374596 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.374596 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.374596 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 55290.229885 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 55290.229885 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 55290.229885 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.ReadReq_mshr_hits 46 # number of ReadReq MSHR hits
+system.cpu.icache.demand_mshr_hits 46 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 46 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 302 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 302 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 302 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 16049000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 16049000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 16049000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.325081 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.325081 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.325081 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 53142.384106 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 53142.384106 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 53142.384106 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 102.671807 # Cycle average of tags in use
+system.cpu.dcache.total_refs 1703 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 168 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 10.136905 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 102.671807 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.025066 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 1088 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 615 # number of WriteReq hits
+system.cpu.dcache.demand_hits 1703 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 1703 # number of overall hits
+system.cpu.dcache.ReadReq_misses 97 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 250 # number of WriteReq misses
+system.cpu.dcache.demand_misses 347 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 347 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 5508500 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 13555500 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 19064000 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 19064000 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 1185 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 865 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 2050 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 2050 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.081857 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.289017 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.169268 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.169268 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 56788.659794 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 54222 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 54939.481268 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 54939.481268 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 1656000 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 36 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets 46000 # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.ReadReq_mshr_hits 2 # number of ReadReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits 177 # number of WriteReq MSHR hits
+system.cpu.dcache.demand_mshr_hits 179 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 179 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 95 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 73 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 168 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 168 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 5114000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 3910000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 9024000 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 9024000 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.080169 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.084393 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.081951 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.081951 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 53831.578947 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 53561.643836 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 53714.285714 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 53714.285714 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 195.209568 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 1 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 395 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0.002532 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 195.209568 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.005957 # Average percentage of cache occupancy
+system.cpu.l2cache.ReadReq_hits 1 # number of ReadReq hits
+system.cpu.l2cache.demand_hits 1 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 1 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 396 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 73 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 469 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 469 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 20702000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 3822000 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 24524000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 24524000 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 397 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 73 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 470 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 470 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 0.997481 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 0.997872 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 0.997872 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 52277.777778 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 52356.164384 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 52289.978678 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 52289.978678 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 396 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 73 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 469 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 469 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 15877000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 2942500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 18819500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 18819500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 0.997481 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 0.997872 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 0.997872 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 40093.434343 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 40308.219178 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 40126.865672 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 40126.865672 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/config.ini
new file mode 100644
index 000000000..db5baf5c5
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/config.ini
@@ -0,0 +1,535 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=DerivO3CPU
+children=dcache dtb fuPool icache itb l2cache toL2Bus tracer workload
+BTBEntries=4096
+BTBTagSize=16
+LFSTSize=1024
+LQEntries=32
+LSQCheckLoads=true
+LSQDepCheckShift=4
+RASSize=16
+SQEntries=32
+SSITSize=1024
+activity=0
+backComSize=5
+cachePorts=200
+checker=Null
+choiceCtrBits=2
+choicePredictorSize=8192
+clock=500
+commitToDecodeDelay=1
+commitToFetchDelay=1
+commitToIEWDelay=1
+commitToRenameDelay=1
+commitWidth=8
+cpu_id=0
+decodeToFetchDelay=1
+decodeToRenameDelay=1
+decodeWidth=8
+defer_registration=false
+dispatchWidth=8
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+fetchToDecodeDelay=1
+fetchTrapLatency=1
+fetchWidth=8
+forwardComSize=5
+fuPool=system.cpu.fuPool
+function_trace=false
+function_trace_start=0
+globalCtrBits=2
+globalHistoryBits=13
+globalPredictorSize=8192
+iewToCommitDelay=1
+iewToDecodeDelay=1
+iewToFetchDelay=1
+iewToRenameDelay=1
+instShiftAmt=2
+issueToExecuteDelay=1
+issueWidth=8
+itb=system.cpu.itb
+localCtrBits=2
+localHistoryBits=11
+localHistoryTableSize=2048
+localPredictorSize=2048
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numIQEntries=64
+numPhysFloatRegs=256
+numPhysIntRegs=256
+numROBEntries=192
+numRobs=1
+numThreads=1
+phase=0
+predType=tournament
+progress_interval=0
+renameToDecodeDelay=1
+renameToFetchDelay=1
+renameToIEWDelay=2
+renameToROBDelay=1
+renameWidth=8
+smtCommitPolicy=RoundRobin
+smtFetchPolicy=SingleThread
+smtIQPolicy=Partitioned
+smtIQThreshold=100
+smtLSQPolicy=Partitioned
+smtLSQThreshold=100
+smtNumFetchingThreads=1
+smtROBPolicy=Partitioned
+smtROBThreshold=100
+squashWidth=8
+store_set_clear_period=250000
+system=system
+tracer=system.cpu.tracer
+trapLatency=13
+wbDepth=1
+wbWidth=8
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=20
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.fuPool]
+type=FUPool
+children=FUList0 FUList1 FUList2 FUList3 FUList4 FUList5 FUList6 FUList7 FUList8
+FUList=system.cpu.fuPool.FUList0 system.cpu.fuPool.FUList1 system.cpu.fuPool.FUList2 system.cpu.fuPool.FUList3 system.cpu.fuPool.FUList4 system.cpu.fuPool.FUList5 system.cpu.fuPool.FUList6 system.cpu.fuPool.FUList7 system.cpu.fuPool.FUList8
+
+[system.cpu.fuPool.FUList0]
+type=FUDesc
+children=opList
+count=6
+opList=system.cpu.fuPool.FUList0.opList
+
+[system.cpu.fuPool.FUList0.opList]
+type=OpDesc
+issueLat=1
+opClass=IntAlu
+opLat=1
+
+[system.cpu.fuPool.FUList1]
+type=FUDesc
+children=opList0 opList1
+count=2
+opList=system.cpu.fuPool.FUList1.opList0 system.cpu.fuPool.FUList1.opList1
+
+[system.cpu.fuPool.FUList1.opList0]
+type=OpDesc
+issueLat=1
+opClass=IntMult
+opLat=3
+
+[system.cpu.fuPool.FUList1.opList1]
+type=OpDesc
+issueLat=19
+opClass=IntDiv
+opLat=20
+
+[system.cpu.fuPool.FUList2]
+type=FUDesc
+children=opList0 opList1 opList2
+count=4
+opList=system.cpu.fuPool.FUList2.opList0 system.cpu.fuPool.FUList2.opList1 system.cpu.fuPool.FUList2.opList2
+
+[system.cpu.fuPool.FUList2.opList0]
+type=OpDesc
+issueLat=1
+opClass=FloatAdd
+opLat=2
+
+[system.cpu.fuPool.FUList2.opList1]
+type=OpDesc
+issueLat=1
+opClass=FloatCmp
+opLat=2
+
+[system.cpu.fuPool.FUList2.opList2]
+type=OpDesc
+issueLat=1
+opClass=FloatCvt
+opLat=2
+
+[system.cpu.fuPool.FUList3]
+type=FUDesc
+children=opList0 opList1 opList2
+count=2
+opList=system.cpu.fuPool.FUList3.opList0 system.cpu.fuPool.FUList3.opList1 system.cpu.fuPool.FUList3.opList2
+
+[system.cpu.fuPool.FUList3.opList0]
+type=OpDesc
+issueLat=1
+opClass=FloatMult
+opLat=4
+
+[system.cpu.fuPool.FUList3.opList1]
+type=OpDesc
+issueLat=12
+opClass=FloatDiv
+opLat=12
+
+[system.cpu.fuPool.FUList3.opList2]
+type=OpDesc
+issueLat=24
+opClass=FloatSqrt
+opLat=24
+
+[system.cpu.fuPool.FUList4]
+type=FUDesc
+children=opList
+count=0
+opList=system.cpu.fuPool.FUList4.opList
+
+[system.cpu.fuPool.FUList4.opList]
+type=OpDesc
+issueLat=1
+opClass=MemRead
+opLat=1
+
+[system.cpu.fuPool.FUList5]
+type=FUDesc
+children=opList00 opList01 opList02 opList03 opList04 opList05 opList06 opList07 opList08 opList09 opList10 opList11 opList12 opList13 opList14 opList15 opList16 opList17 opList18 opList19
+count=4
+opList=system.cpu.fuPool.FUList5.opList00 system.cpu.fuPool.FUList5.opList01 system.cpu.fuPool.FUList5.opList02 system.cpu.fuPool.FUList5.opList03 system.cpu.fuPool.FUList5.opList04 system.cpu.fuPool.FUList5.opList05 system.cpu.fuPool.FUList5.opList06 system.cpu.fuPool.FUList5.opList07 system.cpu.fuPool.FUList5.opList08 system.cpu.fuPool.FUList5.opList09 system.cpu.fuPool.FUList5.opList10 system.cpu.fuPool.FUList5.opList11 system.cpu.fuPool.FUList5.opList12 system.cpu.fuPool.FUList5.opList13 system.cpu.fuPool.FUList5.opList14 system.cpu.fuPool.FUList5.opList15 system.cpu.fuPool.FUList5.opList16 system.cpu.fuPool.FUList5.opList17 system.cpu.fuPool.FUList5.opList18 system.cpu.fuPool.FUList5.opList19
+
+[system.cpu.fuPool.FUList5.opList00]
+type=OpDesc
+issueLat=1
+opClass=SimdAdd
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList01]
+type=OpDesc
+issueLat=1
+opClass=SimdAddAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList02]
+type=OpDesc
+issueLat=1
+opClass=SimdAlu
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList03]
+type=OpDesc
+issueLat=1
+opClass=SimdCmp
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList04]
+type=OpDesc
+issueLat=1
+opClass=SimdCvt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList05]
+type=OpDesc
+issueLat=1
+opClass=SimdMisc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList06]
+type=OpDesc
+issueLat=1
+opClass=SimdMult
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList07]
+type=OpDesc
+issueLat=1
+opClass=SimdMultAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList08]
+type=OpDesc
+issueLat=1
+opClass=SimdShift
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList09]
+type=OpDesc
+issueLat=1
+opClass=SimdShiftAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList10]
+type=OpDesc
+issueLat=1
+opClass=SimdSqrt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList11]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatAdd
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList12]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatAlu
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList13]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatCmp
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList14]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatCvt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList15]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatDiv
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList16]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMisc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList17]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMult
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList18]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMultAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList19]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatSqrt
+opLat=1
+
+[system.cpu.fuPool.FUList6]
+type=FUDesc
+children=opList
+count=0
+opList=system.cpu.fuPool.FUList6.opList
+
+[system.cpu.fuPool.FUList6.opList]
+type=OpDesc
+issueLat=1
+opClass=MemWrite
+opLat=1
+
+[system.cpu.fuPool.FUList7]
+type=FUDesc
+children=opList0 opList1
+count=4
+opList=system.cpu.fuPool.FUList7.opList0 system.cpu.fuPool.FUList7.opList1
+
+[system.cpu.fuPool.FUList7.opList0]
+type=OpDesc
+issueLat=1
+opClass=MemRead
+opLat=1
+
+[system.cpu.fuPool.FUList7.opList1]
+type=OpDesc
+issueLat=1
+opClass=MemWrite
+opLat=1
+
+[system.cpu.fuPool.FUList8]
+type=FUDesc
+children=opList
+count=1
+opList=system.cpu.fuPool.FUList8.opList
+
+[system.cpu.fuPool.FUList8.opList]
+type=OpDesc
+issueLat=3
+opClass=IprAccess
+opLat=3
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=20
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simerr b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simout b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simout
new file mode 100755
index 000000000..6e993ab1c
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:48:33
+gem5 started Jan 23 2012 04:58:59
+gem5 executing on zizzer
+command line: build/ALPHA_SE/gem5.opt -d build/ALPHA_SE/tests/opt/quick/00.hello/alpha/linux/o3-timing -re tests/run.py build/ALPHA_SE/tests/opt/quick/00.hello/alpha/linux/o3-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 12004500 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt
new file mode 100644
index 000000000..3b3d572bb
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt
@@ -0,0 +1,508 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000012 # Number of seconds simulated
+sim_ticks 12004500 # Number of ticks simulated
+final_tick 12004500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 38695 # Simulator instruction rate (inst/s)
+host_tick_rate 72731813 # Simulator tick rate (ticks/s)
+host_mem_usage 208040 # Number of bytes of host memory used
+host_seconds 0.17 # Real time elapsed on the host
+sim_insts 6386 # Number of instructions simulated
+system.physmem.bytes_read 31040 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 19904 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 485 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 2585697030 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 1658044900 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 2585697030 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 1860 # DTB read hits
+system.cpu.dtb.read_misses 44 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 1904 # DTB read accesses
+system.cpu.dtb.write_hits 1041 # DTB write hits
+system.cpu.dtb.write_misses 28 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 1069 # DTB write accesses
+system.cpu.dtb.data_hits 2901 # DTB hits
+system.cpu.dtb.data_misses 72 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 2973 # DTB accesses
+system.cpu.itb.fetch_hits 2039 # ITB hits
+system.cpu.itb.fetch_misses 29 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 2068 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 17 # Number of system calls
+system.cpu.numCycles 24010 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.BPredUnit.lookups 2507 # Number of BP lookups
+system.cpu.BPredUnit.condPredicted 1457 # Number of conditional branches predicted
+system.cpu.BPredUnit.condIncorrect 459 # Number of conditional branches incorrect
+system.cpu.BPredUnit.BTBLookups 1937 # Number of BTB lookups
+system.cpu.BPredUnit.BTBHits 718 # Number of BTB hits
+system.cpu.BPredUnit.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
+system.cpu.BPredUnit.usedRAS 373 # Number of times the RAS was used to get a target.
+system.cpu.BPredUnit.RASInCorrect 67 # Number of incorrect RAS predictions.
+system.cpu.fetch.icacheStallCycles 7150 # Number of cycles fetch is stalled on an Icache miss
+system.cpu.fetch.Insts 14456 # Number of instructions fetch has processed
+system.cpu.fetch.Branches 2507 # Number of branches that fetch encountered
+system.cpu.fetch.predictedBranches 1091 # Number of branches that fetch has predicted taken
+system.cpu.fetch.Cycles 2619 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu.fetch.SquashCycles 1556 # Number of cycles fetch has spent squashing
+system.cpu.fetch.BlockedCycles 1112 # Number of cycles fetch has spent blocked
+system.cpu.fetch.MiscStallCycles 24 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
+system.cpu.fetch.PendingTrapStallCycles 631 # Number of stall cycles due to pending traps
+system.cpu.fetch.CacheLines 2039 # Number of cache lines fetched
+system.cpu.fetch.IcacheSquashes 318 # Number of outstanding Icache misses that were squashed
+system.cpu.fetch.rateDist::samples 12592 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::mean 1.148030 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::stdev 2.530696 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::0 9973 79.20% 79.20% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::1 274 2.18% 81.38% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::2 224 1.78% 83.16% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::3 222 1.76% 84.92% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::4 234 1.86% 86.78% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::5 178 1.41% 88.19% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::6 257 2.04% 90.23% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::7 140 1.11% 91.34% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::8 1090 8.66% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::total 12592 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.branchRate 0.104415 # Number of branch fetches per cycle
+system.cpu.fetch.rate 0.602082 # Number of inst fetches per cycle
+system.cpu.decode.IdleCycles 7970 # Number of cycles decode is idle
+system.cpu.decode.BlockedCycles 1126 # Number of cycles decode is blocked
+system.cpu.decode.RunCycles 2449 # Number of cycles decode is running
+system.cpu.decode.UnblockCycles 69 # Number of cycles decode is unblocking
+system.cpu.decode.SquashCycles 978 # Number of cycles decode is squashing
+system.cpu.decode.BranchResolved 214 # Number of times decode resolved a branch
+system.cpu.decode.BranchMispred 85 # Number of times decode detected a branch misprediction
+system.cpu.decode.DecodedInsts 13378 # Number of instructions handled by decode
+system.cpu.decode.SquashedInsts 215 # Number of squashed instructions handled by decode
+system.cpu.rename.SquashCycles 978 # Number of cycles rename is squashing
+system.cpu.rename.IdleCycles 8160 # Number of cycles rename is idle
+system.cpu.rename.BlockCycles 432 # Number of cycles rename is blocking
+system.cpu.rename.serializeStallCycles 358 # count of cycles rename stalled for serializing inst
+system.cpu.rename.RunCycles 2318 # Number of cycles rename is running
+system.cpu.rename.UnblockCycles 346 # Number of cycles rename is unblocking
+system.cpu.rename.RenamedInsts 12829 # Number of instructions processed by rename
+system.cpu.rename.IQFullEvents 11 # Number of times rename has blocked due to IQ full
+system.cpu.rename.LSQFullEvents 291 # Number of times rename has blocked due to LSQ full
+system.cpu.rename.RenamedOperands 9573 # Number of destination operands rename has renamed
+system.cpu.rename.RenameLookups 16037 # Number of register rename lookups that rename has made
+system.cpu.rename.int_rename_lookups 16020 # Number of integer rename lookups
+system.cpu.rename.fp_rename_lookups 17 # Number of floating rename lookups
+system.cpu.rename.CommittedMaps 4583 # Number of HB maps that are committed
+system.cpu.rename.UndoneMaps 4990 # Number of HB maps that are undone due to squashing
+system.cpu.rename.serializingInsts 28 # count of serializing insts renamed
+system.cpu.rename.tempSerializingInsts 22 # count of temporary serializing insts renamed
+system.cpu.rename.skidInsts 881 # count of insts added to the skid buffer
+system.cpu.memDep0.insertedLoads 2391 # Number of loads inserted to the mem dependence unit.
+system.cpu.memDep0.insertedStores 1271 # Number of stores inserted to the mem dependence unit.
+system.cpu.memDep0.conflictingLoads 4 # Number of conflicting loads.
+system.cpu.memDep0.conflictingStores 0 # Number of conflicting stores.
+system.cpu.iq.iqInstsAdded 11558 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu.iq.iqNonSpecInstsAdded 27 # Number of non-speculative instructions added to the IQ
+system.cpu.iq.iqInstsIssued 9757 # Number of instructions issued
+system.cpu.iq.iqSquashedInstsIssued 47 # Number of squashed instructions issued
+system.cpu.iq.iqSquashedInstsExamined 4883 # Number of squashed instructions iterated over during squash; mainly for profiling
+system.cpu.iq.iqSquashedOperandsExamined 2853 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu.iq.iqSquashedNonSpecRemoved 10 # Number of squashed non-spec instructions that were removed
+system.cpu.iq.issued_per_cycle::samples 12592 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::mean 0.774857 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::stdev 1.395692 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::0 8510 67.58% 67.58% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::1 1462 11.61% 79.19% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::2 1072 8.51% 87.71% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::3 685 5.44% 93.15% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::4 440 3.49% 96.64% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::5 254 2.02% 98.66% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::6 128 1.02% 99.67% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::7 30 0.24% 99.91% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::8 11 0.09% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::total 12592 # Number of insts issued each cycle
+system.cpu.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntAlu 13 12.26% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntMult 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntDiv 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatAdd 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCmp 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCvt 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatMult 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatDiv 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatSqrt 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAdd 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAlu 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCmp 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCvt 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMisc 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMult 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShift 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdSqrt 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 12.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemRead 54 50.94% 63.21% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemWrite 39 36.79% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
+system.cpu.iq.FU_type_0::No_OpClass 2 0.02% 0.02% # Type of FU issued
+system.cpu.iq.FU_type_0::IntAlu 6575 67.39% 67.41% # Type of FU issued
+system.cpu.iq.FU_type_0::IntMult 1 0.01% 67.42% # Type of FU issued
+system.cpu.iq.FU_type_0::IntDiv 0 0.00% 67.42% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatAdd 2 0.02% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatMult 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMult 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShift 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 67.44% # Type of FU issued
+system.cpu.iq.FU_type_0::MemRead 2074 21.26% 88.70% # Type of FU issued
+system.cpu.iq.FU_type_0::MemWrite 1103 11.30% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::total 9757 # Type of FU issued
+system.cpu.iq.rate 0.406372 # Inst issue rate
+system.cpu.iq.fu_busy_cnt 106 # FU busy when requested
+system.cpu.iq.fu_busy_rate 0.010864 # FU busy rate (busy events/executed inst)
+system.cpu.iq.int_inst_queue_reads 32238 # Number of integer instruction queue reads
+system.cpu.iq.int_inst_queue_writes 16474 # Number of integer instruction queue writes
+system.cpu.iq.int_inst_queue_wakeup_accesses 8982 # Number of integer instruction queue wakeup accesses
+system.cpu.iq.fp_inst_queue_reads 21 # Number of floating instruction queue reads
+system.cpu.iq.fp_inst_queue_writes 10 # Number of floating instruction queue writes
+system.cpu.iq.fp_inst_queue_wakeup_accesses 10 # Number of floating instruction queue wakeup accesses
+system.cpu.iq.int_alu_accesses 9850 # Number of integer alu accesses
+system.cpu.iq.fp_alu_accesses 11 # Number of floating point alu accesses
+system.cpu.iew.lsq.thread0.forwLoads 60 # Number of loads that had data forwarded from stores
+system.cpu.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
+system.cpu.iew.lsq.thread0.squashedLoads 1206 # Number of loads squashed
+system.cpu.iew.lsq.thread0.ignoredResponses 0 # Number of memory responses ignored because the instruction is squashed
+system.cpu.iew.lsq.thread0.memOrderViolation 17 # Number of memory ordering violations
+system.cpu.iew.lsq.thread0.squashedStores 406 # Number of stores squashed
+system.cpu.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
+system.cpu.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
+system.cpu.iew.lsq.thread0.rescheduledLoads 1 # Number of loads that were rescheduled
+system.cpu.iew.lsq.thread0.cacheBlocked 0 # Number of times an access to memory failed due to the cache being blocked
+system.cpu.iew.iewIdleCycles 0 # Number of cycles IEW is idle
+system.cpu.iew.iewSquashCycles 978 # Number of cycles IEW is squashing
+system.cpu.iew.iewBlockCycles 150 # Number of cycles IEW is blocking
+system.cpu.iew.iewUnblockCycles 8 # Number of cycles IEW is unblocking
+system.cpu.iew.iewDispatchedInsts 11665 # Number of instructions dispatched to IQ
+system.cpu.iew.iewDispSquashedInsts 142 # Number of squashed instructions skipped by dispatch
+system.cpu.iew.iewDispLoadInsts 2391 # Number of dispatched load instructions
+system.cpu.iew.iewDispStoreInsts 1271 # Number of dispatched store instructions
+system.cpu.iew.iewDispNonSpecInsts 27 # Number of dispatched non-speculative instructions
+system.cpu.iew.iewIQFullEvents 5 # Number of times the IQ has become full, causing a stall
+system.cpu.iew.iewLSQFullEvents 0 # Number of times the LSQ has become full, causing a stall
+system.cpu.iew.memOrderViolationEvents 17 # Number of memory order violations
+system.cpu.iew.predictedTakenIncorrect 120 # Number of branches that were predicted taken incorrectly
+system.cpu.iew.predictedNotTakenIncorrect 327 # Number of branches that were predicted not taken incorrectly
+system.cpu.iew.branchMispredicts 447 # Number of branch mispredicts detected at execute
+system.cpu.iew.iewExecutedInsts 9313 # Number of executed instructions
+system.cpu.iew.iewExecLoadInsts 1914 # Number of load instructions executed
+system.cpu.iew.iewExecSquashedInsts 444 # Number of squashed instructions skipped in execute
+system.cpu.iew.exec_swp 0 # number of swp insts executed
+system.cpu.iew.exec_nop 80 # number of nop insts executed
+system.cpu.iew.exec_refs 2985 # number of memory reference insts executed
+system.cpu.iew.exec_branches 1504 # Number of branches executed
+system.cpu.iew.exec_stores 1071 # Number of stores executed
+system.cpu.iew.exec_rate 0.387880 # Inst execution rate
+system.cpu.iew.wb_sent 9119 # cumulative count of insts sent to commit
+system.cpu.iew.wb_count 8992 # cumulative count of insts written-back
+system.cpu.iew.wb_producers 4719 # num instructions producing a value
+system.cpu.iew.wb_consumers 6404 # num instructions consuming a value
+system.cpu.iew.wb_penalized 0 # number of instrctions required to write to 'other' IQ
+system.cpu.iew.wb_rate 0.374511 # insts written-back per cycle
+system.cpu.iew.wb_fanout 0.736883 # average fanout of values written-back
+system.cpu.iew.wb_penalized_rate 0 # fraction of instructions written-back that wrote to 'other' IQ
+system.cpu.commit.commitCommittedInsts 6403 # The number of committed instructions
+system.cpu.commit.commitSquashedInsts 5259 # The number of squashed insts skipped by commit
+system.cpu.commit.commitNonSpecStalls 17 # The number of times commit has been forced to stall to communicate backwards
+system.cpu.commit.branchMispredicts 381 # The number of times a branch was mispredicted
+system.cpu.commit.committed_per_cycle::samples 11614 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::mean 0.551317 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::stdev 1.413084 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::0 8938 76.96% 76.96% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::1 1410 12.14% 89.10% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::2 462 3.98% 93.08% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::3 240 2.07% 95.14% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::4 159 1.37% 96.51% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::5 87 0.75% 97.26% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::6 110 0.95% 98.21% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::7 46 0.40% 98.61% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::8 162 1.39% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::total 11614 # Number of insts commited each cycle
+system.cpu.commit.count 6403 # Number of instructions committed
+system.cpu.commit.swp_count 0 # Number of s/w prefetches committed
+system.cpu.commit.refs 2050 # Number of memory references committed
+system.cpu.commit.loads 1185 # Number of loads committed
+system.cpu.commit.membars 0 # Number of memory barriers committed
+system.cpu.commit.branches 1051 # Number of branches committed
+system.cpu.commit.fp_insts 10 # Number of committed floating point instructions.
+system.cpu.commit.int_insts 6321 # Number of committed integer instructions.
+system.cpu.commit.function_calls 127 # Number of function calls committed.
+system.cpu.commit.bw_lim_events 162 # number cycles where commit BW limit reached
+system.cpu.commit.bw_limited 0 # number of insts not committed due to BW limits
+system.cpu.rob.rob_reads 22763 # The number of ROB reads
+system.cpu.rob.rob_writes 24313 # The number of ROB writes
+system.cpu.timesIdled 230 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 11418 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu.committedInsts 6386 # Number of Instructions Simulated
+system.cpu.committedInsts_total 6386 # Number of Instructions Simulated
+system.cpu.cpi 3.759787 # CPI: Cycles Per Instruction
+system.cpu.cpi_total 3.759787 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.265973 # IPC: Instructions Per Cycle
+system.cpu.ipc_total 0.265973 # IPC: Total IPC of All Threads
+system.cpu.int_regfile_reads 11830 # number of integer regfile reads
+system.cpu.int_regfile_writes 6732 # number of integer regfile writes
+system.cpu.fp_regfile_reads 8 # number of floating regfile reads
+system.cpu.fp_regfile_writes 2 # number of floating regfile writes
+system.cpu.misc_regfile_reads 1 # number of misc regfile reads
+system.cpu.misc_regfile_writes 1 # number of misc regfile writes
+system.cpu.icache.replacements 0 # number of replacements
+system.cpu.icache.tagsinuse 160.112304 # Cycle average of tags in use
+system.cpu.icache.total_refs 1606 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 312 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 5.147436 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 160.112304 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.078180 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 1606 # number of ReadReq hits
+system.cpu.icache.demand_hits 1606 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 1606 # number of overall hits
+system.cpu.icache.ReadReq_misses 433 # number of ReadReq misses
+system.cpu.icache.demand_misses 433 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 433 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 15431000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 15431000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 15431000 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 2039 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 2039 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 2039 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.212359 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.212359 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.212359 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 35637.413395 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 35637.413395 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 35637.413395 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.ReadReq_mshr_hits 121 # number of ReadReq MSHR hits
+system.cpu.icache.demand_mshr_hits 121 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 121 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 312 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 312 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 312 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 11021000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 11021000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 11021000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.153016 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.153016 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.153016 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 35323.717949 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 35323.717949 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 35323.717949 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 109.290272 # Cycle average of tags in use
+system.cpu.dcache.total_refs 2154 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 174 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 12.379310 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 109.290272 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.026682 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 1645 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 509 # number of WriteReq hits
+system.cpu.dcache.demand_hits 2154 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 2154 # number of overall hits
+system.cpu.dcache.ReadReq_misses 154 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 356 # number of WriteReq misses
+system.cpu.dcache.demand_misses 510 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 510 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 5497500 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 12467500 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 17965000 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 17965000 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 1799 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 865 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 2664 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 2664 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.085603 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.411561 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.191441 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.191441 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 35698.051948 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 35021.067416 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 35225.490196 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 35225.490196 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.ReadReq_mshr_hits 53 # number of ReadReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits 283 # number of WriteReq MSHR hits
+system.cpu.dcache.demand_mshr_hits 336 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 336 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 101 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 73 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 174 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 174 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 3654500 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 2611500 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 6266000 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 6266000 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.056142 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.084393 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.065315 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.065315 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 36183.168317 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 35773.972603 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 36011.494253 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 36011.494253 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 221.643066 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 1 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 412 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0.002427 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 221.643066 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.006764 # Average percentage of cache occupancy
+system.cpu.l2cache.ReadReq_hits 1 # number of ReadReq hits
+system.cpu.l2cache.demand_hits 1 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 1 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 412 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 73 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 485 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 485 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 14163000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 2513500 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 16676500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 16676500 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 413 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 73 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 486 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 486 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 0.997579 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 0.997942 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 0.997942 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 34376.213592 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 34431.506849 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 34384.536082 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 34384.536082 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 412 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 73 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 485 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 485 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 12850000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 2286000 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 15136000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 15136000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 0.997579 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 0.997942 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 0.997942 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 31189.320388 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 31315.068493 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 31208.247423 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 31208.247423 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/config.ini
new file mode 100644
index 000000000..df86e7077
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/config.ini
@@ -0,0 +1,102 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=AtomicSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+simulate_data_stalls=false
+simulate_inst_stalls=false
+system=system
+tracer=system.cpu.tracer
+width=1
+workload=system.cpu.workload
+dcache_port=system.membus.port[3]
+icache_port=system.membus.port[2]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simerr b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simout b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simout
new file mode 100755
index 000000000..9f50fe960
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:48:33
+gem5 started Jan 23 2012 04:58:59
+gem5 executing on zizzer
+command line: build/ALPHA_SE/gem5.opt -d build/ALPHA_SE/tests/opt/quick/00.hello/alpha/linux/simple-atomic -re tests/run.py build/ALPHA_SE/tests/opt/quick/00.hello/alpha/linux/simple-atomic
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 3215000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt
new file mode 100644
index 000000000..7ceb6a8be
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt
@@ -0,0 +1,77 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000003 # Number of seconds simulated
+sim_ticks 3215000 # Number of ticks simulated
+final_tick 3215000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 76916 # Simulator instruction rate (inst/s)
+host_tick_rate 38606134 # Simulator tick rate (ticks/s)
+host_mem_usage 198176 # Number of bytes of host memory used
+host_seconds 0.08 # Real time elapsed on the host
+sim_insts 6404 # Number of instructions simulated
+system.physmem.bytes_read 34460 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 25656 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 6696 # Number of bytes written to this memory
+system.physmem.num_reads 7599 # Number of read requests responded to by this memory
+system.physmem.num_writes 865 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 10718506998 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 7980093313 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 2082737170 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 12801244168 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 1185 # DTB read hits
+system.cpu.dtb.read_misses 7 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 1192 # DTB read accesses
+system.cpu.dtb.write_hits 865 # DTB write hits
+system.cpu.dtb.write_misses 3 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 868 # DTB write accesses
+system.cpu.dtb.data_hits 2050 # DTB hits
+system.cpu.dtb.data_misses 10 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 2060 # DTB accesses
+system.cpu.itb.fetch_hits 6414 # ITB hits
+system.cpu.itb.fetch_misses 17 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 6431 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 17 # Number of system calls
+system.cpu.numCycles 6431 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 6404 # Number of instructions executed
+system.cpu.num_int_alu_accesses 6331 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 10 # Number of float alu accesses
+system.cpu.num_func_calls 251 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 750 # number of instructions that are conditional controls
+system.cpu.num_int_insts 6331 # number of integer instructions
+system.cpu.num_fp_insts 10 # number of float instructions
+system.cpu.num_int_register_reads 8304 # number of times the integer registers were read
+system.cpu.num_int_register_writes 4581 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 8 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 2 # number of times the floating registers were written
+system.cpu.num_mem_refs 2060 # number of memory refs
+system.cpu.num_load_insts 1192 # Number of load instructions
+system.cpu.num_store_insts 868 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 6431 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/config.ini
new file mode 100644
index 000000000..b9fd9c5f2
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/config.ini
@@ -0,0 +1,327 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000
+time_sync_spin_threshold=100000
+
+[system]
+type=System
+children=cpu dir_cntrl0 l1_cntrl0 l2_cntrl0 physmem ruby sys_port_proxy
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=1
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.l1_cntrl0.sequencer.port[1]
+icache_port=system.l1_cntrl0.sequencer.port[0]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer
+buffer_size=0
+cntrl_id=2
+directory=system.dir_cntrl0.directory
+directory_latency=6
+memBuffer=system.dir_cntrl0.memBuffer
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+to_mem_ctrl_latency=1
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=L1DcacheMemory L1IcacheMemory sequencer
+L1DcacheMemory=system.l1_cntrl0.L1DcacheMemory
+L1IcacheMemory=system.l1_cntrl0.L1IcacheMemory
+buffer_size=0
+cntrl_id=0
+l1_request_latency=2
+l1_response_latency=2
+l2_select_num_bits=0
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+sequencer=system.l1_cntrl0.sequencer
+to_l2_latency=1
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.L1DcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.L1IcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.L1DcacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.L1IcacheMemory
+max_outstanding_requests=16
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[0]
+port=system.cpu.icache_port system.cpu.dcache_port
+
+[system.l2_cntrl0]
+type=L2Cache_Controller
+children=L2cacheMemory
+L2cacheMemory=system.l2_cntrl0.L2cacheMemory
+buffer_size=0
+cntrl_id=1
+l2_request_latency=2
+l2_response_latency=2
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+to_l1_latency=1
+transitions_per_cycle=32
+version=0
+
+[system.l2_cntrl0.L2cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=15
+replacement_policy=PSEUDO_LRU
+size=512
+start_index_bit=6
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.l1_cntrl0.sequencer.physMemPort system.sys_port_proxy.physMemPort
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=1
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 ext_links2 int_links0 int_links1 int_links2 routers0 routers1 routers2 routers3
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1 system.ruby.network.topology.ext_links2
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1 system.ruby.network.topology.int_links2
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2 system.ruby.network.topology.routers3
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l2_cntrl0
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.ext_links2]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers2
+latency=1
+link_id=2
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=3
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=4
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.int_links2]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=5
+node_a=system.ruby.network.topology.routers2
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.network.topology.routers3]
+type=BasicRouter
+router_id=3
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=1
+ruby_system=system.ruby
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[1]
+port=system.system_port
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/ruby.stats b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/ruby.stats
new file mode 100644
index 000000000..c2d3c97af
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/ruby.stats
@@ -0,0 +1,641 @@
+
+================ Begin RubySystem Configuration Print ================
+
+RubySystem config:
+ random_seed: 1234
+ randomization: 0
+ cycle_period: 1
+ block_size_bytes: 64
+ block_size_bits: 6
+ memory_size_bytes: 134217728
+ memory_size_bits: 27
+
+Network Configuration
+---------------------
+network: SIMPLE_NETWORK
+topology:
+
+virtual_net_0: active, unordered
+virtual_net_1: active, unordered
+virtual_net_2: active, unordered
+virtual_net_3: inactive
+virtual_net_4: inactive
+virtual_net_5: inactive
+virtual_net_6: inactive
+virtual_net_7: inactive
+virtual_net_8: inactive
+virtual_net_9: inactive
+
+
+Profiler Configuration
+----------------------
+periodic_stats_period: 1000000
+
+================ End RubySystem Configuration Print ================
+
+
+Real time: Jan/23/2012 04:21:55
+
+Profiler Stats
+--------------
+Elapsed_time_in_seconds: 2
+Elapsed_time_in_minutes: 0.0333333
+Elapsed_time_in_hours: 0.000555556
+Elapsed_time_in_days: 2.31481e-05
+
+Virtual_time_in_seconds: 0.38
+Virtual_time_in_minutes: 0.00633333
+Virtual_time_in_hours: 0.000105556
+Virtual_time_in_days: 4.39815e-06
+
+Ruby_current_time: 279353
+Ruby_start_time: 0
+Ruby_cycles: 279353
+
+mbytes_resident: 45.5547
+mbytes_total: 214.371
+resident_ratio: 0.212504
+
+ruby_cycles_executed: [ 279354 ]
+
+Busy Controller Counts:
+L1Cache-0:0
+L2Cache-0:0
+Directory-0:0
+
+
+Busy Bank Count:0
+
+sequencer_requests_outstanding: [binsize: 1 max: 1 count: 8465 average: 1 | standard deviation: 0 | 0 8465 ]
+
+All Non-Zero Cycle Demand Cache Accesses
+----------------------------------------
+miss_latency: [binsize: 2 max: 297 count: 8464 average: 32.0048 | standard deviation: 63.6079 | 0 6974 0 0 0 0 0 0 0 29 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 118 380 227 310 190 17 40 4 7 11 8 23 23 28 22 21 12 0 0 0 2 2 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 1 0 0 3 3 0 0 1 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_LD: [binsize: 2 max: 287 count: 1185 average: 83.8878 | standard deviation: 84.2176 | 0 602 0 0 0 0 0 0 0 12 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 54 155 70 110 81 8 29 3 2 5 2 9 4 12 7 5 8 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 3 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST: [binsize: 2 max: 297 count: 865 average: 43.8439 | standard deviation: 73.6087 | 0 649 0 0 0 0 0 0 0 12 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6 35 63 19 18 8 4 0 0 1 1 3 15 1 3 16 4 0 0 0 2 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH: [binsize: 2 max: 295 count: 6414 average: 20.8227 | standard deviation: 51.5606 | 0 5723 0 0 0 0 0 0 0 5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 58 190 94 181 91 1 7 1 5 5 5 11 4 15 12 0 0 0 0 0 0 2 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_NULL: [binsize: 2 max: 297 count: 8464 average: 32.0048 | standard deviation: 63.6079 | 0 6974 0 0 0 0 0 0 0 29 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 118 380 227 310 190 17 40 4 7 11 8 23 23 28 22 21 12 0 0 0 2 2 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 1 0 0 3 3 0 0 1 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_wCC_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_wCC_Times: 0
+miss_latency_dir_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_dir_Times: 0
+miss_latency_LD_NULL: [binsize: 2 max: 287 count: 1185 average: 83.8878 | standard deviation: 84.2176 | 0 602 0 0 0 0 0 0 0 12 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 54 155 70 110 81 8 29 3 2 5 2 9 4 12 7 5 8 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 3 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST_NULL: [binsize: 2 max: 297 count: 865 average: 43.8439 | standard deviation: 73.6087 | 0 649 0 0 0 0 0 0 0 12 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6 35 63 19 18 8 4 0 0 1 1 3 15 1 3 16 4 0 0 0 2 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH_NULL: [binsize: 2 max: 295 count: 6414 average: 20.8227 | standard deviation: 51.5606 | 0 5723 0 0 0 0 0 0 0 5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 58 190 94 181 91 1 7 1 5 5 5 11 4 15 12 0 0 0 0 0 0 2 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+
+All Non-Zero Cycle SW Prefetch Requests
+------------------------------------
+prefetch_latency: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+prefetch_latency_L2Miss:[binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Request vs. RubySystem State Profile
+--------------------------------
+
+
+filter_action: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Message Delayed Cycles
+----------------------
+Total_delay_cycles: [binsize: 1 max: 20 count: 9645 average: 0.064282 | standard deviation: 0.540462 | 9495 0 1 0 147 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 ]
+Total_nonPF_delay_cycles: [binsize: 1 max: 2 count: 6920 average: 0.000289017 | standard deviation: 0.0240441 | 6919 0 1 ]
+ virtual_network_0_delay_cycles: [binsize: 1 max: 20 count: 2725 average: 0.226789 | standard deviation: 0.997795 | 2576 0 0 0 147 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 ]
+ virtual_network_1_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_2_delay_cycles: [binsize: 1 max: 0 count: 1041 average: 0 | standard deviation: 0 | 1041 ]
+ virtual_network_3_delay_cycles: [binsize: 1 max: 2 count: 5879 average: 0.000340194 | standard deviation: 0.0260865 | 5878 0 1 ]
+ virtual_network_4_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_5_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_6_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_7_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_8_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_9_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Resource Usage
+--------------
+page_size: 4096
+user_time: 0
+system_time: 0
+page_reclaims: 11862
+page_faults: 127
+swaps: 0
+block_inputs: 22816
+block_outputs: 96
+
+Network Stats
+-------------
+
+total_msg_count_Control: 8850 70800
+total_msg_count_Request_Control: 3123 24984
+total_msg_count_Response_Data: 9681 697032
+total_msg_count_Response_Control: 14286 114288
+total_msg_count_Writeback_Data: 864 62208
+total_msg_count_Writeback_Control: 867 6936
+total_msgs: 37671 total_bytes: 976248
+
+switch_0_inlinks: 2
+switch_0_outlinks: 2
+links_utilized_percent_switch_0: 1.87549
+ links_utilized_percent_switch_0_link_0: 2.66455 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_0_link_1: 1.08644 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_0_link_0_Request_Control: 1041 8328 [ 1041 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Response_Data: 1490 107280 [ 0 1490 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Response_Control: 436 3488 [ 0 436 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Control: 1490 11920 [ 1490 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Response_Control: 1699 13592 [ 0 900 799 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Data: 288 20736 [ 147 141 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Control: 289 2312 [ 289 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_1_inlinks: 2
+switch_1_outlinks: 2
+links_utilized_percent_switch_1: 3.64029
+ links_utilized_percent_switch_1_link_0: 3.69819 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_1_link_1: 3.58239 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_1_link_0_Control: 1490 11920 [ 1490 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Response_Data: 1460 105120 [ 0 1460 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Response_Control: 3151 25208 [ 0 2352 799 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Writeback_Data: 288 20736 [ 147 141 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Writeback_Control: 289 2312 [ 289 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Control: 1460 11680 [ 1460 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Request_Control: 1041 8328 [ 1041 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Data: 1767 127224 [ 0 1767 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Control: 1611 12888 [ 0 1611 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_2_inlinks: 2
+switch_2_outlinks: 2
+links_utilized_percent_switch_2: 1.76479
+ links_utilized_percent_switch_2_link_0: 0.917835 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_2_link_1: 2.61175 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_2_link_0_Control: 1460 11680 [ 1460 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Response_Data: 277 19944 [ 0 277 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Response_Control: 1175 9400 [ 0 1175 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Response_Data: 1460 105120 [ 0 1460 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Response_Control: 1452 11616 [ 0 1452 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_3_inlinks: 3
+switch_3_outlinks: 3
+links_utilized_percent_switch_3: 2.42686
+ links_utilized_percent_switch_3_link_0: 2.66455 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_3_link_1: 3.69819 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_3_link_2: 0.917835 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_3_link_0_Request_Control: 1041 8328 [ 1041 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Response_Data: 1490 107280 [ 0 1490 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Response_Control: 436 3488 [ 0 436 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Control: 1490 11920 [ 1490 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Response_Data: 1460 105120 [ 0 1460 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Response_Control: 3151 25208 [ 0 2352 799 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Writeback_Data: 288 20736 [ 147 141 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Writeback_Control: 289 2312 [ 289 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Control: 1460 11680 [ 1460 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Response_Data: 277 19944 [ 0 277 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Response_Control: 1175 9400 [ 0 1175 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+Cache Stats: system.l1_cntrl0.L1IcacheMemory
+ system.l1_cntrl0.L1IcacheMemory_total_misses: 691
+ system.l1_cntrl0.L1IcacheMemory_total_demand_misses: 691
+ system.l1_cntrl0.L1IcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1IcacheMemory_request_type_IFETCH: 100%
+
+ system.l1_cntrl0.L1IcacheMemory_access_mode_type_Supervisor: 691 100%
+
+Cache Stats: system.l1_cntrl0.L1DcacheMemory
+ system.l1_cntrl0.L1DcacheMemory_total_misses: 799
+ system.l1_cntrl0.L1DcacheMemory_total_demand_misses: 799
+ system.l1_cntrl0.L1DcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1DcacheMemory_request_type_LD: 72.9662%
+ system.l1_cntrl0.L1DcacheMemory_request_type_ST: 27.0338%
+
+ system.l1_cntrl0.L1DcacheMemory_access_mode_type_Supervisor: 799 100%
+
+ --- L1Cache ---
+ - Event Counts -
+Load [1185 ] 1185
+Ifetch [6414 ] 6414
+Store [865 ] 865
+Inv [1041 ] 1041
+L1_Replacement [1354 ] 1354
+Fwd_GETX [0 ] 0
+Fwd_GETS [0 ] 0
+Fwd_GET_INSTR [0 ] 0
+Data [0 ] 0
+Data_Exclusive [583 ] 583
+DataS_fromL1 [0 ] 0
+Data_all_Acks [907 ] 907
+Ack [0 ] 0
+Ack_all [0 ] 0
+WB_Ack [436 ] 436
+
+ - Transitions -
+NP Load [525 ] 525
+NP Ifetch [646 ] 646
+NP Store [191 ] 191
+NP Inv [356 ] 356
+NP L1_Replacement [0 ] 0
+
+I Load [58 ] 58
+I Ifetch [45 ] 45
+I Store [25 ] 25
+I Inv [0 ] 0
+I L1_Replacement [556 ] 556
+
+S Load [0 ] 0
+S Ifetch [5723 ] 5723
+S Store [0 ] 0
+S Inv [325 ] 325
+S L1_Replacement [362 ] 362
+
+E Load [454 ] 454
+E Ifetch [0 ] 0
+E Store [71 ] 71
+E Inv [219 ] 219
+E L1_Replacement [291 ] 291
+E Fwd_GETX [0 ] 0
+E Fwd_GETS [0 ] 0
+E Fwd_GET_INSTR [0 ] 0
+
+M Load [148 ] 148
+M Ifetch [0 ] 0
+M Store [578 ] 578
+M Inv [141 ] 141
+M L1_Replacement [145 ] 145
+M Fwd_GETX [0 ] 0
+M Fwd_GETS [0 ] 0
+M Fwd_GET_INSTR [0 ] 0
+
+IS Load [0 ] 0
+IS Ifetch [0 ] 0
+IS Store [0 ] 0
+IS Inv [0 ] 0
+IS L1_Replacement [0 ] 0
+IS Data_Exclusive [583 ] 583
+IS DataS_fromL1 [0 ] 0
+IS Data_all_Acks [691 ] 691
+
+IM Load [0 ] 0
+IM Ifetch [0 ] 0
+IM Store [0 ] 0
+IM Inv [0 ] 0
+IM L1_Replacement [0 ] 0
+IM Data [0 ] 0
+IM Data_all_Acks [216 ] 216
+IM Ack [0 ] 0
+
+SM Load [0 ] 0
+SM Ifetch [0 ] 0
+SM Store [0 ] 0
+SM Inv [0 ] 0
+SM L1_Replacement [0 ] 0
+SM Ack [0 ] 0
+SM Ack_all [0 ] 0
+
+IS_I Load [0 ] 0
+IS_I Ifetch [0 ] 0
+IS_I Store [0 ] 0
+IS_I Inv [0 ] 0
+IS_I L1_Replacement [0 ] 0
+IS_I Data_Exclusive [0 ] 0
+IS_I DataS_fromL1 [0 ] 0
+IS_I Data_all_Acks [0 ] 0
+
+M_I Load [0 ] 0
+M_I Ifetch [0 ] 0
+M_I Store [0 ] 0
+M_I Inv [0 ] 0
+M_I L1_Replacement [0 ] 0
+M_I Fwd_GETX [0 ] 0
+M_I Fwd_GETS [0 ] 0
+M_I Fwd_GET_INSTR [0 ] 0
+M_I WB_Ack [436 ] 436
+
+E_I Load [0 ] 0
+E_I Ifetch [0 ] 0
+E_I Store [0 ] 0
+E_I L1_Replacement [0 ] 0
+
+SINK_WB_ACK Load [0 ] 0
+SINK_WB_ACK Ifetch [0 ] 0
+SINK_WB_ACK Store [0 ] 0
+SINK_WB_ACK Inv [0 ] 0
+SINK_WB_ACK L1_Replacement [0 ] 0
+SINK_WB_ACK WB_Ack [0 ] 0
+
+Cache Stats: system.l2_cntrl0.L2cacheMemory
+ system.l2_cntrl0.L2cacheMemory_total_misses: 1460
+ system.l2_cntrl0.L2cacheMemory_total_demand_misses: 1460
+ system.l2_cntrl0.L2cacheMemory_total_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_sw_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_hw_prefetches: 0
+
+ system.l2_cntrl0.L2cacheMemory_request_type_GETS: 39.0411%
+ system.l2_cntrl0.L2cacheMemory_request_type_GET_INSTR: 46.9863%
+ system.l2_cntrl0.L2cacheMemory_request_type_GETX: 13.9726%
+
+ system.l2_cntrl0.L2cacheMemory_access_mode_type_Supervisor: 1460 100%
+
+ --- L2Cache ---
+ - Event Counts -
+L1_GET_INSTR [691 ] 691
+L1_GETS [586 ] 586
+L1_GETX [216 ] 216
+L1_UPGRADE [0 ] 0
+L1_PUTX [436 ] 436
+L1_PUTX_old [0 ] 0
+Fwd_L1_GETX [0 ] 0
+Fwd_L1_GETS [0 ] 0
+Fwd_L1_GET_INSTR [0 ] 0
+L2_Replacement [142 ] 142
+L2_Replacement_clean [1310 ] 1310
+Mem_Data [1460 ] 1460
+Mem_Ack [1452 ] 1452
+WB_Data [141 ] 141
+WB_Data_clean [0 ] 0
+Ack [0 ] 0
+Ack_all [900 ] 900
+Unblock [0 ] 0
+Unblock_Cancel [0 ] 0
+Exclusive_Unblock [799 ] 799
+MEM_Inv [0 ] 0
+
+ - Transitions -
+NP L1_GET_INSTR [686 ] 686
+NP L1_GETS [570 ] 570
+NP L1_GETX [204 ] 204
+NP L1_PUTX [0 ] 0
+NP L1_PUTX_old [0 ] 0
+
+SS L1_GET_INSTR [5 ] 5
+SS L1_GETS [0 ] 0
+SS L1_GETX [0 ] 0
+SS L1_UPGRADE [0 ] 0
+SS L1_PUTX [0 ] 0
+SS L1_PUTX_old [0 ] 0
+SS L2_Replacement [0 ] 0
+SS L2_Replacement_clean [681 ] 681
+SS MEM_Inv [0 ] 0
+
+M L1_GET_INSTR [0 ] 0
+M L1_GETS [13 ] 13
+M L1_GETX [12 ] 12
+M L1_PUTX [0 ] 0
+M L1_PUTX_old [0 ] 0
+M L2_Replacement [134 ] 134
+M L2_Replacement_clean [277 ] 277
+M MEM_Inv [0 ] 0
+
+MT L1_GET_INSTR [0 ] 0
+MT L1_GETS [0 ] 0
+MT L1_GETX [0 ] 0
+MT L1_PUTX [436 ] 436
+MT L1_PUTX_old [0 ] 0
+MT L2_Replacement [8 ] 8
+MT L2_Replacement_clean [352 ] 352
+MT MEM_Inv [0 ] 0
+
+M_I L1_GET_INSTR [0 ] 0
+M_I L1_GETS [3 ] 3
+M_I L1_GETX [0 ] 0
+M_I L1_UPGRADE [0 ] 0
+M_I L1_PUTX [0 ] 0
+M_I L1_PUTX_old [0 ] 0
+M_I Mem_Ack [1452 ] 1452
+M_I MEM_Inv [0 ] 0
+
+MT_I L1_GET_INSTR [0 ] 0
+MT_I L1_GETS [0 ] 0
+MT_I L1_GETX [0 ] 0
+MT_I L1_UPGRADE [0 ] 0
+MT_I L1_PUTX [0 ] 0
+MT_I L1_PUTX_old [0 ] 0
+MT_I WB_Data [6 ] 6
+MT_I WB_Data_clean [0 ] 0
+MT_I Ack_all [2 ] 2
+MT_I MEM_Inv [0 ] 0
+
+MCT_I L1_GET_INSTR [0 ] 0
+MCT_I L1_GETS [0 ] 0
+MCT_I L1_GETX [0 ] 0
+MCT_I L1_UPGRADE [0 ] 0
+MCT_I L1_PUTX [0 ] 0
+MCT_I L1_PUTX_old [0 ] 0
+MCT_I WB_Data [135 ] 135
+MCT_I WB_Data_clean [0 ] 0
+MCT_I Ack_all [217 ] 217
+
+I_I L1_GET_INSTR [0 ] 0
+I_I L1_GETS [0 ] 0
+I_I L1_GETX [0 ] 0
+I_I L1_UPGRADE [0 ] 0
+I_I L1_PUTX [0 ] 0
+I_I L1_PUTX_old [0 ] 0
+I_I Ack [0 ] 0
+I_I Ack_all [681 ] 681
+
+S_I L1_GET_INSTR [0 ] 0
+S_I L1_GETS [0 ] 0
+S_I L1_GETX [0 ] 0
+S_I L1_UPGRADE [0 ] 0
+S_I L1_PUTX [0 ] 0
+S_I L1_PUTX_old [0 ] 0
+S_I Ack [0 ] 0
+S_I Ack_all [0 ] 0
+S_I MEM_Inv [0 ] 0
+
+ISS L1_GET_INSTR [0 ] 0
+ISS L1_GETS [0 ] 0
+ISS L1_GETX [0 ] 0
+ISS L1_PUTX [0 ] 0
+ISS L1_PUTX_old [0 ] 0
+ISS L2_Replacement [0 ] 0
+ISS L2_Replacement_clean [0 ] 0
+ISS Mem_Data [570 ] 570
+ISS MEM_Inv [0 ] 0
+
+IS L1_GET_INSTR [0 ] 0
+IS L1_GETS [0 ] 0
+IS L1_GETX [0 ] 0
+IS L1_PUTX [0 ] 0
+IS L1_PUTX_old [0 ] 0
+IS L2_Replacement [0 ] 0
+IS L2_Replacement_clean [0 ] 0
+IS Mem_Data [686 ] 686
+IS MEM_Inv [0 ] 0
+
+IM L1_GET_INSTR [0 ] 0
+IM L1_GETS [0 ] 0
+IM L1_GETX [0 ] 0
+IM L1_PUTX [0 ] 0
+IM L1_PUTX_old [0 ] 0
+IM L2_Replacement [0 ] 0
+IM L2_Replacement_clean [0 ] 0
+IM Mem_Data [204 ] 204
+IM MEM_Inv [0 ] 0
+
+SS_MB L1_GET_INSTR [0 ] 0
+SS_MB L1_GETS [0 ] 0
+SS_MB L1_GETX [0 ] 0
+SS_MB L1_UPGRADE [0 ] 0
+SS_MB L1_PUTX [0 ] 0
+SS_MB L1_PUTX_old [0 ] 0
+SS_MB L2_Replacement [0 ] 0
+SS_MB L2_Replacement_clean [0 ] 0
+SS_MB Unblock_Cancel [0 ] 0
+SS_MB Exclusive_Unblock [0 ] 0
+SS_MB MEM_Inv [0 ] 0
+
+MT_MB L1_GET_INSTR [0 ] 0
+MT_MB L1_GETS [0 ] 0
+MT_MB L1_GETX [0 ] 0
+MT_MB L1_UPGRADE [0 ] 0
+MT_MB L1_PUTX [0 ] 0
+MT_MB L1_PUTX_old [0 ] 0
+MT_MB L2_Replacement [0 ] 0
+MT_MB L2_Replacement_clean [0 ] 0
+MT_MB Unblock_Cancel [0 ] 0
+MT_MB Exclusive_Unblock [799 ] 799
+MT_MB MEM_Inv [0 ] 0
+
+M_MB L1_GET_INSTR [0 ] 0
+M_MB L1_GETS [0 ] 0
+M_MB L1_GETX [0 ] 0
+M_MB L1_UPGRADE [0 ] 0
+M_MB L1_PUTX [0 ] 0
+M_MB L1_PUTX_old [0 ] 0
+M_MB L2_Replacement [0 ] 0
+M_MB L2_Replacement_clean [0 ] 0
+M_MB Exclusive_Unblock [0 ] 0
+M_MB MEM_Inv [0 ] 0
+
+MT_IIB L1_GET_INSTR [0 ] 0
+MT_IIB L1_GETS [0 ] 0
+MT_IIB L1_GETX [0 ] 0
+MT_IIB L1_UPGRADE [0 ] 0
+MT_IIB L1_PUTX [0 ] 0
+MT_IIB L1_PUTX_old [0 ] 0
+MT_IIB L2_Replacement [0 ] 0
+MT_IIB L2_Replacement_clean [0 ] 0
+MT_IIB WB_Data [0 ] 0
+MT_IIB WB_Data_clean [0 ] 0
+MT_IIB Unblock [0 ] 0
+MT_IIB MEM_Inv [0 ] 0
+
+MT_IB L1_GET_INSTR [0 ] 0
+MT_IB L1_GETS [0 ] 0
+MT_IB L1_GETX [0 ] 0
+MT_IB L1_UPGRADE [0 ] 0
+MT_IB L1_PUTX [0 ] 0
+MT_IB L1_PUTX_old [0 ] 0
+MT_IB L2_Replacement [0 ] 0
+MT_IB L2_Replacement_clean [0 ] 0
+MT_IB WB_Data [0 ] 0
+MT_IB WB_Data_clean [0 ] 0
+MT_IB Unblock_Cancel [0 ] 0
+MT_IB MEM_Inv [0 ] 0
+
+MT_SB L1_GET_INSTR [0 ] 0
+MT_SB L1_GETS [0 ] 0
+MT_SB L1_GETX [0 ] 0
+MT_SB L1_UPGRADE [0 ] 0
+MT_SB L1_PUTX [0 ] 0
+MT_SB L1_PUTX_old [0 ] 0
+MT_SB L2_Replacement [0 ] 0
+MT_SB L2_Replacement_clean [0 ] 0
+MT_SB Unblock [0 ] 0
+MT_SB MEM_Inv [0 ] 0
+
+Memory controller: system.dir_cntrl0.memBuffer:
+ memory_total_requests: 1737
+ memory_reads: 1460
+ memory_writes: 277
+ memory_refreshes: 582
+ memory_total_request_delays: 821
+ memory_delays_per_request: 0.472654
+ memory_delays_in_input_queue: 84
+ memory_delays_behind_head_of_bank_queue: 0
+ memory_delays_stalled_at_head_of_bank_queue: 737
+ memory_stalls_for_bank_busy: 197
+ memory_stalls_for_random_busy: 0
+ memory_stalls_for_anti_starvation: 0
+ memory_stalls_for_arbitration: 40
+ memory_stalls_for_bus: 242
+ memory_stalls_for_tfaw: 0
+ memory_stalls_for_read_write_turnaround: 258
+ memory_stalls_for_read_read_turnaround: 0
+ accesses_per_bank: 92 21 45 54 57 174 48 18 19 22 35 37 56 59 44 36 41 24 22 28 32 48 122 36 32 25 35 96 114 185 19 61
+
+ --- Directory ---
+ - Event Counts -
+Fetch [1460 ] 1460
+Data [277 ] 277
+Memory_Data [1460 ] 1460
+Memory_Ack [277 ] 277
+DMA_READ [0 ] 0
+DMA_WRITE [0 ] 0
+CleanReplacement [1175 ] 1175
+
+ - Transitions -
+I Fetch [1460 ] 1460
+I DMA_READ [0 ] 0
+I DMA_WRITE [0 ] 0
+
+ID Fetch [0 ] 0
+ID Data [0 ] 0
+ID Memory_Data [0 ] 0
+ID DMA_READ [0 ] 0
+ID DMA_WRITE [0 ] 0
+
+ID_W Fetch [0 ] 0
+ID_W Data [0 ] 0
+ID_W Memory_Ack [0 ] 0
+ID_W DMA_READ [0 ] 0
+ID_W DMA_WRITE [0 ] 0
+
+M Data [277 ] 277
+M DMA_READ [0 ] 0
+M DMA_WRITE [0 ] 0
+M CleanReplacement [1175 ] 1175
+
+IM Fetch [0 ] 0
+IM Data [0 ] 0
+IM Memory_Data [1460 ] 1460
+IM DMA_READ [0 ] 0
+IM DMA_WRITE [0 ] 0
+
+MI Fetch [0 ] 0
+MI Data [0 ] 0
+MI Memory_Ack [277 ] 277
+MI DMA_READ [0 ] 0
+MI DMA_WRITE [0 ] 0
+
+M_DRD Data [0 ] 0
+M_DRD DMA_READ [0 ] 0
+M_DRD DMA_WRITE [0 ] 0
+
+M_DRDI Fetch [0 ] 0
+M_DRDI Data [0 ] 0
+M_DRDI Memory_Ack [0 ] 0
+M_DRDI DMA_READ [0 ] 0
+M_DRDI DMA_WRITE [0 ] 0
+
+M_DWR Data [0 ] 0
+M_DWR DMA_READ [0 ] 0
+M_DWR DMA_WRITE [0 ] 0
+
+M_DWRI Fetch [0 ] 0
+M_DWRI Data [0 ] 0
+M_DWRI Memory_Ack [0 ] 0
+M_DWRI DMA_READ [0 ] 0
+M_DWRI DMA_WRITE [0 ] 0
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/simerr b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/simout b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/simout
new file mode 100755
index 000000000..c93c8f8af
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:44:57
+gem5 started Jan 23 2012 04:21:53
+gem5 executing on zizzer
+command line: build/ALPHA_SE_MESI_CMP_directory/gem5.opt -d build/ALPHA_SE_MESI_CMP_directory/tests/opt/quick/00.hello/alpha/linux/simple-timing-ruby-MESI_CMP_directory -re tests/run.py build/ALPHA_SE_MESI_CMP_directory/tests/opt/quick/00.hello/alpha/linux/simple-timing-ruby-MESI_CMP_directory
+Global frequency set at 1000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 279353 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/stats.txt
new file mode 100644
index 000000000..3bba58631
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MESI_CMP_directory/stats.txt
@@ -0,0 +1,77 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000279 # Number of seconds simulated
+sim_ticks 279353 # Number of ticks simulated
+final_tick 279353 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000 # Frequency of simulated ticks
+host_inst_rate 2836 # Simulator instruction rate (inst/s)
+host_tick_rate 123728 # Simulator tick rate (ticks/s)
+host_mem_usage 219520 # Number of bytes of host memory used
+host_seconds 2.26 # Real time elapsed on the host
+sim_insts 6404 # Number of instructions simulated
+system.physmem.bytes_read 34460 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 25656 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 6696 # Number of bytes written to this memory
+system.physmem.num_reads 7599 # Number of read requests responded to by this memory
+system.physmem.num_writes 865 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 123356470 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 91840789 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 23969673 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 147326143 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 1185 # DTB read hits
+system.cpu.dtb.read_misses 7 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 1192 # DTB read accesses
+system.cpu.dtb.write_hits 865 # DTB write hits
+system.cpu.dtb.write_misses 3 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 868 # DTB write accesses
+system.cpu.dtb.data_hits 2050 # DTB hits
+system.cpu.dtb.data_misses 10 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 2060 # DTB accesses
+system.cpu.itb.fetch_hits 6415 # ITB hits
+system.cpu.itb.fetch_misses 17 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 6432 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 17 # Number of system calls
+system.cpu.numCycles 279353 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 6404 # Number of instructions executed
+system.cpu.num_int_alu_accesses 6331 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 10 # Number of float alu accesses
+system.cpu.num_func_calls 251 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 750 # number of instructions that are conditional controls
+system.cpu.num_int_insts 6331 # number of integer instructions
+system.cpu.num_fp_insts 10 # number of float instructions
+system.cpu.num_int_register_reads 8304 # number of times the integer registers were read
+system.cpu.num_int_register_writes 4581 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 8 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 2 # number of times the floating registers were written
+system.cpu.num_mem_refs 2060 # number of memory refs
+system.cpu.num_load_insts 1192 # Number of load instructions
+system.cpu.num_store_insts 868 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 279353 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/config.ini
new file mode 100644
index 000000000..607ab419c
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/config.ini
@@ -0,0 +1,323 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000
+time_sync_spin_threshold=100000
+
+[system]
+type=System
+children=cpu dir_cntrl0 l1_cntrl0 l2_cntrl0 physmem ruby sys_port_proxy
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=1
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.l1_cntrl0.sequencer.port[1]
+icache_port=system.l1_cntrl0.sequencer.port[0]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer
+buffer_size=0
+cntrl_id=2
+directory=system.dir_cntrl0.directory
+directory_latency=6
+memBuffer=system.dir_cntrl0.memBuffer
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=L1DcacheMemory L1IcacheMemory sequencer
+L1DcacheMemory=system.l1_cntrl0.L1DcacheMemory
+L1IcacheMemory=system.l1_cntrl0.L1IcacheMemory
+buffer_size=0
+cntrl_id=0
+l2_select_num_bits=0
+number_of_TBEs=256
+recycle_latency=10
+request_latency=2
+ruby_system=system.ruby
+sequencer=system.l1_cntrl0.sequencer
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.L1DcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.L1IcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.L1DcacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.L1IcacheMemory
+max_outstanding_requests=16
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[0]
+port=system.cpu.icache_port system.cpu.dcache_port
+
+[system.l2_cntrl0]
+type=L2Cache_Controller
+children=L2cacheMemory
+L2cacheMemory=system.l2_cntrl0.L2cacheMemory
+buffer_size=0
+cntrl_id=1
+number_of_TBEs=256
+recycle_latency=10
+request_latency=2
+response_latency=2
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.l2_cntrl0.L2cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=15
+replacement_policy=PSEUDO_LRU
+size=512
+start_index_bit=6
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.l1_cntrl0.sequencer.physMemPort system.sys_port_proxy.physMemPort
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=1
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 ext_links2 int_links0 int_links1 int_links2 routers0 routers1 routers2 routers3
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1 system.ruby.network.topology.ext_links2
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1 system.ruby.network.topology.int_links2
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2 system.ruby.network.topology.routers3
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l2_cntrl0
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.ext_links2]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers2
+latency=1
+link_id=2
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=3
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=4
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.int_links2]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=5
+node_a=system.ruby.network.topology.routers2
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.network.topology.routers3]
+type=BasicRouter
+router_id=3
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=1
+ruby_system=system.ruby
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[1]
+port=system.system_port
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/ruby.stats b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/ruby.stats
new file mode 100644
index 000000000..03b0eda65
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/ruby.stats
@@ -0,0 +1,1470 @@
+
+================ Begin RubySystem Configuration Print ================
+
+RubySystem config:
+ random_seed: 1234
+ randomization: 0
+ cycle_period: 1
+ block_size_bytes: 64
+ block_size_bits: 6
+ memory_size_bytes: 134217728
+ memory_size_bits: 27
+
+Network Configuration
+---------------------
+network: SIMPLE_NETWORK
+topology:
+
+virtual_net_0: active, unordered
+virtual_net_1: active, unordered
+virtual_net_2: active, unordered
+virtual_net_3: inactive
+virtual_net_4: inactive
+virtual_net_5: inactive
+virtual_net_6: inactive
+virtual_net_7: inactive
+virtual_net_8: inactive
+virtual_net_9: inactive
+
+
+Profiler Configuration
+----------------------
+periodic_stats_period: 1000000
+
+================ End RubySystem Configuration Print ================
+
+
+Real time: Jan/23/2012 04:22:13
+
+Profiler Stats
+--------------
+Elapsed_time_in_seconds: 1
+Elapsed_time_in_minutes: 0.0166667
+Elapsed_time_in_hours: 0.000277778
+Elapsed_time_in_days: 1.15741e-05
+
+Virtual_time_in_seconds: 0.39
+Virtual_time_in_minutes: 0.0065
+Virtual_time_in_hours: 0.000108333
+Virtual_time_in_days: 4.51389e-06
+
+Ruby_current_time: 223694
+Ruby_start_time: 0
+Ruby_cycles: 223694
+
+mbytes_resident: 45.5586
+mbytes_total: 214.484
+resident_ratio: 0.21241
+
+ruby_cycles_executed: [ 223695 ]
+
+Busy Controller Counts:
+L2Cache-0:0
+L1Cache-0:0
+
+Directory-0:0
+
+Busy Bank Count:0
+
+sequencer_requests_outstanding: [binsize: 1 max: 1 count: 8465 average: 1 | standard deviation: 0 | 0 8465 ]
+
+All Non-Zero Cycle Demand Cache Accesses
+----------------------------------------
+miss_latency: [binsize: 2 max: 276 count: 8464 average: 25.4289 | standard deviation: 56.47 | 0 7102 0 0 0 0 0 0 0 164 89 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 243 195 220 185 167 17 4 19 5 3 3 5 21 6 1 2 1 0 0 0 0 1 0 0 0 0 0 3 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_LD: [binsize: 2 max: 259 count: 1185 average: 62.8405 | standard deviation: 79.0945 | 0 660 0 0 0 0 0 0 0 99 14 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 95 54 83 83 64 4 1 2 2 1 3 3 5 6 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST: [binsize: 2 max: 233 count: 865 average: 29.4509 | standard deviation: 59.7812 | 0 674 0 0 0 0 0 0 0 0 61 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 31 41 10 37 6 1 1 0 0 0 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH: [binsize: 2 max: 276 count: 6414 average: 17.9746 | standard deviation: 47.4906 | 0 5768 0 0 0 0 0 0 0 65 14 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 148 110 96 92 66 7 2 16 3 2 0 2 14 0 1 2 0 0 0 0 0 0 0 0 0 0 0 2 1 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_NULL: [binsize: 2 max: 276 count: 8464 average: 25.4289 | standard deviation: 56.47 | 0 7102 0 0 0 0 0 0 0 164 89 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 243 195 220 185 167 17 4 19 5 3 3 5 21 6 1 2 1 0 0 0 0 1 0 0 0 0 0 3 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_wCC_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_wCC_Times: 0
+miss_latency_dir_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_dir_Times: 0
+miss_latency_LD_NULL: [binsize: 2 max: 259 count: 1185 average: 62.8405 | standard deviation: 79.0945 | 0 660 0 0 0 0 0 0 0 99 14 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 95 54 83 83 64 4 1 2 2 1 3 3 5 6 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST_NULL: [binsize: 2 max: 233 count: 865 average: 29.4509 | standard deviation: 59.7812 | 0 674 0 0 0 0 0 0 0 0 61 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 31 41 10 37 6 1 1 0 0 0 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH_NULL: [binsize: 2 max: 276 count: 6414 average: 17.9746 | standard deviation: 47.4906 | 0 5768 0 0 0 0 0 0 0 65 14 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 148 110 96 92 66 7 2 16 3 2 0 2 14 0 1 2 0 0 0 0 0 0 0 0 0 0 0 2 1 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+
+All Non-Zero Cycle SW Prefetch Requests
+------------------------------------
+prefetch_latency: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+prefetch_latency_L2Miss:[binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Request vs. RubySystem State Profile
+--------------------------------
+
+
+filter_action: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Message Delayed Cycles
+----------------------
+Total_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Total_nonPF_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_0_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_1_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_2_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_3_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_4_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_5_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_6_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_7_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_8_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_9_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Resource Usage
+--------------
+page_size: 4096
+user_time: 0
+system_time: 0
+page_reclaims: 11886
+page_faults: 121
+swaps: 0
+block_inputs: 21600
+block_outputs: 88
+
+Network Stats
+-------------
+
+total_msg_count_Request_Control: 7413 59304
+total_msg_count_Response_Data: 6654 479088
+total_msg_count_ResponseL2hit_Data: 759 54648
+total_msg_count_Writeback_Data: 4644 334368
+total_msg_count_Writeback_Control: 17379 139032
+total_msg_count_Unblock_Control: 7413 59304
+total_msgs: 44262 total_bytes: 1125744
+
+switch_0_inlinks: 2
+switch_0_outlinks: 2
+links_utilized_percent_switch_0: 5.24221
+ links_utilized_percent_switch_0_link_0: 6.11058 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_0_link_1: 4.37383 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_0_link_0_Request_Control: 1362 10896 [ 1362 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Response_Data: 1109 79848 [ 0 0 1109 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Writeback_Data: 1354 97488 [ 0 0 1354 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Writeback_Control: 2447 19576 [ 1354 1093 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Unblock_Control: 1362 10896 [ 0 0 1362 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Request_Control: 1109 8872 [ 0 1109 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Response_Data: 1109 79848 [ 0 0 1109 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_ResponseL2hit_Data: 253 18216 [ 0 0 253 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Data: 194 13968 [ 0 0 194 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Control: 3346 26768 [ 1354 1093 899 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Unblock_Control: 1109 8872 [ 0 0 1109 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_1_inlinks: 2
+switch_1_outlinks: 2
+links_utilized_percent_switch_1: 3.33894
+ links_utilized_percent_switch_1_link_0: 3.04255 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_1_link_1: 3.63532 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_1_link_0_Response_Data: 1109 79848 [ 0 0 1109 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_ResponseL2hit_Data: 253 18216 [ 0 0 253 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Writeback_Control: 1354 10832 [ 1354 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Request_Control: 1362 10896 [ 1362 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Data: 1354 97488 [ 0 0 1354 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Control: 1354 10832 [ 1354 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Unblock_Control: 1362 10896 [ 0 0 1362 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_2_inlinks: 2
+switch_2_outlinks: 2
+links_utilized_percent_switch_2: 1.90327
+ links_utilized_percent_switch_2_link_0: 1.33128 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_2_link_1: 2.47526 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_2_link_0_Request_Control: 1109 8872 [ 0 1109 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Data: 194 13968 [ 0 0 194 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Control: 1992 15936 [ 0 1093 899 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Unblock_Control: 1109 8872 [ 0 0 1109 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Response_Data: 1109 79848 [ 0 0 1109 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Writeback_Control: 1093 8744 [ 0 1093 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_3_inlinks: 3
+switch_3_outlinks: 3
+links_utilized_percent_switch_3: 3.4948
+ links_utilized_percent_switch_3_link_0: 6.11058 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_3_link_1: 3.04255 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_3_link_2: 1.33128 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_3_link_0_Request_Control: 1362 10896 [ 1362 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Response_Data: 1109 79848 [ 0 0 1109 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Writeback_Data: 1354 97488 [ 0 0 1354 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Writeback_Control: 2447 19576 [ 1354 1093 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Unblock_Control: 1362 10896 [ 0 0 1362 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Response_Data: 1109 79848 [ 0 0 1109 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_ResponseL2hit_Data: 253 18216 [ 0 0 253 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Writeback_Control: 1354 10832 [ 1354 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Request_Control: 1109 8872 [ 0 1109 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Writeback_Data: 194 13968 [ 0 0 194 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Writeback_Control: 1992 15936 [ 0 1093 899 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Unblock_Control: 1109 8872 [ 0 0 1109 0 0 0 0 0 0 0 ] base_latency: 1
+
+Cache Stats: system.l1_cntrl0.L1IcacheMemory
+ system.l1_cntrl0.L1IcacheMemory_total_misses: 0
+ system.l1_cntrl0.L1IcacheMemory_total_demand_misses: 0
+ system.l1_cntrl0.L1IcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_hw_prefetches: 0
+
+
+Cache Stats: system.l1_cntrl0.L1DcacheMemory
+ system.l1_cntrl0.L1DcacheMemory_total_misses: 0
+ system.l1_cntrl0.L1DcacheMemory_total_demand_misses: 0
+ system.l1_cntrl0.L1DcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_hw_prefetches: 0
+
+
+ --- L1Cache ---
+ - Event Counts -
+Load [1185 ] 1185
+Ifetch [6414 ] 6414
+Store [865 ] 865
+L1_Replacement [1379 ] 1379
+Own_GETX [0 ] 0
+Fwd_GETX [0 ] 0
+Fwd_GETS [0 ] 0
+Fwd_DMA [0 ] 0
+Inv [0 ] 0
+Ack [0 ] 0
+Data [0 ] 0
+Exclusive_Data [1362 ] 1362
+Writeback_Ack [0 ] 0
+Writeback_Ack_Data [1354 ] 1354
+Writeback_Nack [0 ] 0
+All_acks [191 ] 191
+Use_Timeout [1361 ] 1361
+
+ - Transitions -
+I Load [525 ] 525
+I Ifetch [646 ] 646
+I Store [191 ] 191
+I L1_Replacement [0 ] 0
+I Inv [0 ] 0
+
+S Load [0 ] 0
+S Ifetch [0 ] 0
+S Store [0 ] 0
+S L1_Replacement [0 ] 0
+S Fwd_GETS [0 ] 0
+S Fwd_DMA [0 ] 0
+S Inv [0 ] 0
+
+O Load [0 ] 0
+O Ifetch [0 ] 0
+O Store [0 ] 0
+O L1_Replacement [0 ] 0
+O Fwd_GETX [0 ] 0
+O Fwd_GETS [0 ] 0
+O Fwd_DMA [0 ] 0
+
+M Load [307 ] 307
+M Ifetch [3481 ] 3481
+M Store [51 ] 51
+M L1_Replacement [1086 ] 1086
+M Fwd_GETX [0 ] 0
+M Fwd_GETS [0 ] 0
+M Fwd_DMA [0 ] 0
+
+M_W Load [112 ] 112
+M_W Ifetch [2287 ] 2287
+M_W Store [27 ] 27
+M_W L1_Replacement [17 ] 17
+M_W Own_GETX [0 ] 0
+M_W Fwd_GETX [0 ] 0
+M_W Fwd_GETS [0 ] 0
+M_W Fwd_DMA [0 ] 0
+M_W Inv [0 ] 0
+M_W Use_Timeout [1143 ] 1143
+
+MM Load [234 ] 234
+MM Ifetch [0 ] 0
+MM Store [339 ] 339
+MM L1_Replacement [268 ] 268
+MM Fwd_GETX [0 ] 0
+MM Fwd_GETS [0 ] 0
+MM Fwd_DMA [0 ] 0
+
+MM_W Load [7 ] 7
+MM_W Ifetch [0 ] 0
+MM_W Store [257 ] 257
+MM_W L1_Replacement [8 ] 8
+MM_W Own_GETX [0 ] 0
+MM_W Fwd_GETX [0 ] 0
+MM_W Fwd_GETS [0 ] 0
+MM_W Fwd_DMA [0 ] 0
+MM_W Inv [0 ] 0
+MM_W Use_Timeout [218 ] 218
+
+IM Load [0 ] 0
+IM Ifetch [0 ] 0
+IM Store [0 ] 0
+IM L1_Replacement [0 ] 0
+IM Inv [0 ] 0
+IM Ack [0 ] 0
+IM Data [0 ] 0
+IM Exclusive_Data [191 ] 191
+
+SM Load [0 ] 0
+SM Ifetch [0 ] 0
+SM Store [0 ] 0
+SM L1_Replacement [0 ] 0
+SM Fwd_GETS [0 ] 0
+SM Fwd_DMA [0 ] 0
+SM Inv [0 ] 0
+SM Ack [0 ] 0
+SM Data [0 ] 0
+SM Exclusive_Data [0 ] 0
+
+OM Load [0 ] 0
+OM Ifetch [0 ] 0
+OM Store [0 ] 0
+OM L1_Replacement [0 ] 0
+OM Own_GETX [0 ] 0
+OM Fwd_GETX [0 ] 0
+OM Fwd_GETS [0 ] 0
+OM Fwd_DMA [0 ] 0
+OM Ack [0 ] 0
+OM All_acks [191 ] 191
+
+IS Load [0 ] 0
+IS Ifetch [0 ] 0
+IS Store [0 ] 0
+IS L1_Replacement [0 ] 0
+IS Inv [0 ] 0
+IS Data [0 ] 0
+IS Exclusive_Data [1171 ] 1171
+
+SI Load [0 ] 0
+SI Ifetch [0 ] 0
+SI Store [0 ] 0
+SI L1_Replacement [0 ] 0
+SI Fwd_GETS [0 ] 0
+SI Fwd_DMA [0 ] 0
+SI Inv [0 ] 0
+SI Writeback_Ack [0 ] 0
+SI Writeback_Ack_Data [0 ] 0
+SI Writeback_Nack [0 ] 0
+
+OI Load [0 ] 0
+OI Ifetch [0 ] 0
+OI Store [0 ] 0
+OI L1_Replacement [0 ] 0
+OI Fwd_GETX [0 ] 0
+OI Fwd_GETS [0 ] 0
+OI Fwd_DMA [0 ] 0
+OI Writeback_Ack [0 ] 0
+OI Writeback_Ack_Data [0 ] 0
+OI Writeback_Nack [0 ] 0
+
+MI Load [0 ] 0
+MI Ifetch [0 ] 0
+MI Store [0 ] 0
+MI L1_Replacement [0 ] 0
+MI Fwd_GETX [0 ] 0
+MI Fwd_GETS [0 ] 0
+MI Fwd_DMA [0 ] 0
+MI Writeback_Ack [0 ] 0
+MI Writeback_Ack_Data [1354 ] 1354
+MI Writeback_Nack [0 ] 0
+
+II Load [0 ] 0
+II Ifetch [0 ] 0
+II Store [0 ] 0
+II L1_Replacement [0 ] 0
+II Inv [0 ] 0
+II Writeback_Ack [0 ] 0
+II Writeback_Ack_Data [0 ] 0
+II Writeback_Nack [0 ] 0
+
+Cache Stats: system.l2_cntrl0.L2cacheMemory
+ system.l2_cntrl0.L2cacheMemory_total_misses: 0
+ system.l2_cntrl0.L2cacheMemory_total_demand_misses: 0
+ system.l2_cntrl0.L2cacheMemory_total_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_sw_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_hw_prefetches: 0
+
+
+ --- L2Cache ---
+ - Event Counts -
+L1_GETS [1171 ] 1171
+L1_GETX [191 ] 191
+L1_PUTO [0 ] 0
+L1_PUTX [1354 ] 1354
+L1_PUTS_only [0 ] 0
+L1_PUTS [0 ] 0
+Fwd_GETX [0 ] 0
+Fwd_GETS [0 ] 0
+Fwd_DMA [0 ] 0
+Own_GETX [0 ] 0
+Inv [0 ] 0
+IntAck [0 ] 0
+ExtAck [0 ] 0
+All_Acks [130 ] 130
+Data [130 ] 130
+Data_Exclusive [979 ] 979
+L1_WBCLEANDATA [1058 ] 1058
+L1_WBDIRTYDATA [296 ] 296
+Writeback_Ack [1093 ] 1093
+Writeback_Nack [0 ] 0
+Unblock [0 ] 0
+Exclusive_Unblock [1362 ] 1362
+DmaAck [0 ] 0
+L2_Replacement [1093 ] 1093
+
+ - Transitions -
+NP L1_GETS [979 ] 979
+NP L1_GETX [130 ] 130
+NP L1_PUTO [0 ] 0
+NP L1_PUTX [0 ] 0
+NP L1_PUTS [0 ] 0
+NP Inv [0 ] 0
+
+I L1_GETS [0 ] 0
+I L1_GETX [0 ] 0
+I L1_PUTO [0 ] 0
+I L1_PUTX [0 ] 0
+I L1_PUTS [0 ] 0
+I Inv [0 ] 0
+I L2_Replacement [0 ] 0
+
+ILS L1_GETS [0 ] 0
+ILS L1_GETX [0 ] 0
+ILS L1_PUTO [0 ] 0
+ILS L1_PUTX [0 ] 0
+ILS L1_PUTS_only [0 ] 0
+ILS L1_PUTS [0 ] 0
+ILS Inv [0 ] 0
+ILS L2_Replacement [0 ] 0
+
+ILX L1_GETS [0 ] 0
+ILX L1_GETX [0 ] 0
+ILX L1_PUTO [0 ] 0
+ILX L1_PUTX [1354 ] 1354
+ILX L1_PUTS_only [0 ] 0
+ILX L1_PUTS [0 ] 0
+ILX Fwd_GETX [0 ] 0
+ILX Fwd_GETS [0 ] 0
+ILX Fwd_DMA [0 ] 0
+ILX Inv [0 ] 0
+ILX Data [0 ] 0
+ILX L2_Replacement [0 ] 0
+
+ILO L1_GETS [0 ] 0
+ILO L1_GETX [0 ] 0
+ILO L1_PUTO [0 ] 0
+ILO L1_PUTX [0 ] 0
+ILO L1_PUTS [0 ] 0
+ILO Fwd_GETX [0 ] 0
+ILO Fwd_GETS [0 ] 0
+ILO Fwd_DMA [0 ] 0
+ILO Inv [0 ] 0
+ILO Data [0 ] 0
+ILO L2_Replacement [0 ] 0
+
+ILOX L1_GETS [0 ] 0
+ILOX L1_GETX [0 ] 0
+ILOX L1_PUTO [0 ] 0
+ILOX L1_PUTX [0 ] 0
+ILOX L1_PUTS [0 ] 0
+ILOX Fwd_GETX [0 ] 0
+ILOX Fwd_GETS [0 ] 0
+ILOX Fwd_DMA [0 ] 0
+ILOX Data [0 ] 0
+
+ILOS L1_GETS [0 ] 0
+ILOS L1_GETX [0 ] 0
+ILOS L1_PUTO [0 ] 0
+ILOS L1_PUTX [0 ] 0
+ILOS L1_PUTS_only [0 ] 0
+ILOS L1_PUTS [0 ] 0
+ILOS Fwd_GETX [0 ] 0
+ILOS Fwd_GETS [0 ] 0
+ILOS Fwd_DMA [0 ] 0
+ILOS Data [0 ] 0
+ILOS L2_Replacement [0 ] 0
+
+ILOSX L1_GETS [0 ] 0
+ILOSX L1_GETX [0 ] 0
+ILOSX L1_PUTO [0 ] 0
+ILOSX L1_PUTX [0 ] 0
+ILOSX L1_PUTS_only [0 ] 0
+ILOSX L1_PUTS [0 ] 0
+ILOSX Fwd_GETX [0 ] 0
+ILOSX Fwd_GETS [0 ] 0
+ILOSX Fwd_DMA [0 ] 0
+ILOSX Data [0 ] 0
+
+S L1_GETS [0 ] 0
+S L1_GETX [0 ] 0
+S L1_PUTX [0 ] 0
+S L1_PUTS [0 ] 0
+S Inv [0 ] 0
+S L2_Replacement [0 ] 0
+
+O L1_GETS [0 ] 0
+O L1_GETX [0 ] 0
+O L1_PUTX [0 ] 0
+O Fwd_GETX [0 ] 0
+O Fwd_GETS [0 ] 0
+O Fwd_DMA [0 ] 0
+O L2_Replacement [0 ] 0
+
+OLS L1_GETS [0 ] 0
+OLS L1_GETX [0 ] 0
+OLS L1_PUTX [0 ] 0
+OLS L1_PUTS_only [0 ] 0
+OLS L1_PUTS [0 ] 0
+OLS Fwd_GETX [0 ] 0
+OLS Fwd_GETS [0 ] 0
+OLS Fwd_DMA [0 ] 0
+OLS L2_Replacement [0 ] 0
+
+OLSX L1_GETS [0 ] 0
+OLSX L1_GETX [0 ] 0
+OLSX L1_PUTO [0 ] 0
+OLSX L1_PUTX [0 ] 0
+OLSX L1_PUTS_only [0 ] 0
+OLSX L1_PUTS [0 ] 0
+OLSX Fwd_GETX [0 ] 0
+OLSX Fwd_GETS [0 ] 0
+OLSX Fwd_DMA [0 ] 0
+OLSX L2_Replacement [0 ] 0
+
+SLS L1_GETS [0 ] 0
+SLS L1_GETX [0 ] 0
+SLS L1_PUTX [0 ] 0
+SLS L1_PUTS_only [0 ] 0
+SLS L1_PUTS [0 ] 0
+SLS Inv [0 ] 0
+SLS L2_Replacement [0 ] 0
+
+M L1_GETS [192 ] 192
+M L1_GETX [61 ] 61
+M L1_PUTO [0 ] 0
+M L1_PUTX [0 ] 0
+M L1_PUTS [0 ] 0
+M Fwd_GETX [0 ] 0
+M Fwd_GETS [0 ] 0
+M Fwd_DMA [0 ] 0
+M L2_Replacement [1093 ] 1093
+
+IFGX L1_GETS [0 ] 0
+IFGX L1_GETX [0 ] 0
+IFGX L1_PUTO [0 ] 0
+IFGX L1_PUTX [0 ] 0
+IFGX L1_PUTS_only [0 ] 0
+IFGX L1_PUTS [0 ] 0
+IFGX Fwd_GETX [0 ] 0
+IFGX Fwd_GETS [0 ] 0
+IFGX Fwd_DMA [0 ] 0
+IFGX Inv [0 ] 0
+IFGX Data [0 ] 0
+IFGX Data_Exclusive [0 ] 0
+IFGX L2_Replacement [0 ] 0
+
+IFGS L1_GETS [0 ] 0
+IFGS L1_GETX [0 ] 0
+IFGS L1_PUTO [0 ] 0
+IFGS L1_PUTX [0 ] 0
+IFGS L1_PUTS_only [0 ] 0
+IFGS L1_PUTS [0 ] 0
+IFGS Fwd_GETX [0 ] 0
+IFGS Fwd_GETS [0 ] 0
+IFGS Fwd_DMA [0 ] 0
+IFGS Inv [0 ] 0
+IFGS Data [0 ] 0
+IFGS Data_Exclusive [0 ] 0
+IFGS L2_Replacement [0 ] 0
+
+ISFGS L1_GETS [0 ] 0
+ISFGS L1_GETX [0 ] 0
+ISFGS L1_PUTO [0 ] 0
+ISFGS L1_PUTX [0 ] 0
+ISFGS L1_PUTS_only [0 ] 0
+ISFGS L1_PUTS [0 ] 0
+ISFGS Fwd_GETX [0 ] 0
+ISFGS Fwd_GETS [0 ] 0
+ISFGS Fwd_DMA [0 ] 0
+ISFGS Inv [0 ] 0
+ISFGS Data [0 ] 0
+ISFGS L2_Replacement [0 ] 0
+
+IFGXX L1_GETS [0 ] 0
+IFGXX L1_GETX [0 ] 0
+IFGXX L1_PUTO [0 ] 0
+IFGXX L1_PUTX [0 ] 0
+IFGXX L1_PUTS_only [0 ] 0
+IFGXX L1_PUTS [0 ] 0
+IFGXX Fwd_GETX [0 ] 0
+IFGXX Fwd_GETS [0 ] 0
+IFGXX Fwd_DMA [0 ] 0
+IFGXX Inv [0 ] 0
+IFGXX IntAck [0 ] 0
+IFGXX All_Acks [0 ] 0
+IFGXX Data_Exclusive [0 ] 0
+IFGXX L2_Replacement [0 ] 0
+
+OFGX L1_GETS [0 ] 0
+OFGX L1_GETX [0 ] 0
+OFGX L1_PUTO [0 ] 0
+OFGX L1_PUTX [0 ] 0
+OFGX L1_PUTS_only [0 ] 0
+OFGX L1_PUTS [0 ] 0
+OFGX Fwd_GETX [0 ] 0
+OFGX Fwd_GETS [0 ] 0
+OFGX Fwd_DMA [0 ] 0
+OFGX Inv [0 ] 0
+OFGX L2_Replacement [0 ] 0
+
+OLSF L1_GETS [0 ] 0
+OLSF L1_GETX [0 ] 0
+OLSF L1_PUTO [0 ] 0
+OLSF L1_PUTX [0 ] 0
+OLSF L1_PUTS_only [0 ] 0
+OLSF L1_PUTS [0 ] 0
+OLSF Fwd_GETX [0 ] 0
+OLSF Fwd_GETS [0 ] 0
+OLSF Fwd_DMA [0 ] 0
+OLSF Inv [0 ] 0
+OLSF IntAck [0 ] 0
+OLSF All_Acks [0 ] 0
+OLSF L2_Replacement [0 ] 0
+
+ILOW L1_GETS [0 ] 0
+ILOW L1_GETX [0 ] 0
+ILOW L1_PUTO [0 ] 0
+ILOW L1_PUTX [0 ] 0
+ILOW L1_PUTS_only [0 ] 0
+ILOW L1_PUTS [0 ] 0
+ILOW Fwd_GETX [0 ] 0
+ILOW Fwd_GETS [0 ] 0
+ILOW Fwd_DMA [0 ] 0
+ILOW Inv [0 ] 0
+ILOW L1_WBCLEANDATA [0 ] 0
+ILOW L1_WBDIRTYDATA [0 ] 0
+ILOW Unblock [0 ] 0
+ILOW L2_Replacement [0 ] 0
+
+ILOXW L1_GETS [0 ] 0
+ILOXW L1_GETX [0 ] 0
+ILOXW L1_PUTO [0 ] 0
+ILOXW L1_PUTX [0 ] 0
+ILOXW L1_PUTS_only [0 ] 0
+ILOXW L1_PUTS [0 ] 0
+ILOXW Fwd_GETX [0 ] 0
+ILOXW Fwd_GETS [0 ] 0
+ILOXW Fwd_DMA [0 ] 0
+ILOXW Inv [0 ] 0
+ILOXW L1_WBCLEANDATA [0 ] 0
+ILOXW L1_WBDIRTYDATA [0 ] 0
+ILOXW Unblock [0 ] 0
+ILOXW L2_Replacement [0 ] 0
+
+ILOSW L1_GETS [0 ] 0
+ILOSW L1_GETX [0 ] 0
+ILOSW L1_PUTO [0 ] 0
+ILOSW L1_PUTX [0 ] 0
+ILOSW L1_PUTS_only [0 ] 0
+ILOSW L1_PUTS [0 ] 0
+ILOSW Fwd_GETX [0 ] 0
+ILOSW Fwd_GETS [0 ] 0
+ILOSW Fwd_DMA [0 ] 0
+ILOSW Inv [0 ] 0
+ILOSW L1_WBCLEANDATA [0 ] 0
+ILOSW L1_WBDIRTYDATA [0 ] 0
+ILOSW Unblock [0 ] 0
+ILOSW L2_Replacement [0 ] 0
+
+ILOSXW L1_GETS [0 ] 0
+ILOSXW L1_GETX [0 ] 0
+ILOSXW L1_PUTO [0 ] 0
+ILOSXW L1_PUTX [0 ] 0
+ILOSXW L1_PUTS_only [0 ] 0
+ILOSXW L1_PUTS [0 ] 0
+ILOSXW Fwd_GETX [0 ] 0
+ILOSXW Fwd_GETS [0 ] 0
+ILOSXW Fwd_DMA [0 ] 0
+ILOSXW Inv [0 ] 0
+ILOSXW L1_WBCLEANDATA [0 ] 0
+ILOSXW L1_WBDIRTYDATA [0 ] 0
+ILOSXW Unblock [0 ] 0
+ILOSXW L2_Replacement [0 ] 0
+
+SLSW L1_GETS [0 ] 0
+SLSW L1_GETX [0 ] 0
+SLSW L1_PUTO [0 ] 0
+SLSW L1_PUTX [0 ] 0
+SLSW L1_PUTS_only [0 ] 0
+SLSW L1_PUTS [0 ] 0
+SLSW Fwd_GETX [0 ] 0
+SLSW Fwd_GETS [0 ] 0
+SLSW Fwd_DMA [0 ] 0
+SLSW Inv [0 ] 0
+SLSW Unblock [0 ] 0
+SLSW L2_Replacement [0 ] 0
+
+OLSW L1_GETS [0 ] 0
+OLSW L1_GETX [0 ] 0
+OLSW L1_PUTO [0 ] 0
+OLSW L1_PUTX [0 ] 0
+OLSW L1_PUTS_only [0 ] 0
+OLSW L1_PUTS [0 ] 0
+OLSW Fwd_GETX [0 ] 0
+OLSW Fwd_GETS [0 ] 0
+OLSW Fwd_DMA [0 ] 0
+OLSW Inv [0 ] 0
+OLSW Unblock [0 ] 0
+OLSW L2_Replacement [0 ] 0
+
+ILSW L1_GETS [0 ] 0
+ILSW L1_GETX [0 ] 0
+ILSW L1_PUTO [0 ] 0
+ILSW L1_PUTX [0 ] 0
+ILSW L1_PUTS_only [0 ] 0
+ILSW L1_PUTS [0 ] 0
+ILSW Fwd_GETX [0 ] 0
+ILSW Fwd_GETS [0 ] 0
+ILSW Fwd_DMA [0 ] 0
+ILSW Inv [0 ] 0
+ILSW L1_WBCLEANDATA [0 ] 0
+ILSW Unblock [0 ] 0
+ILSW L2_Replacement [0 ] 0
+
+IW L1_GETS [0 ] 0
+IW L1_GETX [0 ] 0
+IW L1_PUTO [0 ] 0
+IW L1_PUTX [0 ] 0
+IW L1_PUTS_only [0 ] 0
+IW L1_PUTS [0 ] 0
+IW Fwd_GETX [0 ] 0
+IW Fwd_GETS [0 ] 0
+IW Fwd_DMA [0 ] 0
+IW Inv [0 ] 0
+IW L1_WBCLEANDATA [0 ] 0
+IW L2_Replacement [0 ] 0
+
+OW L1_GETS [0 ] 0
+OW L1_GETX [0 ] 0
+OW L1_PUTO [0 ] 0
+OW L1_PUTX [0 ] 0
+OW L1_PUTS_only [0 ] 0
+OW L1_PUTS [0 ] 0
+OW Fwd_GETX [0 ] 0
+OW Fwd_GETS [0 ] 0
+OW Fwd_DMA [0 ] 0
+OW Inv [0 ] 0
+OW Unblock [0 ] 0
+OW L2_Replacement [0 ] 0
+
+SW L1_GETS [0 ] 0
+SW L1_GETX [0 ] 0
+SW L1_PUTO [0 ] 0
+SW L1_PUTX [0 ] 0
+SW L1_PUTS_only [0 ] 0
+SW L1_PUTS [0 ] 0
+SW Fwd_GETX [0 ] 0
+SW Fwd_GETS [0 ] 0
+SW Fwd_DMA [0 ] 0
+SW Inv [0 ] 0
+SW Unblock [0 ] 0
+SW L2_Replacement [0 ] 0
+
+OXW L1_GETS [0 ] 0
+OXW L1_GETX [0 ] 0
+OXW L1_PUTO [0 ] 0
+OXW L1_PUTX [0 ] 0
+OXW L1_PUTS_only [0 ] 0
+OXW L1_PUTS [0 ] 0
+OXW Fwd_GETX [0 ] 0
+OXW Fwd_GETS [0 ] 0
+OXW Fwd_DMA [0 ] 0
+OXW Inv [0 ] 0
+OXW Unblock [0 ] 0
+OXW L2_Replacement [0 ] 0
+
+OLSXW L1_GETS [0 ] 0
+OLSXW L1_GETX [0 ] 0
+OLSXW L1_PUTO [0 ] 0
+OLSXW L1_PUTX [0 ] 0
+OLSXW L1_PUTS_only [0 ] 0
+OLSXW L1_PUTS [0 ] 0
+OLSXW Fwd_GETX [0 ] 0
+OLSXW Fwd_GETS [0 ] 0
+OLSXW Fwd_DMA [0 ] 0
+OLSXW Inv [0 ] 0
+OLSXW Unblock [0 ] 0
+OLSXW L2_Replacement [0 ] 0
+
+ILXW L1_GETS [0 ] 0
+ILXW L1_GETX [0 ] 0
+ILXW L1_PUTO [0 ] 0
+ILXW L1_PUTX [0 ] 0
+ILXW L1_PUTS_only [0 ] 0
+ILXW L1_PUTS [0 ] 0
+ILXW Fwd_GETX [0 ] 0
+ILXW Fwd_GETS [0 ] 0
+ILXW Fwd_DMA [0 ] 0
+ILXW Inv [0 ] 0
+ILXW Data [0 ] 0
+ILXW L1_WBCLEANDATA [1058 ] 1058
+ILXW L1_WBDIRTYDATA [296 ] 296
+ILXW Unblock [0 ] 0
+ILXW L2_Replacement [0 ] 0
+
+IFLS L1_GETS [0 ] 0
+IFLS L1_GETX [0 ] 0
+IFLS L1_PUTO [0 ] 0
+IFLS L1_PUTX [0 ] 0
+IFLS L1_PUTS_only [0 ] 0
+IFLS L1_PUTS [0 ] 0
+IFLS Fwd_GETX [0 ] 0
+IFLS Fwd_GETS [0 ] 0
+IFLS Fwd_DMA [0 ] 0
+IFLS Inv [0 ] 0
+IFLS Unblock [0 ] 0
+IFLS L2_Replacement [0 ] 0
+
+IFLO L1_GETS [0 ] 0
+IFLO L1_GETX [0 ] 0
+IFLO L1_PUTO [0 ] 0
+IFLO L1_PUTX [0 ] 0
+IFLO L1_PUTS_only [0 ] 0
+IFLO L1_PUTS [0 ] 0
+IFLO Fwd_GETX [0 ] 0
+IFLO Fwd_GETS [0 ] 0
+IFLO Fwd_DMA [0 ] 0
+IFLO Inv [0 ] 0
+IFLO Unblock [0 ] 0
+IFLO L2_Replacement [0 ] 0
+
+IFLOX L1_GETS [0 ] 0
+IFLOX L1_GETX [0 ] 0
+IFLOX L1_PUTO [0 ] 0
+IFLOX L1_PUTX [0 ] 0
+IFLOX L1_PUTS_only [0 ] 0
+IFLOX L1_PUTS [0 ] 0
+IFLOX Fwd_GETX [0 ] 0
+IFLOX Fwd_GETS [0 ] 0
+IFLOX Fwd_DMA [0 ] 0
+IFLOX Inv [0 ] 0
+IFLOX Unblock [0 ] 0
+IFLOX Exclusive_Unblock [0 ] 0
+IFLOX L2_Replacement [0 ] 0
+
+IFLOXX L1_GETS [0 ] 0
+IFLOXX L1_GETX [0 ] 0
+IFLOXX L1_PUTO [0 ] 0
+IFLOXX L1_PUTX [0 ] 0
+IFLOXX L1_PUTS_only [0 ] 0
+IFLOXX L1_PUTS [0 ] 0
+IFLOXX Fwd_GETX [0 ] 0
+IFLOXX Fwd_GETS [0 ] 0
+IFLOXX Fwd_DMA [0 ] 0
+IFLOXX Inv [0 ] 0
+IFLOXX Unblock [0 ] 0
+IFLOXX Exclusive_Unblock [0 ] 0
+IFLOXX L2_Replacement [0 ] 0
+
+IFLOSX L1_GETS [0 ] 0
+IFLOSX L1_GETX [0 ] 0
+IFLOSX L1_PUTO [0 ] 0
+IFLOSX L1_PUTX [0 ] 0
+IFLOSX L1_PUTS_only [0 ] 0
+IFLOSX L1_PUTS [0 ] 0
+IFLOSX Fwd_GETX [0 ] 0
+IFLOSX Fwd_GETS [0 ] 0
+IFLOSX Fwd_DMA [0 ] 0
+IFLOSX Inv [0 ] 0
+IFLOSX Unblock [0 ] 0
+IFLOSX Exclusive_Unblock [0 ] 0
+IFLOSX L2_Replacement [0 ] 0
+
+IFLXO L1_GETS [0 ] 0
+IFLXO L1_GETX [0 ] 0
+IFLXO L1_PUTO [0 ] 0
+IFLXO L1_PUTX [0 ] 0
+IFLXO L1_PUTS_only [0 ] 0
+IFLXO L1_PUTS [0 ] 0
+IFLXO Fwd_GETX [0 ] 0
+IFLXO Fwd_GETS [0 ] 0
+IFLXO Fwd_DMA [0 ] 0
+IFLXO Inv [0 ] 0
+IFLXO Exclusive_Unblock [0 ] 0
+IFLXO L2_Replacement [0 ] 0
+
+IGS L1_GETS [0 ] 0
+IGS L1_GETX [0 ] 0
+IGS L1_PUTO [0 ] 0
+IGS L1_PUTX [0 ] 0
+IGS L1_PUTS_only [0 ] 0
+IGS L1_PUTS [0 ] 0
+IGS Fwd_GETX [0 ] 0
+IGS Fwd_GETS [0 ] 0
+IGS Fwd_DMA [0 ] 0
+IGS Own_GETX [0 ] 0
+IGS Inv [0 ] 0
+IGS Data [0 ] 0
+IGS Data_Exclusive [979 ] 979
+IGS Unblock [0 ] 0
+IGS Exclusive_Unblock [979 ] 979
+IGS L2_Replacement [0 ] 0
+
+IGM L1_GETS [0 ] 0
+IGM L1_GETX [0 ] 0
+IGM L1_PUTO [0 ] 0
+IGM L1_PUTX [0 ] 0
+IGM L1_PUTS_only [0 ] 0
+IGM L1_PUTS [0 ] 0
+IGM Fwd_GETX [0 ] 0
+IGM Fwd_GETS [0 ] 0
+IGM Fwd_DMA [0 ] 0
+IGM Own_GETX [0 ] 0
+IGM Inv [0 ] 0
+IGM ExtAck [0 ] 0
+IGM Data [130 ] 130
+IGM Data_Exclusive [0 ] 0
+IGM L2_Replacement [0 ] 0
+
+IGMLS L1_GETS [0 ] 0
+IGMLS L1_GETX [0 ] 0
+IGMLS L1_PUTO [0 ] 0
+IGMLS L1_PUTX [0 ] 0
+IGMLS L1_PUTS_only [0 ] 0
+IGMLS L1_PUTS [0 ] 0
+IGMLS Inv [0 ] 0
+IGMLS IntAck [0 ] 0
+IGMLS ExtAck [0 ] 0
+IGMLS All_Acks [0 ] 0
+IGMLS Data [0 ] 0
+IGMLS Data_Exclusive [0 ] 0
+IGMLS L2_Replacement [0 ] 0
+
+IGMO L1_GETS [0 ] 0
+IGMO L1_GETX [0 ] 0
+IGMO L1_PUTO [0 ] 0
+IGMO L1_PUTX [0 ] 0
+IGMO L1_PUTS_only [0 ] 0
+IGMO L1_PUTS [0 ] 0
+IGMO Fwd_GETX [0 ] 0
+IGMO Fwd_GETS [0 ] 0
+IGMO Fwd_DMA [0 ] 0
+IGMO Own_GETX [0 ] 0
+IGMO ExtAck [0 ] 0
+IGMO All_Acks [130 ] 130
+IGMO Exclusive_Unblock [130 ] 130
+IGMO L2_Replacement [0 ] 0
+
+IGMIO L1_GETS [0 ] 0
+IGMIO L1_GETX [0 ] 0
+IGMIO L1_PUTO [0 ] 0
+IGMIO L1_PUTX [0 ] 0
+IGMIO L1_PUTS_only [0 ] 0
+IGMIO L1_PUTS [0 ] 0
+IGMIO Fwd_GETX [0 ] 0
+IGMIO Fwd_GETS [0 ] 0
+IGMIO Fwd_DMA [0 ] 0
+IGMIO Own_GETX [0 ] 0
+IGMIO ExtAck [0 ] 0
+IGMIO All_Acks [0 ] 0
+
+OGMIO L1_GETS [0 ] 0
+OGMIO L1_GETX [0 ] 0
+OGMIO L1_PUTO [0 ] 0
+OGMIO L1_PUTX [0 ] 0
+OGMIO L1_PUTS_only [0 ] 0
+OGMIO L1_PUTS [0 ] 0
+OGMIO Fwd_GETX [0 ] 0
+OGMIO Fwd_GETS [0 ] 0
+OGMIO Fwd_DMA [0 ] 0
+OGMIO Own_GETX [0 ] 0
+OGMIO ExtAck [0 ] 0
+OGMIO All_Acks [0 ] 0
+
+IGMIOF L1_GETS [0 ] 0
+IGMIOF L1_GETX [0 ] 0
+IGMIOF L1_PUTO [0 ] 0
+IGMIOF L1_PUTX [0 ] 0
+IGMIOF L1_PUTS_only [0 ] 0
+IGMIOF L1_PUTS [0 ] 0
+IGMIOF IntAck [0 ] 0
+IGMIOF All_Acks [0 ] 0
+IGMIOF Data_Exclusive [0 ] 0
+
+IGMIOFS L1_GETS [0 ] 0
+IGMIOFS L1_GETX [0 ] 0
+IGMIOFS L1_PUTO [0 ] 0
+IGMIOFS L1_PUTX [0 ] 0
+IGMIOFS L1_PUTS_only [0 ] 0
+IGMIOFS L1_PUTS [0 ] 0
+IGMIOFS Fwd_GETX [0 ] 0
+IGMIOFS Fwd_GETS [0 ] 0
+IGMIOFS Fwd_DMA [0 ] 0
+IGMIOFS Inv [0 ] 0
+IGMIOFS Data [0 ] 0
+IGMIOFS L2_Replacement [0 ] 0
+
+OGMIOF L1_GETS [0 ] 0
+OGMIOF L1_GETX [0 ] 0
+OGMIOF L1_PUTO [0 ] 0
+OGMIOF L1_PUTX [0 ] 0
+OGMIOF L1_PUTS_only [0 ] 0
+OGMIOF L1_PUTS [0 ] 0
+OGMIOF IntAck [0 ] 0
+OGMIOF All_Acks [0 ] 0
+
+II L1_GETS [0 ] 0
+II L1_GETX [0 ] 0
+II L1_PUTO [0 ] 0
+II L1_PUTX [0 ] 0
+II L1_PUTS_only [0 ] 0
+II L1_PUTS [0 ] 0
+II IntAck [0 ] 0
+II All_Acks [0 ] 0
+
+MM L1_GETS [0 ] 0
+MM L1_GETX [0 ] 0
+MM L1_PUTO [0 ] 0
+MM L1_PUTX [0 ] 0
+MM L1_PUTS_only [0 ] 0
+MM L1_PUTS [0 ] 0
+MM Fwd_GETX [0 ] 0
+MM Fwd_GETS [0 ] 0
+MM Fwd_DMA [0 ] 0
+MM Inv [0 ] 0
+MM Exclusive_Unblock [61 ] 61
+MM L2_Replacement [0 ] 0
+
+SS L1_GETS [0 ] 0
+SS L1_GETX [0 ] 0
+SS L1_PUTO [0 ] 0
+SS L1_PUTX [0 ] 0
+SS L1_PUTS_only [0 ] 0
+SS L1_PUTS [0 ] 0
+SS Fwd_GETX [0 ] 0
+SS Fwd_GETS [0 ] 0
+SS Fwd_DMA [0 ] 0
+SS Inv [0 ] 0
+SS Unblock [0 ] 0
+SS L2_Replacement [0 ] 0
+
+OO L1_GETS [0 ] 0
+OO L1_GETX [0 ] 0
+OO L1_PUTO [0 ] 0
+OO L1_PUTX [0 ] 0
+OO L1_PUTS_only [0 ] 0
+OO L1_PUTS [0 ] 0
+OO Fwd_GETX [0 ] 0
+OO Fwd_GETS [0 ] 0
+OO Fwd_DMA [0 ] 0
+OO Inv [0 ] 0
+OO Unblock [0 ] 0
+OO Exclusive_Unblock [192 ] 192
+OO L2_Replacement [0 ] 0
+
+OLSS L1_GETS [0 ] 0
+OLSS L1_GETX [0 ] 0
+OLSS L1_PUTO [0 ] 0
+OLSS L1_PUTX [0 ] 0
+OLSS L1_PUTS_only [0 ] 0
+OLSS L1_PUTS [0 ] 0
+OLSS Fwd_GETX [0 ] 0
+OLSS Fwd_GETS [0 ] 0
+OLSS Fwd_DMA [0 ] 0
+OLSS Inv [0 ] 0
+OLSS Unblock [0 ] 0
+OLSS L2_Replacement [0 ] 0
+
+OLSXS L1_GETS [0 ] 0
+OLSXS L1_GETX [0 ] 0
+OLSXS L1_PUTO [0 ] 0
+OLSXS L1_PUTX [0 ] 0
+OLSXS L1_PUTS_only [0 ] 0
+OLSXS L1_PUTS [0 ] 0
+OLSXS Fwd_GETX [0 ] 0
+OLSXS Fwd_GETS [0 ] 0
+OLSXS Fwd_DMA [0 ] 0
+OLSXS Inv [0 ] 0
+OLSXS Unblock [0 ] 0
+OLSXS L2_Replacement [0 ] 0
+
+SLSS L1_GETS [0 ] 0
+SLSS L1_GETX [0 ] 0
+SLSS L1_PUTO [0 ] 0
+SLSS L1_PUTX [0 ] 0
+SLSS L1_PUTS_only [0 ] 0
+SLSS L1_PUTS [0 ] 0
+SLSS Fwd_GETX [0 ] 0
+SLSS Fwd_GETS [0 ] 0
+SLSS Fwd_DMA [0 ] 0
+SLSS Inv [0 ] 0
+SLSS Unblock [0 ] 0
+SLSS L2_Replacement [0 ] 0
+
+OI L1_GETS [0 ] 0
+OI L1_GETX [0 ] 0
+OI L1_PUTO [0 ] 0
+OI L1_PUTX [0 ] 0
+OI L1_PUTS_only [0 ] 0
+OI L1_PUTS [0 ] 0
+OI Fwd_GETX [0 ] 0
+OI Fwd_GETS [0 ] 0
+OI Fwd_DMA [0 ] 0
+OI Writeback_Ack [0 ] 0
+OI Writeback_Nack [0 ] 0
+OI L2_Replacement [0 ] 0
+
+MI L1_GETS [0 ] 0
+MI L1_GETX [0 ] 0
+MI L1_PUTO [0 ] 0
+MI L1_PUTX [0 ] 0
+MI L1_PUTS_only [0 ] 0
+MI L1_PUTS [0 ] 0
+MI Fwd_GETX [0 ] 0
+MI Fwd_GETS [0 ] 0
+MI Fwd_DMA [0 ] 0
+MI Writeback_Ack [1093 ] 1093
+MI L2_Replacement [0 ] 0
+
+MII L1_GETS [0 ] 0
+MII L1_GETX [0 ] 0
+MII L1_PUTO [0 ] 0
+MII L1_PUTX [0 ] 0
+MII L1_PUTS_only [0 ] 0
+MII L1_PUTS [0 ] 0
+MII Writeback_Ack [0 ] 0
+MII Writeback_Nack [0 ] 0
+MII L2_Replacement [0 ] 0
+
+OLSI L1_GETS [0 ] 0
+OLSI L1_GETX [0 ] 0
+OLSI L1_PUTO [0 ] 0
+OLSI L1_PUTX [0 ] 0
+OLSI L1_PUTS_only [0 ] 0
+OLSI L1_PUTS [0 ] 0
+OLSI Fwd_GETX [0 ] 0
+OLSI Fwd_GETS [0 ] 0
+OLSI Fwd_DMA [0 ] 0
+OLSI Writeback_Ack [0 ] 0
+OLSI L2_Replacement [0 ] 0
+
+ILSI L1_GETS [0 ] 0
+ILSI L1_GETX [0 ] 0
+ILSI L1_PUTO [0 ] 0
+ILSI L1_PUTX [0 ] 0
+ILSI L1_PUTS_only [0 ] 0
+ILSI L1_PUTS [0 ] 0
+ILSI IntAck [0 ] 0
+ILSI All_Acks [0 ] 0
+ILSI Writeback_Ack [0 ] 0
+ILSI L2_Replacement [0 ] 0
+
+ILOSD L1_GETS [0 ] 0
+ILOSD L1_GETX [0 ] 0
+ILOSD L1_PUTO [0 ] 0
+ILOSD L1_PUTX [0 ] 0
+ILOSD L1_PUTS_only [0 ] 0
+ILOSD L1_PUTS [0 ] 0
+ILOSD Fwd_GETX [0 ] 0
+ILOSD Fwd_GETS [0 ] 0
+ILOSD Fwd_DMA [0 ] 0
+ILOSD Own_GETX [0 ] 0
+ILOSD Inv [0 ] 0
+ILOSD DmaAck [0 ] 0
+ILOSD L2_Replacement [0 ] 0
+
+ILOSXD L1_GETS [0 ] 0
+ILOSXD L1_GETX [0 ] 0
+ILOSXD L1_PUTO [0 ] 0
+ILOSXD L1_PUTX [0 ] 0
+ILOSXD L1_PUTS_only [0 ] 0
+ILOSXD L1_PUTS [0 ] 0
+ILOSXD Fwd_GETX [0 ] 0
+ILOSXD Fwd_GETS [0 ] 0
+ILOSXD Fwd_DMA [0 ] 0
+ILOSXD Own_GETX [0 ] 0
+ILOSXD Inv [0 ] 0
+ILOSXD DmaAck [0 ] 0
+ILOSXD L2_Replacement [0 ] 0
+
+ILOD L1_GETS [0 ] 0
+ILOD L1_GETX [0 ] 0
+ILOD L1_PUTO [0 ] 0
+ILOD L1_PUTX [0 ] 0
+ILOD L1_PUTS_only [0 ] 0
+ILOD L1_PUTS [0 ] 0
+ILOD Fwd_GETX [0 ] 0
+ILOD Fwd_GETS [0 ] 0
+ILOD Fwd_DMA [0 ] 0
+ILOD Own_GETX [0 ] 0
+ILOD Inv [0 ] 0
+ILOD DmaAck [0 ] 0
+ILOD L2_Replacement [0 ] 0
+
+ILXD L1_GETS [0 ] 0
+ILXD L1_GETX [0 ] 0
+ILXD L1_PUTO [0 ] 0
+ILXD L1_PUTX [0 ] 0
+ILXD L1_PUTS_only [0 ] 0
+ILXD L1_PUTS [0 ] 0
+ILXD Fwd_GETX [0 ] 0
+ILXD Fwd_GETS [0 ] 0
+ILXD Fwd_DMA [0 ] 0
+ILXD Own_GETX [0 ] 0
+ILXD Inv [0 ] 0
+ILXD DmaAck [0 ] 0
+ILXD L2_Replacement [0 ] 0
+
+ILOXD L1_GETS [0 ] 0
+ILOXD L1_GETX [0 ] 0
+ILOXD L1_PUTO [0 ] 0
+ILOXD L1_PUTX [0 ] 0
+ILOXD L1_PUTS_only [0 ] 0
+ILOXD L1_PUTS [0 ] 0
+ILOXD Fwd_GETX [0 ] 0
+ILOXD Fwd_GETS [0 ] 0
+ILOXD Fwd_DMA [0 ] 0
+ILOXD Own_GETX [0 ] 0
+ILOXD Inv [0 ] 0
+ILOXD DmaAck [0 ] 0
+ILOXD L2_Replacement [0 ] 0
+
+Memory controller: system.dir_cntrl0.memBuffer:
+ memory_total_requests: 1303
+ memory_reads: 1109
+ memory_writes: 194
+ memory_refreshes: 466
+ memory_total_request_delays: 279
+ memory_delays_per_request: 0.214121
+ memory_delays_in_input_queue: 12
+ memory_delays_behind_head_of_bank_queue: 0
+ memory_delays_stalled_at_head_of_bank_queue: 267
+ memory_stalls_for_bank_busy: 123
+ memory_stalls_for_random_busy: 0
+ memory_stalls_for_anti_starvation: 0
+ memory_stalls_for_arbitration: 16
+ memory_stalls_for_bus: 58
+ memory_stalls_for_tfaw: 0
+ memory_stalls_for_read_write_turnaround: 70
+ memory_stalls_for_read_read_turnaround: 0
+ accesses_per_bank: 74 17 45 40 54 99 29 16 19 22 31 34 52 48 38 30 39 21 21 27 28 37 55 22 31 21 32 69 84 103 13 52
+
+ --- Directory ---
+ - Event Counts -
+GETX [130 ] 130
+GETS [979 ] 979
+PUTX [1093 ] 1093
+PUTO [0 ] 0
+PUTO_SHARERS [0 ] 0
+Unblock [0 ] 0
+Last_Unblock [0 ] 0
+Exclusive_Unblock [1109 ] 1109
+Clean_Writeback [899 ] 899
+Dirty_Writeback [194 ] 194
+Memory_Data [1109 ] 1109
+Memory_Ack [194 ] 194
+DMA_READ [0 ] 0
+DMA_WRITE [0 ] 0
+DMA_ACK [0 ] 0
+Data [0 ] 0
+
+ - Transitions -
+I GETX [130 ] 130
+I GETS [979 ] 979
+I PUTX [0 ] 0
+I PUTO [0 ] 0
+I Memory_Data [0 ] 0
+I Memory_Ack [190 ] 190
+I DMA_READ [0 ] 0
+I DMA_WRITE [0 ] 0
+
+S GETX [0 ] 0
+S GETS [0 ] 0
+S PUTX [0 ] 0
+S PUTO [0 ] 0
+S Memory_Data [0 ] 0
+S Memory_Ack [0 ] 0
+S DMA_READ [0 ] 0
+S DMA_WRITE [0 ] 0
+
+O GETX [0 ] 0
+O GETS [0 ] 0
+O PUTX [0 ] 0
+O PUTO [0 ] 0
+O PUTO_SHARERS [0 ] 0
+O Memory_Data [0 ] 0
+O Memory_Ack [0 ] 0
+O DMA_READ [0 ] 0
+O DMA_WRITE [0 ] 0
+
+M GETX [0 ] 0
+M GETS [0 ] 0
+M PUTX [1093 ] 1093
+M PUTO [0 ] 0
+M PUTO_SHARERS [0 ] 0
+M Memory_Data [0 ] 0
+M Memory_Ack [0 ] 0
+M DMA_READ [0 ] 0
+M DMA_WRITE [0 ] 0
+
+IS GETX [0 ] 0
+IS GETS [0 ] 0
+IS PUTX [0 ] 0
+IS PUTO [0 ] 0
+IS PUTO_SHARERS [0 ] 0
+IS Unblock [0 ] 0
+IS Exclusive_Unblock [979 ] 979
+IS Memory_Data [979 ] 979
+IS Memory_Ack [3 ] 3
+IS DMA_READ [0 ] 0
+IS DMA_WRITE [0 ] 0
+
+SS GETX [0 ] 0
+SS GETS [0 ] 0
+SS PUTX [0 ] 0
+SS PUTO [0 ] 0
+SS PUTO_SHARERS [0 ] 0
+SS Unblock [0 ] 0
+SS Last_Unblock [0 ] 0
+SS Memory_Data [0 ] 0
+SS Memory_Ack [0 ] 0
+SS DMA_READ [0 ] 0
+SS DMA_WRITE [0 ] 0
+
+OO GETX [0 ] 0
+OO GETS [0 ] 0
+OO PUTX [0 ] 0
+OO PUTO [0 ] 0
+OO PUTO_SHARERS [0 ] 0
+OO Unblock [0 ] 0
+OO Last_Unblock [0 ] 0
+OO Memory_Data [0 ] 0
+OO Memory_Ack [0 ] 0
+OO DMA_READ [0 ] 0
+OO DMA_WRITE [0 ] 0
+
+MO GETX [0 ] 0
+MO GETS [0 ] 0
+MO PUTX [0 ] 0
+MO PUTO [0 ] 0
+MO PUTO_SHARERS [0 ] 0
+MO Unblock [0 ] 0
+MO Exclusive_Unblock [0 ] 0
+MO Memory_Data [0 ] 0
+MO Memory_Ack [0 ] 0
+MO DMA_READ [0 ] 0
+MO DMA_WRITE [0 ] 0
+
+MM GETX [0 ] 0
+MM GETS [0 ] 0
+MM PUTX [0 ] 0
+MM PUTO [0 ] 0
+MM PUTO_SHARERS [0 ] 0
+MM Exclusive_Unblock [130 ] 130
+MM Memory_Data [130 ] 130
+MM Memory_Ack [1 ] 1
+MM DMA_READ [0 ] 0
+MM DMA_WRITE [0 ] 0
+
+
+MI GETX [0 ] 0
+MI GETS [0 ] 0
+MI PUTX [0 ] 0
+MI PUTO [0 ] 0
+MI PUTO_SHARERS [0 ] 0
+MI Unblock [0 ] 0
+MI Clean_Writeback [899 ] 899
+MI Dirty_Writeback [194 ] 194
+MI Memory_Data [0 ] 0
+MI Memory_Ack [0 ] 0
+MI DMA_READ [0 ] 0
+MI DMA_WRITE [0 ] 0
+
+MIS GETX [0 ] 0
+MIS GETS [0 ] 0
+MIS PUTX [0 ] 0
+MIS PUTO [0 ] 0
+MIS PUTO_SHARERS [0 ] 0
+MIS Unblock [0 ] 0
+MIS Clean_Writeback [0 ] 0
+MIS Dirty_Writeback [0 ] 0
+MIS Memory_Data [0 ] 0
+MIS Memory_Ack [0 ] 0
+MIS DMA_READ [0 ] 0
+MIS DMA_WRITE [0 ] 0
+
+OS GETX [0 ] 0
+OS GETS [0 ] 0
+OS PUTX [0 ] 0
+OS PUTO [0 ] 0
+OS PUTO_SHARERS [0 ] 0
+OS Unblock [0 ] 0
+OS Clean_Writeback [0 ] 0
+OS Dirty_Writeback [0 ] 0
+OS Memory_Data [0 ] 0
+OS Memory_Ack [0 ] 0
+OS DMA_READ [0 ] 0
+OS DMA_WRITE [0 ] 0
+
+OSS GETX [0 ] 0
+OSS GETS [0 ] 0
+OSS PUTX [0 ] 0
+OSS PUTO [0 ] 0
+OSS PUTO_SHARERS [0 ] 0
+OSS Unblock [0 ] 0
+OSS Clean_Writeback [0 ] 0
+OSS Dirty_Writeback [0 ] 0
+OSS Memory_Data [0 ] 0
+OSS Memory_Ack [0 ] 0
+OSS DMA_READ [0 ] 0
+OSS DMA_WRITE [0 ] 0
+
+XI_M GETX [0 ] 0
+XI_M GETS [0 ] 0
+XI_M PUTX [0 ] 0
+XI_M PUTO [0 ] 0
+XI_M PUTO_SHARERS [0 ] 0
+XI_M Memory_Data [0 ] 0
+XI_M Memory_Ack [0 ] 0
+XI_M DMA_READ [0 ] 0
+XI_M DMA_WRITE [0 ] 0
+
+XI_U GETX [0 ] 0
+XI_U GETS [0 ] 0
+XI_U PUTX [0 ] 0
+XI_U PUTO [0 ] 0
+XI_U PUTO_SHARERS [0 ] 0
+XI_U Exclusive_Unblock [0 ] 0
+XI_U Memory_Ack [0 ] 0
+XI_U DMA_READ [0 ] 0
+XI_U DMA_WRITE [0 ] 0
+
+OI_D GETX [0 ] 0
+OI_D GETS [0 ] 0
+OI_D PUTX [0 ] 0
+OI_D PUTO [0 ] 0
+OI_D PUTO_SHARERS [0 ] 0
+OI_D DMA_READ [0 ] 0
+OI_D DMA_WRITE [0 ] 0
+OI_D Data [0 ] 0
+
+OD GETX [0 ] 0
+OD GETS [0 ] 0
+OD PUTX [0 ] 0
+OD PUTO [0 ] 0
+OD PUTO_SHARERS [0 ] 0
+OD DMA_READ [0 ] 0
+OD DMA_WRITE [0 ] 0
+OD DMA_ACK [0 ] 0
+
+MD GETX [0 ] 0
+MD GETS [0 ] 0
+MD PUTX [0 ] 0
+MD PUTO [0 ] 0
+MD PUTO_SHARERS [0 ] 0
+MD DMA_READ [0 ] 0
+MD DMA_WRITE [0 ] 0
+MD DMA_ACK [0 ] 0
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/simerr b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/simout b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/simout
new file mode 100755
index 000000000..ed47704f6
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:47:36
+gem5 started Jan 23 2012 04:22:12
+gem5 executing on zizzer
+command line: build/ALPHA_SE_MOESI_CMP_directory/gem5.opt -d build/ALPHA_SE_MOESI_CMP_directory/tests/opt/quick/00.hello/alpha/linux/simple-timing-ruby-MOESI_CMP_directory -re tests/run.py build/ALPHA_SE_MOESI_CMP_directory/tests/opt/quick/00.hello/alpha/linux/simple-timing-ruby-MOESI_CMP_directory
+Global frequency set at 1000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 223694 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/stats.txt
new file mode 100644
index 000000000..44a6426b2
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_directory/stats.txt
@@ -0,0 +1,77 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000224 # Number of seconds simulated
+sim_ticks 223694 # Number of ticks simulated
+final_tick 223694 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000 # Frequency of simulated ticks
+host_inst_rate 19611 # Simulator instruction rate (inst/s)
+host_tick_rate 684980 # Simulator tick rate (ticks/s)
+host_mem_usage 219636 # Number of bytes of host memory used
+host_seconds 0.33 # Real time elapsed on the host
+sim_insts 6404 # Number of instructions simulated
+system.physmem.bytes_read 34460 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 25656 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 6696 # Number of bytes written to this memory
+system.physmem.num_reads 7599 # Number of read requests responded to by this memory
+system.physmem.num_writes 865 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 154049729 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 114692392 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 29933749 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 183983477 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 1185 # DTB read hits
+system.cpu.dtb.read_misses 7 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 1192 # DTB read accesses
+system.cpu.dtb.write_hits 865 # DTB write hits
+system.cpu.dtb.write_misses 3 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 868 # DTB write accesses
+system.cpu.dtb.data_hits 2050 # DTB hits
+system.cpu.dtb.data_misses 10 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 2060 # DTB accesses
+system.cpu.itb.fetch_hits 6415 # ITB hits
+system.cpu.itb.fetch_misses 17 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 6432 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 17 # Number of system calls
+system.cpu.numCycles 223694 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 6404 # Number of instructions executed
+system.cpu.num_int_alu_accesses 6331 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 10 # Number of float alu accesses
+system.cpu.num_func_calls 251 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 750 # number of instructions that are conditional controls
+system.cpu.num_int_insts 6331 # number of integer instructions
+system.cpu.num_fp_insts 10 # number of float instructions
+system.cpu.num_int_register_reads 8304 # number of times the integer registers were read
+system.cpu.num_int_register_writes 4581 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 8 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 2 # number of times the floating registers were written
+system.cpu.num_mem_refs 2060 # number of memory refs
+system.cpu.num_load_insts 1192 # Number of load instructions
+system.cpu.num_store_insts 868 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 223694 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/config.ini
new file mode 100644
index 000000000..e664ed4cf
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/config.ini
@@ -0,0 +1,334 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000
+time_sync_spin_threshold=100000
+
+[system]
+type=System
+children=cpu dir_cntrl0 l1_cntrl0 l2_cntrl0 physmem ruby sys_port_proxy
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=1
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.l1_cntrl0.sequencer.port[1]
+icache_port=system.l1_cntrl0.sequencer.port[0]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer
+buffer_size=0
+cntrl_id=2
+directory=system.dir_cntrl0.directory
+directory_latency=5
+distributed_persistent=true
+fixed_timeout_latency=100
+l2_select_num_bits=0
+memBuffer=system.dir_cntrl0.memBuffer
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=L1DcacheMemory L1IcacheMemory sequencer
+L1DcacheMemory=system.l1_cntrl0.L1DcacheMemory
+L1IcacheMemory=system.l1_cntrl0.L1IcacheMemory
+N_tokens=2
+buffer_size=0
+cntrl_id=0
+dynamic_timeout_enabled=true
+fixed_timeout_latency=300
+l1_request_latency=2
+l1_response_latency=2
+l2_select_num_bits=0
+no_mig_atomic=true
+number_of_TBEs=256
+recycle_latency=10
+retry_threshold=1
+ruby_system=system.ruby
+sequencer=system.l1_cntrl0.sequencer
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.L1DcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=2
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.L1IcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=2
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.L1DcacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.L1IcacheMemory
+max_outstanding_requests=16
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[0]
+port=system.cpu.icache_port system.cpu.dcache_port
+
+[system.l2_cntrl0]
+type=L2Cache_Controller
+children=L2cacheMemory
+L2cacheMemory=system.l2_cntrl0.L2cacheMemory
+N_tokens=2
+buffer_size=0
+cntrl_id=1
+filtering_enabled=true
+l2_request_latency=5
+l2_response_latency=5
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.l2_cntrl0.L2cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=10
+replacement_policy=PSEUDO_LRU
+size=512
+start_index_bit=6
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.l1_cntrl0.sequencer.physMemPort system.sys_port_proxy.physMemPort
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=1
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 ext_links2 int_links0 int_links1 int_links2 routers0 routers1 routers2 routers3
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1 system.ruby.network.topology.ext_links2
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1 system.ruby.network.topology.int_links2
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2 system.ruby.network.topology.routers3
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l2_cntrl0
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.ext_links2]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers2
+latency=1
+link_id=2
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=3
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=4
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.int_links2]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=5
+node_a=system.ruby.network.topology.routers2
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.network.topology.routers3]
+type=BasicRouter
+router_id=3
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=1
+ruby_system=system.ruby
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[1]
+port=system.system_port
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/ruby.stats b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/ruby.stats
new file mode 100644
index 000000000..216172e7b
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/ruby.stats
@@ -0,0 +1,1043 @@
+
+================ Begin RubySystem Configuration Print ================
+
+RubySystem config:
+ random_seed: 1234
+ randomization: 0
+ cycle_period: 1
+ block_size_bytes: 64
+ block_size_bits: 6
+ memory_size_bytes: 134217728
+ memory_size_bits: 27
+
+Network Configuration
+---------------------
+network: SIMPLE_NETWORK
+topology:
+
+virtual_net_0: active, ordered
+virtual_net_1: active, unordered
+virtual_net_2: active, unordered
+virtual_net_3: active, ordered
+virtual_net_4: active, unordered
+virtual_net_5: active, ordered
+virtual_net_6: inactive
+virtual_net_7: inactive
+virtual_net_8: inactive
+virtual_net_9: inactive
+
+
+Profiler Configuration
+----------------------
+periodic_stats_period: 1000000
+
+================ End RubySystem Configuration Print ================
+
+
+Real time: Jan/23/2012 04:22:26
+
+Profiler Stats
+--------------
+Elapsed_time_in_seconds: 1
+Elapsed_time_in_minutes: 0.0166667
+Elapsed_time_in_hours: 0.000277778
+Elapsed_time_in_days: 1.15741e-05
+
+Virtual_time_in_seconds: 0.31
+Virtual_time_in_minutes: 0.00516667
+Virtual_time_in_hours: 8.61111e-05
+Virtual_time_in_days: 3.58796e-06
+
+Ruby_current_time: 231701
+Ruby_start_time: 0
+Ruby_cycles: 231701
+
+mbytes_resident: 44.0234
+mbytes_total: 212.691
+resident_ratio: 0.206983
+
+ruby_cycles_executed: [ 231702 ]
+
+Busy Controller Counts:
+L1Cache-0:0
+L2Cache-0:0
+Directory-0:0
+
+
+Busy Bank Count:0
+
+sequencer_requests_outstanding: [binsize: 1 max: 1 count: 8465 average: 1 | standard deviation: 0 | 0 8465 ]
+
+All Non-Zero Cycle Demand Cache Accesses
+----------------------------------------
+miss_latency: [binsize: 2 max: 326 count: 8464 average: 26.3749 | standard deviation: 59.7716 | 0 7082 0 0 0 0 0 0 0 0 21 3 180 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4 7 156 141 316 165 138 16 4 6 35 30 41 24 40 3 1 2 4 3 0 2 2 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 3 0 0 0 0 0 0 0 1 0 0 1 20 0 3 2 0 1 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_LD: [binsize: 2 max: 326 count: 1185 average: 65.011 | standard deviation: 81.2899 | 0 660 0 0 0 0 0 0 0 0 3 2 95 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 2 55 33 124 68 56 0 2 3 11 11 7 12 19 3 1 1 3 2 0 0 1 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 2 0 1 1 0 0 0 0 0 0 0 0 0 1 0 0 0 ]
+miss_latency_ST: [binsize: 2 max: 321 count: 865 average: 39.3988 | standard deviation: 76.4664 | 0 654 0 0 0 0 0 0 0 0 17 0 26 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 2 17 11 29 14 36 1 0 1 1 6 23 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 18 0 2 1 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH: [binsize: 2 max: 276 count: 6414 average: 17.4804 | standard deviation: 48.2606 | 0 5768 0 0 0 0 0 0 0 0 1 1 59 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 3 84 97 163 83 46 15 2 2 23 13 11 12 20 0 0 1 1 1 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_L1Cache: [binsize: 1 max: 2 count: 7082 average: 2 | standard deviation: 0 | 0 0 7082 ]
+miss_latency_L2Cache: [binsize: 1 max: 25 count: 204 average: 24.5441 | standard deviation: 1.24963 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 21 2 1 1 179 ]
+miss_latency_Directory: [binsize: 2 max: 326 count: 1178 average: 173.231 | standard deviation: 22.9712 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4 7 156 141 316 165 138 16 4 6 35 30 41 24 40 3 1 2 4 3 0 2 2 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 3 0 0 0 0 0 0 0 1 0 0 1 20 0 3 2 0 1 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_wCC_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_wCC_Times: 0
+miss_latency_dir_issue_to_initial_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_initial_forward_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_forward_to_first_response: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_first_response_to_completion: [binsize: 1 max: 169 count: 1 average: 169 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+imcomplete_dir_Times: 1177
+miss_latency_LD_L1Cache: [binsize: 1 max: 2 count: 660 average: 2 | standard deviation: 0 | 0 0 660 ]
+miss_latency_LD_L2Cache: [binsize: 1 max: 25 count: 100 average: 24.83 | standard deviation: 0.771984 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3 1 1 0 95 ]
+miss_latency_LD_Directory: [binsize: 2 max: 326 count: 425 average: 172.318 | standard deviation: 18.6969 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 2 55 33 124 68 56 0 2 3 11 11 7 12 19 3 1 1 3 2 0 0 1 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 2 0 1 1 0 0 0 0 0 0 0 0 0 1 0 0 0 ]
+miss_latency_ST_L1Cache: [binsize: 1 max: 2 count: 654 average: 2 | standard deviation: 0 | 0 0 654 ]
+miss_latency_ST_L2Cache: [binsize: 1 max: 25 count: 43 average: 23.4186 | standard deviation: 1.98206 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17 0 0 0 26 ]
+miss_latency_ST_Directory: [binsize: 2 max: 321 count: 168 average: 189.077 | standard deviation: 46.5714 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 2 17 11 29 14 36 1 0 1 1 6 23 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 18 0 2 1 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH_L1Cache: [binsize: 1 max: 2 count: 5768 average: 2 | standard deviation: 0 | 0 0 5768 ]
+miss_latency_IFETCH_L2Cache: [binsize: 1 max: 25 count: 61 average: 24.8689 | standard deviation: 0.645497 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 58 ]
+miss_latency_IFETCH_Directory: [binsize: 2 max: 276 count: 585 average: 169.344 | standard deviation: 10.0739 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 3 84 97 163 83 46 15 2 2 23 13 11 12 20 0 0 1 1 1 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+
+All Non-Zero Cycle SW Prefetch Requests
+------------------------------------
+prefetch_latency: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+prefetch_latency_L2Miss:[binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Request vs. RubySystem State Profile
+--------------------------------
+
+
+filter_action: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Message Delayed Cycles
+----------------------
+Total_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Total_nonPF_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_0_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_1_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_2_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_3_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_4_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_5_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_6_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_7_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_8_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_9_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Resource Usage
+--------------
+page_size: 4096
+user_time: 0
+system_time: 0
+page_reclaims: 11434
+page_faults: 122
+swaps: 0
+block_inputs: 21928
+block_outputs: 104
+
+Network Stats
+-------------
+
+total_msg_count_Request_Control: 7731 61848
+total_msg_count_Response_Data: 3534 254448
+total_msg_count_ResponseL2hit_Data: 612 44064
+total_msg_count_Response_Control: 3 24
+total_msg_count_Writeback_Data: 4749 341928
+total_msg_count_Writeback_Control: 2901 23208
+total_msg_count_Persistent_Control: 240 1920
+total_msgs: 19770 total_bytes: 727440
+
+switch_0_inlinks: 2
+switch_0_outlinks: 2
+links_utilized_percent_switch_0: 2.81473
+ links_utilized_percent_switch_0_link_0: 2.69291 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_0_link_1: 2.93654 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_0_link_0_Response_Data: 1178 84816 [ 0 0 0 0 1178 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_ResponseL2hit_Data: 204 14688 [ 0 0 0 0 204 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Response_Control: 1 8 [ 0 0 0 0 1 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Persistent_Control: 40 320 [ 0 0 0 40 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Request_Control: 1382 11056 [ 0 1382 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Data: 1354 97488 [ 0 0 0 0 1354 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Persistent_Control: 40 320 [ 0 0 0 40 0 0 0 0 0 0 ] base_latency: 1
+
+switch_1_inlinks: 2
+switch_1_outlinks: 2
+links_utilized_percent_switch_1: 2.12213
+ links_utilized_percent_switch_1_link_0: 2.93654 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_1_link_1: 1.30772 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_1_link_0_Request_Control: 1382 11056 [ 0 1382 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Writeback_Data: 1354 97488 [ 0 0 0 0 1354 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Persistent_Control: 40 320 [ 0 0 0 40 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Request_Control: 1195 9560 [ 0 0 1195 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_ResponseL2hit_Data: 204 14688 [ 0 0 0 0 204 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Control: 1 8 [ 0 0 0 0 1 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Data: 229 16488 [ 0 0 0 0 229 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Control: 967 7736 [ 0 0 0 0 967 0 0 0 0 0 ] base_latency: 1
+
+switch_2_inlinks: 2
+switch_2_outlinks: 2
+links_utilized_percent_switch_2: 1.6039
+ links_utilized_percent_switch_2_link_0: 0.919936 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_2_link_1: 2.28786 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_2_link_0_Request_Control: 1195 9560 [ 0 0 1195 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Data: 229 16488 [ 0 0 0 0 229 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Control: 967 7736 [ 0 0 0 0 967 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Persistent_Control: 40 320 [ 0 0 0 40 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Response_Data: 1178 84816 [ 0 0 0 0 1178 0 0 0 0 0 ] base_latency: 1
+
+switch_3_inlinks: 3
+switch_3_outlinks: 3
+links_utilized_percent_switch_3: 2.18025
+ links_utilized_percent_switch_3_link_0: 2.68428 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_3_link_1: 2.93654 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_3_link_2: 0.919936 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_3_link_0_Response_Data: 1178 84816 [ 0 0 0 0 1178 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_ResponseL2hit_Data: 204 14688 [ 0 0 0 0 204 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Response_Control: 1 8 [ 0 0 0 0 1 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Request_Control: 1382 11056 [ 0 1382 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Writeback_Data: 1354 97488 [ 0 0 0 0 1354 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Persistent_Control: 40 320 [ 0 0 0 40 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Request_Control: 1195 9560 [ 0 0 1195 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Writeback_Data: 229 16488 [ 0 0 0 0 229 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Writeback_Control: 967 7736 [ 0 0 0 0 967 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Persistent_Control: 40 320 [ 0 0 0 40 0 0 0 0 0 0 ] base_latency: 1
+
+Cache Stats: system.l1_cntrl0.L1IcacheMemory
+ system.l1_cntrl0.L1IcacheMemory_total_misses: 646
+ system.l1_cntrl0.L1IcacheMemory_total_demand_misses: 646
+ system.l1_cntrl0.L1IcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1IcacheMemory_request_type_IFETCH: 100%
+
+ system.l1_cntrl0.L1IcacheMemory_access_mode_type_Supervisor: 646 100%
+
+Cache Stats: system.l1_cntrl0.L1DcacheMemory
+ system.l1_cntrl0.L1DcacheMemory_total_misses: 736
+ system.l1_cntrl0.L1DcacheMemory_total_demand_misses: 736
+ system.l1_cntrl0.L1DcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1DcacheMemory_request_type_LD: 71.3315%
+ system.l1_cntrl0.L1DcacheMemory_request_type_ST: 28.6685%
+
+ system.l1_cntrl0.L1DcacheMemory_access_mode_type_Supervisor: 736 100%
+
+ --- L1Cache ---
+ - Event Counts -
+Load [1185 ] 1185
+Ifetch [6414 ] 6414
+Store [865 ] 865
+Atomic [0 ] 0
+L1_Replacement [1364 ] 1364
+Data_Shared [161 ] 161
+Data_Owner [0 ] 0
+Data_All_Tokens [1221 ] 1221
+Ack [1 ] 1
+Ack_All_Tokens [0 ] 0
+Transient_GETX [0 ] 0
+Transient_Local_GETX [0 ] 0
+Transient_GETS [0 ] 0
+Transient_Local_GETS [0 ] 0
+Transient_GETS_Last_Token [0 ] 0
+Transient_Local_GETS_Last_Token [0 ] 0
+Persistent_GETX [0 ] 0
+Persistent_GETS [0 ] 0
+Persistent_GETS_Last_Token [0 ] 0
+Own_Lock_or_Unlock [40 ] 40
+Request_Timeout [20 ] 20
+Use_TimeoutStarverX [0 ] 0
+Use_TimeoutStarverS [0 ] 0
+Use_TimeoutNoStarvers [1220 ] 1220
+Use_TimeoutNoStarvers_NoMig [0 ] 0
+
+ - Transitions -
+NP Load [525 ] 525
+NP Ifetch [646 ] 646
+NP Store [191 ] 191
+NP Atomic [0 ] 0
+NP Data_Shared [0 ] 0
+NP Data_Owner [0 ] 0
+NP Data_All_Tokens [0 ] 0
+NP Ack [0 ] 0
+NP Transient_GETX [0 ] 0
+NP Transient_Local_GETX [0 ] 0
+NP Transient_GETS [0 ] 0
+NP Transient_Local_GETS [0 ] 0
+NP Persistent_GETX [0 ] 0
+NP Persistent_GETS [0 ] 0
+NP Persistent_GETS_Last_Token [0 ] 0
+NP Own_Lock_or_Unlock [0 ] 0
+
+I Load [0 ] 0
+I Ifetch [0 ] 0
+I Store [0 ] 0
+I Atomic [0 ] 0
+I L1_Replacement [0 ] 0
+I Data_Shared [0 ] 0
+I Data_Owner [0 ] 0
+I Data_All_Tokens [0 ] 0
+I Ack [0 ] 0
+I Transient_GETX [0 ] 0
+I Transient_Local_GETX [0 ] 0
+I Transient_GETS [0 ] 0
+I Transient_Local_GETS [0 ] 0
+I Transient_GETS_Last_Token [0 ] 0
+I Transient_Local_GETS_Last_Token [0 ] 0
+I Persistent_GETX [0 ] 0
+I Persistent_GETS [0 ] 0
+I Persistent_GETS_Last_Token [0 ] 0
+I Own_Lock_or_Unlock [0 ] 0
+
+S Load [153 ] 153
+S Ifetch [331 ] 331
+S Store [20 ] 20
+S Atomic [0 ] 0
+S L1_Replacement [141 ] 141
+S Data_Shared [0 ] 0
+S Data_Owner [0 ] 0
+S Data_All_Tokens [0 ] 0
+S Ack [0 ] 0
+S Transient_GETX [0 ] 0
+S Transient_Local_GETX [0 ] 0
+S Transient_GETS [0 ] 0
+S Transient_Local_GETS [0 ] 0
+S Transient_GETS_Last_Token [0 ] 0
+S Transient_Local_GETS_Last_Token [0 ] 0
+S Persistent_GETX [0 ] 0
+S Persistent_GETS [0 ] 0
+S Persistent_GETS_Last_Token [0 ] 0
+S Own_Lock_or_Unlock [0 ] 0
+
+O Load [0 ] 0
+O Ifetch [0 ] 0
+O Store [0 ] 0
+O Atomic [0 ] 0
+O L1_Replacement [0 ] 0
+O Data_Shared [0 ] 0
+O Data_All_Tokens [0 ] 0
+O Ack [0 ] 0
+O Ack_All_Tokens [0 ] 0
+O Transient_GETX [0 ] 0
+O Transient_Local_GETX [0 ] 0
+O Transient_GETS [0 ] 0
+O Transient_Local_GETS [0 ] 0
+O Transient_GETS_Last_Token [0 ] 0
+O Transient_Local_GETS_Last_Token [0 ] 0
+O Persistent_GETX [0 ] 0
+O Persistent_GETS [0 ] 0
+O Persistent_GETS_Last_Token [0 ] 0
+O Own_Lock_or_Unlock [0 ] 0
+
+M Load [186 ] 186
+M Ifetch [3322 ] 3322
+M Store [33 ] 33
+M Atomic [0 ] 0
+M L1_Replacement [945 ] 945
+M Transient_GETX [0 ] 0
+M Transient_Local_GETX [0 ] 0
+M Transient_GETS [0 ] 0
+M Transient_Local_GETS [0 ] 0
+M Persistent_GETX [0 ] 0
+M Persistent_GETS [0 ] 0
+M Own_Lock_or_Unlock [3 ] 3
+
+MM Load [220 ] 220
+MM Ifetch [0 ] 0
+MM Store [330 ] 330
+MM Atomic [0 ] 0
+MM L1_Replacement [268 ] 268
+MM Transient_GETX [0 ] 0
+MM Transient_Local_GETX [0 ] 0
+MM Transient_GETS [0 ] 0
+MM Transient_Local_GETS [0 ] 0
+MM Persistent_GETX [0 ] 0
+MM Persistent_GETS [0 ] 0
+MM Own_Lock_or_Unlock [17 ] 17
+
+M_W Load [80 ] 80
+M_W Ifetch [2115 ] 2115
+M_W Store [25 ] 25
+M_W Atomic [0 ] 0
+M_W L1_Replacement [6 ] 6
+M_W Transient_GETX [0 ] 0
+M_W Transient_Local_GETX [0 ] 0
+M_W Transient_GETS [0 ] 0
+M_W Transient_Local_GETS [0 ] 0
+M_W Persistent_GETX [0 ] 0
+M_W Persistent_GETS [0 ] 0
+M_W Own_Lock_or_Unlock [0 ] 0
+M_W Use_TimeoutStarverX [0 ] 0
+M_W Use_TimeoutStarverS [0 ] 0
+M_W Use_TimeoutNoStarvers [984 ] 984
+M_W Use_TimeoutNoStarvers_NoMig [0 ] 0
+
+MM_W Load [21 ] 21
+MM_W Ifetch [0 ] 0
+MM_W Store [266 ] 266
+MM_W Atomic [0 ] 0
+MM_W L1_Replacement [4 ] 4
+MM_W Transient_GETX [0 ] 0
+MM_W Transient_Local_GETX [0 ] 0
+MM_W Transient_GETS [0 ] 0
+MM_W Transient_Local_GETS [0 ] 0
+MM_W Persistent_GETX [0 ] 0
+MM_W Persistent_GETS [0 ] 0
+MM_W Own_Lock_or_Unlock [0 ] 0
+MM_W Use_TimeoutStarverX [0 ] 0
+MM_W Use_TimeoutStarverS [0 ] 0
+MM_W Use_TimeoutNoStarvers [236 ] 236
+MM_W Use_TimeoutNoStarvers_NoMig [0 ] 0
+
+IM Load [0 ] 0
+IM Ifetch [0 ] 0
+IM Store [0 ] 0
+IM Atomic [0 ] 0
+IM L1_Replacement [0 ] 0
+IM Data_Shared [0 ] 0
+IM Data_Owner [0 ] 0
+IM Data_All_Tokens [191 ] 191
+IM Ack [1 ] 1
+IM Transient_GETX [0 ] 0
+IM Transient_Local_GETX [0 ] 0
+IM Transient_GETS [0 ] 0
+IM Transient_Local_GETS [0 ] 0
+IM Transient_GETS_Last_Token [0 ] 0
+IM Transient_Local_GETS_Last_Token [0 ] 0
+IM Persistent_GETX [0 ] 0
+IM Persistent_GETS [0 ] 0
+IM Persistent_GETS_Last_Token [0 ] 0
+IM Own_Lock_or_Unlock [17 ] 17
+IM Request_Timeout [17 ] 17
+
+SM Load [0 ] 0
+SM Ifetch [0 ] 0
+SM Store [0 ] 0
+SM Atomic [0 ] 0
+SM L1_Replacement [0 ] 0
+SM Data_Shared [0 ] 0
+SM Data_Owner [0 ] 0
+SM Data_All_Tokens [20 ] 20
+SM Ack [0 ] 0
+SM Transient_GETX [0 ] 0
+SM Transient_Local_GETX [0 ] 0
+SM Transient_GETS [0 ] 0
+SM Transient_Local_GETS [0 ] 0
+SM Transient_GETS_Last_Token [0 ] 0
+SM Transient_Local_GETS_Last_Token [0 ] 0
+SM Persistent_GETX [0 ] 0
+SM Persistent_GETS [0 ] 0
+SM Persistent_GETS_Last_Token [0 ] 0
+SM Own_Lock_or_Unlock [0 ] 0
+SM Request_Timeout [0 ] 0
+
+OM Load [0 ] 0
+OM Ifetch [0 ] 0
+OM Store [0 ] 0
+OM Atomic [0 ] 0
+OM L1_Replacement [0 ] 0
+OM Data_Shared [0 ] 0
+OM Data_All_Tokens [0 ] 0
+OM Ack [0 ] 0
+OM Ack_All_Tokens [0 ] 0
+OM Transient_GETX [0 ] 0
+OM Transient_Local_GETX [0 ] 0
+OM Transient_GETS [0 ] 0
+OM Transient_Local_GETS [0 ] 0
+OM Transient_GETS_Last_Token [0 ] 0
+OM Transient_Local_GETS_Last_Token [0 ] 0
+OM Persistent_GETX [0 ] 0
+OM Persistent_GETS [0 ] 0
+OM Persistent_GETS_Last_Token [0 ] 0
+OM Own_Lock_or_Unlock [0 ] 0
+OM Request_Timeout [0 ] 0
+
+IS Load [0 ] 0
+IS Ifetch [0 ] 0
+IS Store [0 ] 0
+IS Atomic [0 ] 0
+IS L1_Replacement [0 ] 0
+IS Data_Shared [161 ] 161
+IS Data_Owner [0 ] 0
+IS Data_All_Tokens [1010 ] 1010
+IS Ack [0 ] 0
+IS Transient_GETX [0 ] 0
+IS Transient_Local_GETX [0 ] 0
+IS Transient_GETS [0 ] 0
+IS Transient_Local_GETS [0 ] 0
+IS Transient_GETS_Last_Token [0 ] 0
+IS Transient_Local_GETS_Last_Token [0 ] 0
+IS Persistent_GETX [0 ] 0
+IS Persistent_GETS [0 ] 0
+IS Persistent_GETS_Last_Token [0 ] 0
+IS Own_Lock_or_Unlock [3 ] 3
+IS Request_Timeout [3 ] 3
+
+I_L Load [0 ] 0
+I_L Ifetch [0 ] 0
+I_L Store [0 ] 0
+I_L Atomic [0 ] 0
+I_L L1_Replacement [0 ] 0
+I_L Data_Shared [0 ] 0
+I_L Data_Owner [0 ] 0
+I_L Data_All_Tokens [0 ] 0
+I_L Ack [0 ] 0
+I_L Transient_GETX [0 ] 0
+I_L Transient_Local_GETX [0 ] 0
+I_L Transient_GETS [0 ] 0
+I_L Transient_Local_GETS [0 ] 0
+I_L Transient_GETS_Last_Token [0 ] 0
+I_L Transient_Local_GETS_Last_Token [0 ] 0
+I_L Persistent_GETX [0 ] 0
+I_L Persistent_GETS [0 ] 0
+I_L Persistent_GETS_Last_Token [0 ] 0
+I_L Own_Lock_or_Unlock [0 ] 0
+
+S_L Load [0 ] 0
+S_L Ifetch [0 ] 0
+S_L Store [0 ] 0
+S_L Atomic [0 ] 0
+S_L L1_Replacement [0 ] 0
+S_L Data_Shared [0 ] 0
+S_L Data_Owner [0 ] 0
+S_L Data_All_Tokens [0 ] 0
+S_L Ack [0 ] 0
+S_L Transient_GETX [0 ] 0
+S_L Transient_Local_GETX [0 ] 0
+S_L Transient_GETS [0 ] 0
+S_L Transient_Local_GETS [0 ] 0
+S_L Transient_GETS_Last_Token [0 ] 0
+S_L Transient_Local_GETS_Last_Token [0 ] 0
+S_L Persistent_GETX [0 ] 0
+S_L Persistent_GETS [0 ] 0
+S_L Persistent_GETS_Last_Token [0 ] 0
+S_L Own_Lock_or_Unlock [0 ] 0
+
+IM_L Load [0 ] 0
+IM_L Ifetch [0 ] 0
+IM_L Store [0 ] 0
+IM_L Atomic [0 ] 0
+IM_L L1_Replacement [0 ] 0
+IM_L Data_Shared [0 ] 0
+IM_L Data_Owner [0 ] 0
+IM_L Data_All_Tokens [0 ] 0
+IM_L Ack [0 ] 0
+IM_L Transient_GETX [0 ] 0
+IM_L Transient_Local_GETX [0 ] 0
+IM_L Transient_GETS [0 ] 0
+IM_L Transient_Local_GETS [0 ] 0
+IM_L Transient_GETS_Last_Token [0 ] 0
+IM_L Transient_Local_GETS_Last_Token [0 ] 0
+IM_L Persistent_GETX [0 ] 0
+IM_L Persistent_GETS [0 ] 0
+IM_L Own_Lock_or_Unlock [0 ] 0
+IM_L Request_Timeout [0 ] 0
+
+SM_L Load [0 ] 0
+SM_L Ifetch [0 ] 0
+SM_L Store [0 ] 0
+SM_L Atomic [0 ] 0
+SM_L L1_Replacement [0 ] 0
+SM_L Data_Shared [0 ] 0
+SM_L Data_Owner [0 ] 0
+SM_L Data_All_Tokens [0 ] 0
+SM_L Ack [0 ] 0
+SM_L Transient_GETX [0 ] 0
+SM_L Transient_Local_GETX [0 ] 0
+SM_L Transient_GETS [0 ] 0
+SM_L Transient_Local_GETS [0 ] 0
+SM_L Transient_GETS_Last_Token [0 ] 0
+SM_L Transient_Local_GETS_Last_Token [0 ] 0
+SM_L Persistent_GETX [0 ] 0
+SM_L Persistent_GETS [0 ] 0
+SM_L Persistent_GETS_Last_Token [0 ] 0
+SM_L Own_Lock_or_Unlock [0 ] 0
+SM_L Request_Timeout [0 ] 0
+
+IS_L Load [0 ] 0
+IS_L Ifetch [0 ] 0
+IS_L Store [0 ] 0
+IS_L Atomic [0 ] 0
+IS_L L1_Replacement [0 ] 0
+IS_L Data_Shared [0 ] 0
+IS_L Data_Owner [0 ] 0
+IS_L Data_All_Tokens [0 ] 0
+IS_L Ack [0 ] 0
+IS_L Transient_GETX [0 ] 0
+IS_L Transient_Local_GETX [0 ] 0
+IS_L Transient_GETS [0 ] 0
+IS_L Transient_Local_GETS [0 ] 0
+IS_L Transient_GETS_Last_Token [0 ] 0
+IS_L Transient_Local_GETS_Last_Token [0 ] 0
+IS_L Persistent_GETX [0 ] 0
+IS_L Persistent_GETS [0 ] 0
+IS_L Own_Lock_or_Unlock [0 ] 0
+IS_L Request_Timeout [0 ] 0
+
+Cache Stats: system.l2_cntrl0.L2cacheMemory
+ system.l2_cntrl0.L2cacheMemory_total_misses: 1195
+ system.l2_cntrl0.L2cacheMemory_total_demand_misses: 1195
+ system.l2_cntrl0.L2cacheMemory_total_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_sw_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_hw_prefetches: 0
+
+ system.l2_cntrl0.L2cacheMemory_request_type_GETS: 84.5188%
+ system.l2_cntrl0.L2cacheMemory_request_type_GETX: 15.4812%
+
+ system.l2_cntrl0.L2cacheMemory_access_mode_type_Supervisor: 1195 100%
+
+ --- L2Cache ---
+ - Event Counts -
+L1_GETS [1122 ] 1122
+L1_GETS_Last_Token [49 ] 49
+L1_GETX [211 ] 211
+L1_INV [0 ] 0
+Transient_GETX [0 ] 0
+Transient_GETS [0 ] 0
+Transient_GETS_Last_Token [0 ] 0
+L2_Replacement [1265 ] 1265
+Writeback_Tokens [0 ] 0
+Writeback_Shared_Data [84 ] 84
+Writeback_All_Tokens [1270 ] 1270
+Writeback_Owned [0 ] 0
+Data_Shared [0 ] 0
+Data_Owner [0 ] 0
+Data_All_Tokens [0 ] 0
+Ack [0 ] 0
+Ack_All_Tokens [0 ] 0
+Persistent_GETX [17 ] 17
+Persistent_GETS [3 ] 3
+Persistent_GETS_Last_Token [0 ] 0
+Own_Lock_or_Unlock [20 ] 20
+
+ - Transitions -
+NP L1_GETS [1010 ] 1010
+NP L1_GETX [166 ] 166
+NP L1_INV [0 ] 0
+NP Transient_GETX [0 ] 0
+NP Transient_GETS [0 ] 0
+NP Writeback_Tokens [0 ] 0
+NP Writeback_Shared_Data [81 ] 81
+NP Writeback_All_Tokens [1192 ] 1192
+NP Writeback_Owned [0 ] 0
+NP Data_Shared [0 ] 0
+NP Data_Owner [0 ] 0
+NP Data_All_Tokens [0 ] 0
+NP Ack [0 ] 0
+NP Persistent_GETX [0 ] 0
+NP Persistent_GETS [0 ] 0
+NP Persistent_GETS_Last_Token [0 ] 0
+NP Own_Lock_or_Unlock [20 ] 20
+
+I L1_GETS [0 ] 0
+I L1_GETS_Last_Token [0 ] 0
+I L1_GETX [1 ] 1
+I L1_INV [0 ] 0
+I Transient_GETX [0 ] 0
+I Transient_GETS [0 ] 0
+I Transient_GETS_Last_Token [0 ] 0
+I L2_Replacement [69 ] 69
+I Writeback_Tokens [0 ] 0
+I Writeback_Shared_Data [3 ] 3
+I Writeback_All_Tokens [21 ] 21
+I Writeback_Owned [0 ] 0
+I Data_Shared [0 ] 0
+I Data_Owner [0 ] 0
+I Data_All_Tokens [0 ] 0
+I Ack [0 ] 0
+I Persistent_GETX [0 ] 0
+I Persistent_GETS [0 ] 0
+I Persistent_GETS_Last_Token [0 ] 0
+I Own_Lock_or_Unlock [0 ] 0
+
+S L1_GETS [0 ] 0
+S L1_GETS_Last_Token [49 ] 49
+S L1_GETX [1 ] 1
+S L1_INV [0 ] 0
+S Transient_GETX [0 ] 0
+S Transient_GETS [0 ] 0
+S Transient_GETS_Last_Token [0 ] 0
+S L2_Replacement [34 ] 34
+S Writeback_Tokens [0 ] 0
+S Writeback_Shared_Data [0 ] 0
+S Writeback_All_Tokens [0 ] 0
+S Writeback_Owned [0 ] 0
+S Data_Shared [0 ] 0
+S Data_Owner [0 ] 0
+S Data_All_Tokens [0 ] 0
+S Ack [0 ] 0
+S Persistent_GETX [0 ] 0
+S Persistent_GETS [0 ] 0
+S Persistent_GETS_Last_Token [0 ] 0
+S Own_Lock_or_Unlock [0 ] 0
+
+O L1_GETS [0 ] 0
+O L1_GETS_Last_Token [0 ] 0
+O L1_GETX [17 ] 17
+O L1_INV [0 ] 0
+O Transient_GETX [0 ] 0
+O Transient_GETS [0 ] 0
+O Transient_GETS_Last_Token [0 ] 0
+O L2_Replacement [38 ] 38
+O Writeback_Tokens [0 ] 0
+O Writeback_Shared_Data [0 ] 0
+O Writeback_All_Tokens [57 ] 57
+O Data_Shared [0 ] 0
+O Data_All_Tokens [0 ] 0
+O Ack [0 ] 0
+O Ack_All_Tokens [0 ] 0
+O Persistent_GETX [0 ] 0
+O Persistent_GETS [0 ] 0
+O Persistent_GETS_Last_Token [0 ] 0
+O Own_Lock_or_Unlock [0 ] 0
+
+M L1_GETS [112 ] 112
+M L1_GETX [26 ] 26
+M L1_INV [0 ] 0
+M Transient_GETX [0 ] 0
+M Transient_GETS [0 ] 0
+M L2_Replacement [1124 ] 1124
+M Persistent_GETX [0 ] 0
+M Persistent_GETS [0 ] 0
+M Own_Lock_or_Unlock [0 ] 0
+
+I_L L1_GETS [0 ] 0
+I_L L1_GETX [0 ] 0
+I_L L1_INV [0 ] 0
+I_L Transient_GETX [0 ] 0
+I_L Transient_GETS [0 ] 0
+I_L Transient_GETS_Last_Token [0 ] 0
+I_L L2_Replacement [0 ] 0
+I_L Writeback_Tokens [0 ] 0
+I_L Writeback_Shared_Data [0 ] 0
+I_L Writeback_All_Tokens [0 ] 0
+I_L Writeback_Owned [0 ] 0
+I_L Data_Shared [0 ] 0
+I_L Data_Owner [0 ] 0
+I_L Data_All_Tokens [0 ] 0
+I_L Ack [0 ] 0
+I_L Persistent_GETX [17 ] 17
+I_L Persistent_GETS [3 ] 3
+I_L Own_Lock_or_Unlock [0 ] 0
+
+S_L L1_GETS [0 ] 0
+S_L L1_GETS_Last_Token [0 ] 0
+S_L L1_GETX [0 ] 0
+S_L L1_INV [0 ] 0
+S_L Transient_GETX [0 ] 0
+S_L Transient_GETS [0 ] 0
+S_L Transient_GETS_Last_Token [0 ] 0
+S_L L2_Replacement [0 ] 0
+S_L Writeback_Tokens [0 ] 0
+S_L Writeback_Shared_Data [0 ] 0
+S_L Writeback_All_Tokens [0 ] 0
+S_L Writeback_Owned [0 ] 0
+S_L Data_Shared [0 ] 0
+S_L Data_Owner [0 ] 0
+S_L Data_All_Tokens [0 ] 0
+S_L Ack [0 ] 0
+S_L Persistent_GETX [0 ] 0
+S_L Persistent_GETS [0 ] 0
+S_L Persistent_GETS_Last_Token [0 ] 0
+S_L Own_Lock_or_Unlock [0 ] 0
+
+Memory controller: system.dir_cntrl0.memBuffer:
+ memory_total_requests: 1407
+ memory_reads: 1178
+ memory_writes: 229
+ memory_refreshes: 483
+ memory_total_request_delays: 396
+ memory_delays_per_request: 0.28145
+ memory_delays_in_input_queue: 112
+ memory_delays_behind_head_of_bank_queue: 0
+ memory_delays_stalled_at_head_of_bank_queue: 284
+ memory_stalls_for_bank_busy: 58
+ memory_stalls_for_random_busy: 0
+ memory_stalls_for_anti_starvation: 0
+ memory_stalls_for_arbitration: 16
+ memory_stalls_for_bus: 208
+ memory_stalls_for_tfaw: 0
+ memory_stalls_for_read_write_turnaround: 2
+ memory_stalls_for_read_read_turnaround: 0
+ accesses_per_bank: 75 17 45 41 54 102 33 16 20 22 32 34 53 50 40 31 40 21 21 21 28 38 89 22 31 23 32 72 95 141 15 53
+
+ --- Directory ---
+ - Event Counts -
+GETX [488 ] 488
+GETS [1093 ] 1093
+Lockdown [20 ] 20
+Unlockdown [20 ] 20
+Own_Lock_or_Unlock [0 ] 0
+Own_Lock_or_Unlock_Tokens [0 ] 0
+Data_Owner [9 ] 9
+Data_All_Tokens [220 ] 220
+Ack_Owner [29 ] 29
+Ack_Owner_All_Tokens [904 ] 904
+Tokens [0 ] 0
+Ack_All_Tokens [34 ] 34
+Request_Timeout [0 ] 0
+Memory_Data [1178 ] 1178
+Memory_Ack [229 ] 229
+DMA_READ [0 ] 0
+DMA_WRITE [0 ] 0
+DMA_WRITE_All_Tokens [0 ] 0
+
+ - Transitions -
+O GETX [168 ] 168
+O GETS [1010 ] 1010
+O Lockdown [0 ] 0
+O Unlockdown [0 ] 0
+O Own_Lock_or_Unlock [0 ] 0
+O Own_Lock_or_Unlock_Tokens [0 ] 0
+O Data_Owner [0 ] 0
+O Data_All_Tokens [0 ] 0
+O Tokens [0 ] 0
+O Ack_All_Tokens [34 ] 34
+O DMA_READ [0 ] 0
+O DMA_WRITE [0 ] 0
+O DMA_WRITE_All_Tokens [0 ] 0
+
+NO GETX [17 ] 17
+NO GETS [0 ] 0
+NO Lockdown [6 ] 6
+NO Unlockdown [0 ] 0
+NO Own_Lock_or_Unlock [0 ] 0
+NO Own_Lock_or_Unlock_Tokens [0 ] 0
+NO Data_Owner [9 ] 9
+NO Data_All_Tokens [220 ] 220
+NO Ack_Owner [29 ] 29
+NO Ack_Owner_All_Tokens [904 ] 904
+NO Tokens [0 ] 0
+NO DMA_READ [0 ] 0
+NO DMA_WRITE [0 ] 0
+
+L GETX [0 ] 0
+L GETS [0 ] 0
+L Lockdown [0 ] 0
+L Unlockdown [20 ] 20
+L Own_Lock_or_Unlock [0 ] 0
+L Own_Lock_or_Unlock_Tokens [0 ] 0
+L Data_Owner [0 ] 0
+L Data_All_Tokens [0 ] 0
+L Ack_Owner [0 ] 0
+L Ack_Owner_All_Tokens [0 ] 0
+L Tokens [0 ] 0
+L DMA_READ [0 ] 0
+L DMA_WRITE [0 ] 0
+L DMA_WRITE_All_Tokens [0 ] 0
+
+O_W GETX [303 ] 303
+O_W GETS [83 ] 83
+O_W Lockdown [0 ] 0
+O_W Unlockdown [0 ] 0
+O_W Own_Lock_or_Unlock [0 ] 0
+O_W Own_Lock_or_Unlock_Tokens [0 ] 0
+O_W Data_Owner [0 ] 0
+O_W Data_All_Tokens [0 ] 0
+O_W Ack_Owner [0 ] 0
+O_W Tokens [0 ] 0
+O_W Ack_All_Tokens [0 ] 0
+O_W Memory_Data [0 ] 0
+O_W Memory_Ack [229 ] 229
+O_W DMA_READ [0 ] 0
+O_W DMA_WRITE [0 ] 0
+O_W DMA_WRITE_All_Tokens [0 ] 0
+
+L_O_W GETX [0 ] 0
+L_O_W GETS [0 ] 0
+L_O_W Lockdown [0 ] 0
+L_O_W Unlockdown [0 ] 0
+L_O_W Own_Lock_or_Unlock [0 ] 0
+L_O_W Own_Lock_or_Unlock_Tokens [0 ] 0
+L_O_W Data_Owner [0 ] 0
+L_O_W Data_All_Tokens [0 ] 0
+L_O_W Ack_Owner [0 ] 0
+L_O_W Tokens [0 ] 0
+L_O_W Ack_All_Tokens [0 ] 0
+L_O_W Memory_Data [0 ] 0
+L_O_W Memory_Ack [0 ] 0
+L_O_W DMA_READ [0 ] 0
+L_O_W DMA_WRITE [0 ] 0
+L_O_W DMA_WRITE_All_Tokens [0 ] 0
+
+L_NO_W GETX [0 ] 0
+L_NO_W GETS [0 ] 0
+L_NO_W Lockdown [0 ] 0
+L_NO_W Unlockdown [0 ] 0
+L_NO_W Own_Lock_or_Unlock [0 ] 0
+L_NO_W Own_Lock_or_Unlock_Tokens [0 ] 0
+L_NO_W Data_Owner [0 ] 0
+L_NO_W Data_All_Tokens [0 ] 0
+L_NO_W Ack_Owner [0 ] 0
+L_NO_W Tokens [0 ] 0
+L_NO_W Ack_All_Tokens [0 ] 0
+L_NO_W Memory_Data [14 ] 14
+L_NO_W DMA_READ [0 ] 0
+L_NO_W DMA_WRITE [0 ] 0
+L_NO_W DMA_WRITE_All_Tokens [0 ] 0
+
+DR_L_W GETX [0 ] 0
+DR_L_W GETS [0 ] 0
+DR_L_W Lockdown [0 ] 0
+DR_L_W Unlockdown [0 ] 0
+DR_L_W Own_Lock_or_Unlock [0 ] 0
+DR_L_W Own_Lock_or_Unlock_Tokens [0 ] 0
+DR_L_W Data_Owner [0 ] 0
+DR_L_W Data_All_Tokens [0 ] 0
+DR_L_W Ack_Owner [0 ] 0
+DR_L_W Tokens [0 ] 0
+DR_L_W Ack_All_Tokens [0 ] 0
+DR_L_W Request_Timeout [0 ] 0
+DR_L_W Memory_Data [0 ] 0
+DR_L_W DMA_READ [0 ] 0
+DR_L_W DMA_WRITE [0 ] 0
+DR_L_W DMA_WRITE_All_Tokens [0 ] 0
+
+DW_L_W GETX [0 ] 0
+DW_L_W GETS [0 ] 0
+DW_L_W Lockdown [0 ] 0
+DW_L_W Unlockdown [0 ] 0
+DW_L_W Own_Lock_or_Unlock [0 ] 0
+DW_L_W Own_Lock_or_Unlock_Tokens [0 ] 0
+DW_L_W Data_Owner [0 ] 0
+DW_L_W Data_All_Tokens [0 ] 0
+DW_L_W Ack_Owner [0 ] 0
+DW_L_W Tokens [0 ] 0
+DW_L_W Ack_All_Tokens [0 ] 0
+DW_L_W Request_Timeout [0 ] 0
+DW_L_W Memory_Ack [0 ] 0
+DW_L_W DMA_READ [0 ] 0
+DW_L_W DMA_WRITE [0 ] 0
+DW_L_W DMA_WRITE_All_Tokens [0 ] 0
+
+NO_W GETX [0 ] 0
+NO_W GETS [0 ] 0
+NO_W Lockdown [14 ] 14
+NO_W Unlockdown [0 ] 0
+NO_W Own_Lock_or_Unlock [0 ] 0
+NO_W Own_Lock_or_Unlock_Tokens [0 ] 0
+NO_W Data_Owner [0 ] 0
+NO_W Data_All_Tokens [0 ] 0
+NO_W Ack_Owner [0 ] 0
+NO_W Tokens [0 ] 0
+NO_W Ack_All_Tokens [0 ] 0
+NO_W Memory_Data [1164 ] 1164
+NO_W DMA_READ [0 ] 0
+NO_W DMA_WRITE [0 ] 0
+NO_W DMA_WRITE_All_Tokens [0 ] 0
+
+O_DW_W GETX [0 ] 0
+O_DW_W GETS [0 ] 0
+O_DW_W Lockdown [0 ] 0
+O_DW_W Unlockdown [0 ] 0
+O_DW_W Own_Lock_or_Unlock [0 ] 0
+O_DW_W Own_Lock_or_Unlock_Tokens [0 ] 0
+O_DW_W Data_Owner [0 ] 0
+O_DW_W Data_All_Tokens [0 ] 0
+O_DW_W Ack_Owner [0 ] 0
+O_DW_W Tokens [0 ] 0
+O_DW_W Ack_All_Tokens [0 ] 0
+O_DW_W Request_Timeout [0 ] 0
+O_DW_W Memory_Ack [0 ] 0
+O_DW_W DMA_READ [0 ] 0
+O_DW_W DMA_WRITE [0 ] 0
+O_DW_W DMA_WRITE_All_Tokens [0 ] 0
+
+O_DR_W GETX [0 ] 0
+O_DR_W GETS [0 ] 0
+O_DR_W Lockdown [0 ] 0
+O_DR_W Unlockdown [0 ] 0
+O_DR_W Own_Lock_or_Unlock [0 ] 0
+O_DR_W Own_Lock_or_Unlock_Tokens [0 ] 0
+O_DR_W Data_Owner [0 ] 0
+O_DR_W Data_All_Tokens [0 ] 0
+O_DR_W Ack_Owner [0 ] 0
+O_DR_W Tokens [0 ] 0
+O_DR_W Ack_All_Tokens [0 ] 0
+O_DR_W Request_Timeout [0 ] 0
+O_DR_W Memory_Data [0 ] 0
+O_DR_W DMA_READ [0 ] 0
+O_DR_W DMA_WRITE [0 ] 0
+O_DR_W DMA_WRITE_All_Tokens [0 ] 0
+
+O_DW GETX [0 ] 0
+O_DW GETS [0 ] 0
+O_DW Lockdown [0 ] 0
+O_DW Unlockdown [0 ] 0
+O_DW Own_Lock_or_Unlock [0 ] 0
+O_DW Own_Lock_or_Unlock_Tokens [0 ] 0
+O_DW Data_Owner [0 ] 0
+O_DW Data_All_Tokens [0 ] 0
+O_DW Ack_Owner [0 ] 0
+O_DW Ack_Owner_All_Tokens [0 ] 0
+O_DW Tokens [0 ] 0
+O_DW Ack_All_Tokens [0 ] 0
+O_DW Request_Timeout [0 ] 0
+O_DW DMA_READ [0 ] 0
+O_DW DMA_WRITE [0 ] 0
+O_DW DMA_WRITE_All_Tokens [0 ] 0
+
+NO_DW GETX [0 ] 0
+NO_DW GETS [0 ] 0
+NO_DW Lockdown [0 ] 0
+NO_DW Unlockdown [0 ] 0
+NO_DW Own_Lock_or_Unlock [0 ] 0
+NO_DW Own_Lock_or_Unlock_Tokens [0 ] 0
+NO_DW Data_Owner [0 ] 0
+NO_DW Data_All_Tokens [0 ] 0
+NO_DW Tokens [0 ] 0
+NO_DW Request_Timeout [0 ] 0
+NO_DW DMA_READ [0 ] 0
+NO_DW DMA_WRITE [0 ] 0
+NO_DW DMA_WRITE_All_Tokens [0 ] 0
+
+NO_DR GETX [0 ] 0
+NO_DR GETS [0 ] 0
+NO_DR Lockdown [0 ] 0
+NO_DR Unlockdown [0 ] 0
+NO_DR Own_Lock_or_Unlock [0 ] 0
+NO_DR Own_Lock_or_Unlock_Tokens [0 ] 0
+NO_DR Data_Owner [0 ] 0
+NO_DR Data_All_Tokens [0 ] 0
+NO_DR Tokens [0 ] 0
+NO_DR Request_Timeout [0 ] 0
+NO_DR DMA_READ [0 ] 0
+NO_DR DMA_WRITE [0 ] 0
+NO_DR DMA_WRITE_All_Tokens [0 ] 0
+
+DW_L GETX [0 ] 0
+DW_L GETS [0 ] 0
+DW_L Lockdown [0 ] 0
+DW_L Unlockdown [0 ] 0
+DW_L Own_Lock_or_Unlock [0 ] 0
+DW_L Own_Lock_or_Unlock_Tokens [0 ] 0
+DW_L Data_Owner [0 ] 0
+DW_L Data_All_Tokens [0 ] 0
+DW_L Ack_Owner [0 ] 0
+DW_L Ack_Owner_All_Tokens [0 ] 0
+DW_L Tokens [0 ] 0
+DW_L Request_Timeout [0 ] 0
+DW_L DMA_READ [0 ] 0
+DW_L DMA_WRITE [0 ] 0
+DW_L DMA_WRITE_All_Tokens [0 ] 0
+
+DR_L GETX [0 ] 0
+DR_L GETS [0 ] 0
+DR_L Lockdown [0 ] 0
+DR_L Unlockdown [0 ] 0
+DR_L Own_Lock_or_Unlock [0 ] 0
+DR_L Own_Lock_or_Unlock_Tokens [0 ] 0
+DR_L Data_Owner [0 ] 0
+DR_L Data_All_Tokens [0 ] 0
+DR_L Ack_Owner [0 ] 0
+DR_L Ack_Owner_All_Tokens [0 ] 0
+DR_L Tokens [0 ] 0
+DR_L Request_Timeout [0 ] 0
+DR_L DMA_READ [0 ] 0
+DR_L DMA_WRITE [0 ] 0
+DR_L DMA_WRITE_All_Tokens [0 ] 0
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/simerr b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/simout b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/simout
new file mode 100755
index 000000000..6ef144b06
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:50:16
+gem5 started Jan 23 2012 04:22:25
+gem5 executing on zizzer
+command line: build/ALPHA_SE_MOESI_CMP_token/gem5.opt -d build/ALPHA_SE_MOESI_CMP_token/tests/opt/quick/00.hello/alpha/linux/simple-timing-ruby-MOESI_CMP_token -re tests/run.py build/ALPHA_SE_MOESI_CMP_token/tests/opt/quick/00.hello/alpha/linux/simple-timing-ruby-MOESI_CMP_token
+Global frequency set at 1000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 231701 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/stats.txt
new file mode 100644
index 000000000..4911f0b0e
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_CMP_token/stats.txt
@@ -0,0 +1,77 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000232 # Number of seconds simulated
+sim_ticks 231701 # Number of ticks simulated
+final_tick 231701 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000 # Frequency of simulated ticks
+host_inst_rate 23819 # Simulator instruction rate (inst/s)
+host_tick_rate 861729 # Simulator tick rate (ticks/s)
+host_mem_usage 217800 # Number of bytes of host memory used
+host_seconds 0.27 # Real time elapsed on the host
+sim_insts 6404 # Number of instructions simulated
+system.physmem.bytes_read 34460 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 25656 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 6696 # Number of bytes written to this memory
+system.physmem.num_reads 7599 # Number of read requests responded to by this memory
+system.physmem.num_writes 865 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 148726160 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 110728914 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 28899314 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 177625474 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 1185 # DTB read hits
+system.cpu.dtb.read_misses 7 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 1192 # DTB read accesses
+system.cpu.dtb.write_hits 865 # DTB write hits
+system.cpu.dtb.write_misses 3 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 868 # DTB write accesses
+system.cpu.dtb.data_hits 2050 # DTB hits
+system.cpu.dtb.data_misses 10 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 2060 # DTB accesses
+system.cpu.itb.fetch_hits 6415 # ITB hits
+system.cpu.itb.fetch_misses 17 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 6432 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 17 # Number of system calls
+system.cpu.numCycles 231701 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 6404 # Number of instructions executed
+system.cpu.num_int_alu_accesses 6331 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 10 # Number of float alu accesses
+system.cpu.num_func_calls 251 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 750 # number of instructions that are conditional controls
+system.cpu.num_int_insts 6331 # number of integer instructions
+system.cpu.num_fp_insts 10 # number of float instructions
+system.cpu.num_int_register_reads 8304 # number of times the integer registers were read
+system.cpu.num_int_register_writes 4581 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 8 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 2 # number of times the floating registers were written
+system.cpu.num_mem_refs 2060 # number of memory refs
+system.cpu.num_load_insts 1192 # Number of load instructions
+system.cpu.num_store_insts 868 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 231701 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/config.ini
new file mode 100644
index 000000000..aa987ffa6
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/config.ini
@@ -0,0 +1,302 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000
+time_sync_spin_threshold=100000
+
+[system]
+type=System
+children=cpu dir_cntrl0 l1_cntrl0 physmem ruby sys_port_proxy
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=1
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.l1_cntrl0.sequencer.port[1]
+icache_port=system.l1_cntrl0.sequencer.port[0]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer probeFilter
+buffer_size=0
+cntrl_id=1
+directory=system.dir_cntrl0.directory
+full_bit_dir_enabled=false
+memBuffer=system.dir_cntrl0.memBuffer
+memory_controller_latency=2
+number_of_TBEs=256
+probeFilter=system.dir_cntrl0.probeFilter
+probe_filter_enabled=false
+recycle_latency=10
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.dir_cntrl0.probeFilter]
+type=RubyCache
+assoc=4
+is_icache=false
+latency=1
+replacement_policy=PSEUDO_LRU
+size=1024
+start_index_bit=6
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=L1DcacheMemory L1IcacheMemory L2cacheMemory sequencer
+L1DcacheMemory=system.l1_cntrl0.L1DcacheMemory
+L1IcacheMemory=system.l1_cntrl0.L1IcacheMemory
+L2cacheMemory=system.l1_cntrl0.L2cacheMemory
+buffer_size=0
+cache_response_latency=10
+cntrl_id=0
+issue_latency=2
+l2_cache_hit_latency=10
+no_mig_atomic=true
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+sequencer=system.l1_cntrl0.sequencer
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.L1DcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=2
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.L1IcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=true
+latency=2
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.L2cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=10
+replacement_policy=PSEUDO_LRU
+size=512
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.L1DcacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.L1IcacheMemory
+max_outstanding_requests=16
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[0]
+port=system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.l1_cntrl0.sequencer.physMemPort system.sys_port_proxy.physMemPort
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=1
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 int_links0 int_links1 routers0 routers1 routers2
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=2
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=3
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=1
+ruby_system=system.ruby
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[1]
+port=system.system_port
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/ruby.stats b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/ruby.stats
new file mode 100644
index 000000000..15beb0d93
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/ruby.stats
@@ -0,0 +1,973 @@
+
+================ Begin RubySystem Configuration Print ================
+
+RubySystem config:
+ random_seed: 1234
+ randomization: 0
+ cycle_period: 1
+ block_size_bytes: 64
+ block_size_bits: 6
+ memory_size_bytes: 134217728
+ memory_size_bits: 27
+
+Network Configuration
+---------------------
+network: SIMPLE_NETWORK
+topology:
+
+virtual_net_0: active, ordered
+virtual_net_1: active, ordered
+virtual_net_2: active, unordered
+virtual_net_3: active, unordered
+virtual_net_4: active, unordered
+virtual_net_5: active, unordered
+virtual_net_6: inactive
+virtual_net_7: inactive
+virtual_net_8: inactive
+virtual_net_9: inactive
+
+
+Profiler Configuration
+----------------------
+periodic_stats_period: 1000000
+
+================ End RubySystem Configuration Print ================
+
+
+Real time: Jan/23/2012 04:21:44
+
+Profiler Stats
+--------------
+Elapsed_time_in_seconds: 1
+Elapsed_time_in_minutes: 0.0166667
+Elapsed_time_in_hours: 0.000277778
+Elapsed_time_in_days: 1.15741e-05
+
+Virtual_time_in_seconds: 0.3
+Virtual_time_in_minutes: 0.005
+Virtual_time_in_hours: 8.33333e-05
+Virtual_time_in_days: 3.47222e-06
+
+Ruby_current_time: 208400
+Ruby_start_time: 0
+Ruby_cycles: 208400
+
+mbytes_resident: 43.3594
+mbytes_total: 212.09
+resident_ratio: 0.204439
+
+ruby_cycles_executed: [ 208401 ]
+
+Busy Controller Counts:
+L1Cache-0:0
+Directory-0:0
+
+
+Busy Bank Count:0
+
+sequencer_requests_outstanding: [binsize: 1 max: 1 count: 8465 average: 1 | standard deviation: 0 | 0 8465 ]
+
+All Non-Zero Cycle Demand Cache Accesses
+----------------------------------------
+miss_latency: [binsize: 2 max: 340 count: 8464 average: 23.6219 | standard deviation: 54.4451 | 0 7102 0 0 0 0 203 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 81 188 166 188 149 272 6 5 3 5 20 2 4 9 1 15 3 1 0 0 1 1 0 3 2 1 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 2 3 18 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 ]
+miss_latency_LD: [binsize: 2 max: 327 count: 1185 average: 57.3924 | standard deviation: 73.6654 | 0 660 0 0 0 0 105 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37 78 73 59 44 91 2 3 1 3 5 2 2 3 0 6 1 0 0 0 1 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST: [binsize: 2 max: 340 count: 865 average: 34.9399 | standard deviation: 73.2706 | 0 674 0 0 0 0 33 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 8 37 38 7 31 0 0 0 0 1 0 2 1 0 2 0 1 0 0 0 1 0 2 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 3 17 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_IFETCH: [binsize: 2 max: 206 count: 6414 average: 15.8564 | standard deviation: 43.57 | 0 5768 0 0 0 0 65 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 43 102 56 91 98 150 4 2 2 2 14 0 0 5 1 7 2 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_L1Cache: [binsize: 1 max: 2 count: 7102 average: 2 | standard deviation: 0 | 0 0 7102 ]
+miss_latency_L2Cache: [binsize: 1 max: 13 count: 203 average: 13 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 203 ]
+miss_latency_Directory: [binsize: 2 max: 340 count: 1159 average: 157.975 | standard deviation: 26.6537 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 81 188 166 188 149 272 6 5 3 5 20 2 4 9 1 15 3 1 0 0 1 1 0 3 2 1 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 2 3 18 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 ]
+miss_latency_wCC_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_wCC_Times: 0
+miss_latency_dir_issue_to_initial_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_initial_forward_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_forward_to_first_response: [binsize: 1 max: 158 count: 1 average: 158 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_dir_first_response_to_completion: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+imcomplete_dir_Times: 1158
+miss_latency_LD_L1Cache: [binsize: 1 max: 2 count: 660 average: 2 | standard deviation: 0 | 0 0 660 ]
+miss_latency_LD_L2Cache: [binsize: 1 max: 13 count: 105 average: 13 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 105 ]
+miss_latency_LD_Directory: [binsize: 2 max: 327 count: 420 average: 155.536 | standard deviation: 18.768 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 37 78 73 59 44 91 2 3 1 3 5 2 2 3 0 6 1 0 0 0 1 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST_L1Cache: [binsize: 1 max: 2 count: 674 average: 2 | standard deviation: 0 | 0 0 674 ]
+miss_latency_ST_L2Cache: [binsize: 1 max: 13 count: 33 average: 13 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 33 ]
+miss_latency_ST_Directory: [binsize: 2 max: 340 count: 158 average: 180.038 | standard deviation: 59.9794 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 8 37 38 7 31 0 0 0 0 1 0 2 1 0 2 0 1 0 0 0 1 0 2 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 3 17 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_IFETCH_L1Cache: [binsize: 1 max: 2 count: 5768 average: 2 | standard deviation: 0 | 0 0 5768 ]
+miss_latency_IFETCH_L2Cache: [binsize: 1 max: 13 count: 65 average: 13 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 65 ]
+miss_latency_IFETCH_Directory: [binsize: 2 max: 206 count: 581 average: 153.738 | standard deviation: 5.93543 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 43 102 56 91 98 150 4 2 2 2 14 0 0 5 1 7 2 0 0 0 0 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+
+All Non-Zero Cycle SW Prefetch Requests
+------------------------------------
+prefetch_latency: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+prefetch_latency_L2Miss:[binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Request vs. RubySystem State Profile
+--------------------------------
+
+
+filter_action: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Message Delayed Cycles
+----------------------
+Total_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Total_nonPF_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_0_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_1_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_2_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_3_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_4_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_5_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_6_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_7_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_8_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_9_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Resource Usage
+--------------
+page_size: 4096
+user_time: 0
+system_time: 0
+page_reclaims: 11268
+page_faults: 126
+swaps: 0
+block_inputs: 22864
+block_outputs: 104
+
+Network Stats
+-------------
+
+total_msg_count_Request_Control: 3477 27816
+total_msg_count_Response_Data: 3477 250344
+total_msg_count_Writeback_Data: 660 47520
+total_msg_count_Writeback_Control: 9627 77016
+total_msg_count_Unblock_Control: 3477 27816
+total_msgs: 20718 total_bytes: 430512
+
+switch_0_inlinks: 2
+switch_0_outlinks: 2
+links_utilized_percent_switch_0: 2.15187
+ links_utilized_percent_switch_0_link_0: 2.77687 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_0_link_1: 1.52687 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_0_link_0_Response_Data: 1159 83448 [ 0 0 0 0 1159 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Writeback_Control: 1143 9144 [ 0 0 0 1143 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Request_Control: 1159 9272 [ 0 0 1159 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Data: 220 15840 [ 0 0 0 0 0 220 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Control: 2066 16528 [ 0 0 1143 0 0 923 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Unblock_Control: 1159 9272 [ 0 0 0 0 0 1159 0 0 0 0 ] base_latency: 1
+
+switch_1_inlinks: 2
+switch_1_outlinks: 2
+links_utilized_percent_switch_1: 2.15187
+ links_utilized_percent_switch_1_link_0: 1.52687 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_1_link_1: 2.77687 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_1_link_0_Request_Control: 1159 9272 [ 0 0 1159 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Writeback_Data: 220 15840 [ 0 0 0 0 0 220 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Writeback_Control: 2066 16528 [ 0 0 1143 0 0 923 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Unblock_Control: 1159 9272 [ 0 0 0 0 0 1159 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Data: 1159 83448 [ 0 0 0 0 1159 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Control: 1143 9144 [ 0 0 0 1143 0 0 0 0 0 0 ] base_latency: 1
+
+switch_2_inlinks: 2
+switch_2_outlinks: 2
+links_utilized_percent_switch_2: 2.15187
+ links_utilized_percent_switch_2_link_0: 2.77687 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_2_link_1: 1.52687 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_2_link_0_Response_Data: 1159 83448 [ 0 0 0 0 1159 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Control: 1143 9144 [ 0 0 0 1143 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Request_Control: 1159 9272 [ 0 0 1159 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Writeback_Data: 220 15840 [ 0 0 0 0 0 220 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Writeback_Control: 2066 16528 [ 0 0 1143 0 0 923 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Unblock_Control: 1159 9272 [ 0 0 0 0 0 1159 0 0 0 0 ] base_latency: 1
+
+Cache Stats: system.l1_cntrl0.L1IcacheMemory
+ system.l1_cntrl0.L1IcacheMemory_total_misses: 646
+ system.l1_cntrl0.L1IcacheMemory_total_demand_misses: 646
+ system.l1_cntrl0.L1IcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1IcacheMemory_request_type_IFETCH: 100%
+
+ system.l1_cntrl0.L1IcacheMemory_access_mode_type_Supervisor: 646 100%
+
+Cache Stats: system.l1_cntrl0.L1DcacheMemory
+ system.l1_cntrl0.L1DcacheMemory_total_misses: 716
+ system.l1_cntrl0.L1DcacheMemory_total_demand_misses: 716
+ system.l1_cntrl0.L1DcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1DcacheMemory_request_type_LD: 73.324%
+ system.l1_cntrl0.L1DcacheMemory_request_type_ST: 26.676%
+
+ system.l1_cntrl0.L1DcacheMemory_access_mode_type_Supervisor: 716 100%
+
+Cache Stats: system.l1_cntrl0.L2cacheMemory
+ system.l1_cntrl0.L2cacheMemory_total_misses: 1362
+ system.l1_cntrl0.L2cacheMemory_total_demand_misses: 1362
+ system.l1_cntrl0.L2cacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L2cacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L2cacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L2cacheMemory_request_type_LD: 38.5463%
+ system.l1_cntrl0.L2cacheMemory_request_type_ST: 14.0235%
+ system.l1_cntrl0.L2cacheMemory_request_type_IFETCH: 47.4302%
+
+ system.l1_cntrl0.L2cacheMemory_access_mode_type_Supervisor: 1362 100%
+
+ --- L1Cache ---
+ - Event Counts -
+Load [1193 ] 1193
+Ifetch [6425 ] 6425
+Store [892 ] 892
+L2_Replacement [1143 ] 1143
+L1_to_L2 [1354 ] 1354
+Trigger_L2_to_L1D [138 ] 138
+Trigger_L2_to_L1I [65 ] 65
+Complete_L2_to_L1 [203 ] 203
+Other_GETX [0 ] 0
+Other_GETS [0 ] 0
+Merged_GETS [0 ] 0
+Other_GETS_No_Mig [0 ] 0
+NC_DMA_GETS [0 ] 0
+Invalidate [0 ] 0
+Ack [0 ] 0
+Shared_Ack [0 ] 0
+Data [0 ] 0
+Shared_Data [0 ] 0
+Exclusive_Data [1159 ] 1159
+Writeback_Ack [1143 ] 1143
+Writeback_Nack [0 ] 0
+All_acks [0 ] 0
+All_acks_no_sharers [1159 ] 1159
+Flush_line [0 ] 0
+Block_Ack [0 ] 0
+
+ - Transitions -
+I Load [420 ] 420
+I Ifetch [581 ] 581
+I Store [158 ] 158
+I L2_Replacement [0 ] 0
+I L1_to_L2 [0 ] 0
+I Trigger_L2_to_L1D [0 ] 0
+I Trigger_L2_to_L1I [0 ] 0
+I Other_GETX [0 ] 0
+I Other_GETS [0 ] 0
+I Other_GETS_No_Mig [0 ] 0
+I NC_DMA_GETS [0 ] 0
+I Invalidate [0 ] 0
+I Flush_line [0 ] 0
+
+S Load [0 ] 0
+S Ifetch [0 ] 0
+S Store [0 ] 0
+S L2_Replacement [0 ] 0
+S L1_to_L2 [0 ] 0
+S Trigger_L2_to_L1D [0 ] 0
+S Trigger_L2_to_L1I [0 ] 0
+S Other_GETX [0 ] 0
+S Other_GETS [0 ] 0
+S Other_GETS_No_Mig [0 ] 0
+S NC_DMA_GETS [0 ] 0
+S Invalidate [0 ] 0
+S Flush_line [0 ] 0
+
+O Load [0 ] 0
+O Ifetch [0 ] 0
+O Store [0 ] 0
+O L2_Replacement [0 ] 0
+O L1_to_L2 [0 ] 0
+O Trigger_L2_to_L1D [0 ] 0
+O Trigger_L2_to_L1I [0 ] 0
+O Other_GETX [0 ] 0
+O Other_GETS [0 ] 0
+O Merged_GETS [0 ] 0
+O Other_GETS_No_Mig [0 ] 0
+O NC_DMA_GETS [0 ] 0
+O Invalidate [0 ] 0
+O Flush_line [0 ] 0
+
+M Load [306 ] 306
+M Ifetch [5768 ] 5768
+M Store [60 ] 60
+M L2_Replacement [923 ] 923
+M L1_to_L2 [1061 ] 1061
+M Trigger_L2_to_L1D [68 ] 68
+M Trigger_L2_to_L1I [65 ] 65
+M Other_GETX [0 ] 0
+M Other_GETS [0 ] 0
+M Merged_GETS [0 ] 0
+M Other_GETS_No_Mig [0 ] 0
+M NC_DMA_GETS [0 ] 0
+M Invalidate [0 ] 0
+M Flush_line [0 ] 0
+
+MM Load [354 ] 354
+MM Ifetch [0 ] 0
+MM Store [614 ] 614
+MM L2_Replacement [220 ] 220
+MM L1_to_L2 [293 ] 293
+MM Trigger_L2_to_L1D [70 ] 70
+MM Trigger_L2_to_L1I [0 ] 0
+MM Other_GETX [0 ] 0
+MM Other_GETS [0 ] 0
+MM Merged_GETS [0 ] 0
+MM Other_GETS_No_Mig [0 ] 0
+MM NC_DMA_GETS [0 ] 0
+MM Invalidate [0 ] 0
+MM Flush_line [0 ] 0
+
+IR Load [0 ] 0
+IR Ifetch [0 ] 0
+IR Store [0 ] 0
+IR L1_to_L2 [0 ] 0
+IR Flush_line [0 ] 0
+
+SR Load [0 ] 0
+SR Ifetch [0 ] 0
+SR Store [0 ] 0
+SR L1_to_L2 [0 ] 0
+SR Flush_line [0 ] 0
+
+OR Load [0 ] 0
+OR Ifetch [0 ] 0
+OR Store [0 ] 0
+OR L1_to_L2 [0 ] 0
+OR Flush_line [0 ] 0
+
+MR Load [62 ] 62
+MR Ifetch [65 ] 65
+MR Store [6 ] 6
+MR L1_to_L2 [0 ] 0
+MR Flush_line [0 ] 0
+
+MMR Load [43 ] 43
+MMR Ifetch [0 ] 0
+MMR Store [27 ] 27
+MMR L1_to_L2 [0 ] 0
+MMR Flush_line [0 ] 0
+
+IM Load [0 ] 0
+IM Ifetch [0 ] 0
+IM Store [0 ] 0
+IM L2_Replacement [0 ] 0
+IM L1_to_L2 [0 ] 0
+IM Other_GETX [0 ] 0
+IM Other_GETS [0 ] 0
+IM Other_GETS_No_Mig [0 ] 0
+IM NC_DMA_GETS [0 ] 0
+IM Invalidate [0 ] 0
+IM Ack [0 ] 0
+IM Data [0 ] 0
+IM Exclusive_Data [158 ] 158
+IM Flush_line [0 ] 0
+
+SM Load [0 ] 0
+SM Ifetch [0 ] 0
+SM Store [0 ] 0
+SM L2_Replacement [0 ] 0
+SM L1_to_L2 [0 ] 0
+SM Other_GETX [0 ] 0
+SM Other_GETS [0 ] 0
+SM Other_GETS_No_Mig [0 ] 0
+SM NC_DMA_GETS [0 ] 0
+SM Invalidate [0 ] 0
+SM Ack [0 ] 0
+SM Data [0 ] 0
+SM Exclusive_Data [0 ] 0
+SM Flush_line [0 ] 0
+
+OM Load [0 ] 0
+OM Ifetch [0 ] 0
+OM Store [0 ] 0
+OM L2_Replacement [0 ] 0
+OM L1_to_L2 [0 ] 0
+OM Other_GETX [0 ] 0
+OM Other_GETS [0 ] 0
+OM Merged_GETS [0 ] 0
+OM Other_GETS_No_Mig [0 ] 0
+OM NC_DMA_GETS [0 ] 0
+OM Invalidate [0 ] 0
+OM Ack [0 ] 0
+OM All_acks [0 ] 0
+OM All_acks_no_sharers [0 ] 0
+OM Flush_line [0 ] 0
+
+ISM Load [0 ] 0
+ISM Ifetch [0 ] 0
+ISM Store [0 ] 0
+ISM L2_Replacement [0 ] 0
+ISM L1_to_L2 [0 ] 0
+ISM Ack [0 ] 0
+ISM All_acks_no_sharers [0 ] 0
+ISM Flush_line [0 ] 0
+
+M_W Load [0 ] 0
+M_W Ifetch [0 ] 0
+M_W Store [0 ] 0
+M_W L2_Replacement [0 ] 0
+M_W L1_to_L2 [0 ] 0
+M_W Ack [0 ] 0
+M_W All_acks_no_sharers [1001 ] 1001
+M_W Flush_line [0 ] 0
+
+MM_W Load [0 ] 0
+MM_W Ifetch [0 ] 0
+MM_W Store [0 ] 0
+MM_W L2_Replacement [0 ] 0
+MM_W L1_to_L2 [0 ] 0
+MM_W Ack [0 ] 0
+MM_W All_acks_no_sharers [158 ] 158
+MM_W Flush_line [0 ] 0
+
+IS Load [0 ] 0
+IS Ifetch [0 ] 0
+IS Store [0 ] 0
+IS L2_Replacement [0 ] 0
+IS L1_to_L2 [0 ] 0
+IS Other_GETX [0 ] 0
+IS Other_GETS [0 ] 0
+IS Other_GETS_No_Mig [0 ] 0
+IS NC_DMA_GETS [0 ] 0
+IS Invalidate [0 ] 0
+IS Ack [0 ] 0
+IS Shared_Ack [0 ] 0
+IS Data [0 ] 0
+IS Shared_Data [0 ] 0
+IS Exclusive_Data [1001 ] 1001
+IS Flush_line [0 ] 0
+
+SS Load [0 ] 0
+SS Ifetch [0 ] 0
+SS Store [0 ] 0
+SS L2_Replacement [0 ] 0
+SS L1_to_L2 [0 ] 0
+SS Ack [0 ] 0
+SS Shared_Ack [0 ] 0
+SS All_acks [0 ] 0
+SS All_acks_no_sharers [0 ] 0
+SS Flush_line [0 ] 0
+
+OI Load [0 ] 0
+OI Ifetch [0 ] 0
+OI Store [0 ] 0
+OI L2_Replacement [0 ] 0
+OI L1_to_L2 [0 ] 0
+OI Other_GETX [0 ] 0
+OI Other_GETS [0 ] 0
+OI Merged_GETS [0 ] 0
+OI Other_GETS_No_Mig [0 ] 0
+OI NC_DMA_GETS [0 ] 0
+OI Invalidate [0 ] 0
+OI Writeback_Ack [0 ] 0
+OI Flush_line [0 ] 0
+
+MI Load [8 ] 8
+MI Ifetch [11 ] 11
+MI Store [27 ] 27
+MI L2_Replacement [0 ] 0
+MI L1_to_L2 [0 ] 0
+MI Other_GETX [0 ] 0
+MI Other_GETS [0 ] 0
+MI Merged_GETS [0 ] 0
+MI Other_GETS_No_Mig [0 ] 0
+MI NC_DMA_GETS [0 ] 0
+MI Invalidate [0 ] 0
+MI Writeback_Ack [1143 ] 1143
+MI Flush_line [0 ] 0
+
+II Load [0 ] 0
+II Ifetch [0 ] 0
+II Store [0 ] 0
+II L2_Replacement [0 ] 0
+II L1_to_L2 [0 ] 0
+II Other_GETX [0 ] 0
+II Other_GETS [0 ] 0
+II Other_GETS_No_Mig [0 ] 0
+II NC_DMA_GETS [0 ] 0
+II Invalidate [0 ] 0
+II Writeback_Ack [0 ] 0
+II Writeback_Nack [0 ] 0
+II Flush_line [0 ] 0
+
+IT Load [0 ] 0
+IT Ifetch [0 ] 0
+IT Store [0 ] 0
+IT L2_Replacement [0 ] 0
+IT L1_to_L2 [0 ] 0
+IT Complete_L2_to_L1 [0 ] 0
+
+ST Load [0 ] 0
+ST Ifetch [0 ] 0
+ST Store [0 ] 0
+ST L2_Replacement [0 ] 0
+ST L1_to_L2 [0 ] 0
+ST Complete_L2_to_L1 [0 ] 0
+
+OT Load [0 ] 0
+OT Ifetch [0 ] 0
+OT Store [0 ] 0
+OT L2_Replacement [0 ] 0
+OT L1_to_L2 [0 ] 0
+OT Complete_L2_to_L1 [0 ] 0
+
+MT Load [0 ] 0
+MT Ifetch [0 ] 0
+MT Store [0 ] 0
+MT L2_Replacement [0 ] 0
+MT L1_to_L2 [0 ] 0
+MT Complete_L2_to_L1 [133 ] 133
+
+MMT Load [0 ] 0
+MMT Ifetch [0 ] 0
+MMT Store [0 ] 0
+MMT L2_Replacement [0 ] 0
+MMT L1_to_L2 [0 ] 0
+MMT Complete_L2_to_L1 [70 ] 70
+
+MI_F Load [0 ] 0
+MI_F Ifetch [0 ] 0
+MI_F Store [0 ] 0
+MI_F L1_to_L2 [0 ] 0
+MI_F Writeback_Ack [0 ] 0
+MI_F Flush_line [0 ] 0
+
+MM_F Load [0 ] 0
+MM_F Ifetch [0 ] 0
+MM_F Store [0 ] 0
+MM_F L1_to_L2 [0 ] 0
+MM_F Other_GETX [0 ] 0
+MM_F Other_GETS [0 ] 0
+MM_F Merged_GETS [0 ] 0
+MM_F Other_GETS_No_Mig [0 ] 0
+MM_F NC_DMA_GETS [0 ] 0
+MM_F Invalidate [0 ] 0
+MM_F Ack [0 ] 0
+MM_F All_acks [0 ] 0
+MM_F All_acks_no_sharers [0 ] 0
+MM_F Flush_line [0 ] 0
+MM_F Block_Ack [0 ] 0
+
+IM_F Load [0 ] 0
+IM_F Ifetch [0 ] 0
+IM_F Store [0 ] 0
+IM_F L2_Replacement [0 ] 0
+IM_F L1_to_L2 [0 ] 0
+IM_F Other_GETX [0 ] 0
+IM_F Other_GETS [0 ] 0
+IM_F Other_GETS_No_Mig [0 ] 0
+IM_F NC_DMA_GETS [0 ] 0
+IM_F Invalidate [0 ] 0
+IM_F Ack [0 ] 0
+IM_F Data [0 ] 0
+IM_F Exclusive_Data [0 ] 0
+IM_F Flush_line [0 ] 0
+
+ISM_F Load [0 ] 0
+ISM_F Ifetch [0 ] 0
+ISM_F Store [0 ] 0
+ISM_F L2_Replacement [0 ] 0
+ISM_F L1_to_L2 [0 ] 0
+ISM_F Ack [0 ] 0
+ISM_F All_acks_no_sharers [0 ] 0
+ISM_F Flush_line [0 ] 0
+
+SM_F Load [0 ] 0
+SM_F Ifetch [0 ] 0
+SM_F Store [0 ] 0
+SM_F L2_Replacement [0 ] 0
+SM_F L1_to_L2 [0 ] 0
+SM_F Other_GETX [0 ] 0
+SM_F Other_GETS [0 ] 0
+SM_F Other_GETS_No_Mig [0 ] 0
+SM_F NC_DMA_GETS [0 ] 0
+SM_F Invalidate [0 ] 0
+SM_F Ack [0 ] 0
+SM_F Data [0 ] 0
+SM_F Exclusive_Data [0 ] 0
+SM_F Flush_line [0 ] 0
+
+OM_F Load [0 ] 0
+OM_F Ifetch [0 ] 0
+OM_F Store [0 ] 0
+OM_F L2_Replacement [0 ] 0
+OM_F L1_to_L2 [0 ] 0
+OM_F Other_GETX [0 ] 0
+OM_F Other_GETS [0 ] 0
+OM_F Merged_GETS [0 ] 0
+OM_F Other_GETS_No_Mig [0 ] 0
+OM_F NC_DMA_GETS [0 ] 0
+OM_F Invalidate [0 ] 0
+OM_F Ack [0 ] 0
+OM_F All_acks [0 ] 0
+OM_F All_acks_no_sharers [0 ] 0
+OM_F Flush_line [0 ] 0
+
+MM_WF Load [0 ] 0
+MM_WF Ifetch [0 ] 0
+MM_WF Store [0 ] 0
+MM_WF L2_Replacement [0 ] 0
+MM_WF L1_to_L2 [0 ] 0
+MM_WF Ack [0 ] 0
+MM_WF All_acks_no_sharers [0 ] 0
+MM_WF Flush_line [0 ] 0
+
+Cache Stats: system.dir_cntrl0.probeFilter
+ system.dir_cntrl0.probeFilter_total_misses: 0
+ system.dir_cntrl0.probeFilter_total_demand_misses: 0
+ system.dir_cntrl0.probeFilter_total_prefetches: 0
+ system.dir_cntrl0.probeFilter_total_sw_prefetches: 0
+ system.dir_cntrl0.probeFilter_total_hw_prefetches: 0
+
+
+Memory controller: system.dir_cntrl0.memBuffer:
+ memory_total_requests: 1379
+ memory_reads: 1159
+ memory_writes: 220
+ memory_refreshes: 435
+ memory_total_request_delays: 495
+ memory_delays_per_request: 0.358956
+ memory_delays_in_input_queue: 3
+ memory_delays_behind_head_of_bank_queue: 0
+ memory_delays_stalled_at_head_of_bank_queue: 492
+ memory_stalls_for_bank_busy: 124
+ memory_stalls_for_random_busy: 0
+ memory_stalls_for_anti_starvation: 0
+ memory_stalls_for_arbitration: 23
+ memory_stalls_for_bus: 78
+ memory_stalls_for_tfaw: 0
+ memory_stalls_for_read_write_turnaround: 267
+ memory_stalls_for_read_read_turnaround: 0
+ accesses_per_bank: 75 17 45 40 54 101 33 16 20 22 32 34 53 50 39 31 39 22 21 27 28 38 81 22 31 23 32 72 89 126 14 52
+
+ --- Directory ---
+ - Event Counts -
+GETX [189 ] 189
+GETS [1027 ] 1027
+PUT [1143 ] 1143
+Unblock [0 ] 0
+UnblockS [0 ] 0
+UnblockM [1159 ] 1159
+Writeback_Clean [0 ] 0
+Writeback_Dirty [0 ] 0
+Writeback_Exclusive_Clean [923 ] 923
+Writeback_Exclusive_Dirty [220 ] 220
+Pf_Replacement [0 ] 0
+DMA_READ [0 ] 0
+DMA_WRITE [0 ] 0
+Memory_Data [1159 ] 1159
+Memory_Ack [220 ] 220
+Ack [0 ] 0
+Shared_Ack [0 ] 0
+Shared_Data [0 ] 0
+Data [0 ] 0
+Exclusive_Data [0 ] 0
+All_acks_and_shared_data [0 ] 0
+All_acks_and_owner_data [0 ] 0
+All_acks_and_data_no_sharers [0 ] 0
+All_Unblocks [0 ] 0
+GETF [0 ] 0
+PUTF [0 ] 0
+
+ - Transitions -
+NX GETX [0 ] 0
+NX GETS [0 ] 0
+NX PUT [0 ] 0
+NX Pf_Replacement [0 ] 0
+NX DMA_READ [0 ] 0
+NX DMA_WRITE [0 ] 0
+NX GETF [0 ] 0
+
+NO GETX [0 ] 0
+NO GETS [0 ] 0
+NO PUT [1143 ] 1143
+NO Pf_Replacement [0 ] 0
+NO DMA_READ [0 ] 0
+NO DMA_WRITE [0 ] 0
+NO GETF [0 ] 0
+
+S GETX [0 ] 0
+S GETS [0 ] 0
+S PUT [0 ] 0
+S Pf_Replacement [0 ] 0
+S DMA_READ [0 ] 0
+S DMA_WRITE [0 ] 0
+S GETF [0 ] 0
+
+O GETX [0 ] 0
+O GETS [0 ] 0
+O PUT [0 ] 0
+O Pf_Replacement [0 ] 0
+O DMA_READ [0 ] 0
+O DMA_WRITE [0 ] 0
+O GETF [0 ] 0
+
+E GETX [158 ] 158
+E GETS [1001 ] 1001
+E PUT [0 ] 0
+E DMA_READ [0 ] 0
+E DMA_WRITE [0 ] 0
+E GETF [0 ] 0
+
+O_R GETX [0 ] 0
+O_R GETS [0 ] 0
+O_R PUT [0 ] 0
+O_R Pf_Replacement [0 ] 0
+O_R DMA_READ [0 ] 0
+O_R DMA_WRITE [0 ] 0
+O_R Ack [0 ] 0
+O_R All_acks_and_data_no_sharers [0 ] 0
+O_R GETF [0 ] 0
+
+S_R GETX [0 ] 0
+S_R GETS [0 ] 0
+S_R PUT [0 ] 0
+S_R Pf_Replacement [0 ] 0
+S_R DMA_READ [0 ] 0
+S_R DMA_WRITE [0 ] 0
+S_R Ack [0 ] 0
+S_R Data [0 ] 0
+S_R All_acks_and_data_no_sharers [0 ] 0
+S_R GETF [0 ] 0
+
+NO_R GETX [0 ] 0
+NO_R GETS [0 ] 0
+NO_R PUT [0 ] 0
+NO_R Pf_Replacement [0 ] 0
+NO_R DMA_READ [0 ] 0
+NO_R DMA_WRITE [0 ] 0
+NO_R Ack [0 ] 0
+NO_R Data [0 ] 0
+NO_R Exclusive_Data [0 ] 0
+NO_R All_acks_and_data_no_sharers [0 ] 0
+NO_R GETF [0 ] 0
+
+NO_B GETX [0 ] 0
+NO_B GETS [0 ] 0
+NO_B PUT [0 ] 0
+NO_B UnblockS [0 ] 0
+NO_B UnblockM [1159 ] 1159
+NO_B Pf_Replacement [0 ] 0
+NO_B DMA_READ [0 ] 0
+NO_B DMA_WRITE [0 ] 0
+NO_B GETF [0 ] 0
+
+NO_B_X GETX [0 ] 0
+NO_B_X GETS [0 ] 0
+NO_B_X PUT [0 ] 0
+NO_B_X UnblockS [0 ] 0
+NO_B_X UnblockM [0 ] 0
+NO_B_X Pf_Replacement [0 ] 0
+NO_B_X DMA_READ [0 ] 0
+NO_B_X DMA_WRITE [0 ] 0
+NO_B_X GETF [0 ] 0
+
+NO_B_S GETX [0 ] 0
+NO_B_S GETS [0 ] 0
+NO_B_S PUT [0 ] 0
+NO_B_S UnblockS [0 ] 0
+NO_B_S UnblockM [0 ] 0
+NO_B_S Pf_Replacement [0 ] 0
+NO_B_S DMA_READ [0 ] 0
+NO_B_S DMA_WRITE [0 ] 0
+NO_B_S GETF [0 ] 0
+
+NO_B_S_W GETX [0 ] 0
+NO_B_S_W GETS [0 ] 0
+NO_B_S_W PUT [0 ] 0
+NO_B_S_W UnblockS [0 ] 0
+NO_B_S_W Pf_Replacement [0 ] 0
+NO_B_S_W DMA_READ [0 ] 0
+NO_B_S_W DMA_WRITE [0 ] 0
+NO_B_S_W All_Unblocks [0 ] 0
+NO_B_S_W GETF [0 ] 0
+
+O_B GETX [0 ] 0
+O_B GETS [0 ] 0
+O_B PUT [0 ] 0
+O_B UnblockS [0 ] 0
+O_B UnblockM [0 ] 0
+O_B Pf_Replacement [0 ] 0
+O_B DMA_READ [0 ] 0
+O_B DMA_WRITE [0 ] 0
+O_B GETF [0 ] 0
+
+NO_B_W GETX [0 ] 0
+NO_B_W GETS [0 ] 0
+NO_B_W PUT [0 ] 0
+NO_B_W UnblockS [0 ] 0
+NO_B_W UnblockM [0 ] 0
+NO_B_W Pf_Replacement [0 ] 0
+NO_B_W DMA_READ [0 ] 0
+NO_B_W DMA_WRITE [0 ] 0
+NO_B_W Memory_Data [1159 ] 1159
+NO_B_W GETF [0 ] 0
+
+O_B_W GETX [0 ] 0
+O_B_W GETS [0 ] 0
+O_B_W PUT [0 ] 0
+O_B_W UnblockS [0 ] 0
+O_B_W Pf_Replacement [0 ] 0
+O_B_W DMA_READ [0 ] 0
+O_B_W DMA_WRITE [0 ] 0
+O_B_W Memory_Data [0 ] 0
+O_B_W GETF [0 ] 0
+
+NO_W GETX [0 ] 0
+NO_W GETS [0 ] 0
+NO_W PUT [0 ] 0
+NO_W Pf_Replacement [0 ] 0
+NO_W DMA_READ [0 ] 0
+NO_W DMA_WRITE [0 ] 0
+NO_W Memory_Data [0 ] 0
+NO_W GETF [0 ] 0
+
+O_W GETX [0 ] 0
+O_W GETS [0 ] 0
+O_W PUT [0 ] 0
+O_W Pf_Replacement [0 ] 0
+O_W DMA_READ [0 ] 0
+O_W DMA_WRITE [0 ] 0
+O_W Memory_Data [0 ] 0
+O_W GETF [0 ] 0
+
+NO_DW_B_W GETX [0 ] 0
+NO_DW_B_W GETS [0 ] 0
+NO_DW_B_W PUT [0 ] 0
+NO_DW_B_W Pf_Replacement [0 ] 0
+NO_DW_B_W DMA_READ [0 ] 0
+NO_DW_B_W DMA_WRITE [0 ] 0
+NO_DW_B_W Ack [0 ] 0
+NO_DW_B_W Data [0 ] 0
+NO_DW_B_W Exclusive_Data [0 ] 0
+NO_DW_B_W All_acks_and_data_no_sharers [0 ] 0
+NO_DW_B_W GETF [0 ] 0
+
+NO_DR_B_W GETX [0 ] 0
+NO_DR_B_W GETS [0 ] 0
+NO_DR_B_W PUT [0 ] 0
+NO_DR_B_W Pf_Replacement [0 ] 0
+NO_DR_B_W DMA_READ [0 ] 0
+NO_DR_B_W DMA_WRITE [0 ] 0
+NO_DR_B_W Memory_Data [0 ] 0
+NO_DR_B_W Ack [0 ] 0
+NO_DR_B_W Shared_Ack [0 ] 0
+NO_DR_B_W Shared_Data [0 ] 0
+NO_DR_B_W Data [0 ] 0
+NO_DR_B_W Exclusive_Data [0 ] 0
+NO_DR_B_W GETF [0 ] 0
+
+NO_DR_B_D GETX [0 ] 0
+NO_DR_B_D GETS [0 ] 0
+NO_DR_B_D PUT [0 ] 0
+NO_DR_B_D Pf_Replacement [0 ] 0
+NO_DR_B_D DMA_READ [0 ] 0
+NO_DR_B_D DMA_WRITE [0 ] 0
+NO_DR_B_D Ack [0 ] 0
+NO_DR_B_D Shared_Ack [0 ] 0
+NO_DR_B_D Shared_Data [0 ] 0
+NO_DR_B_D Data [0 ] 0
+NO_DR_B_D Exclusive_Data [0 ] 0
+NO_DR_B_D All_acks_and_shared_data [0 ] 0
+NO_DR_B_D All_acks_and_owner_data [0 ] 0
+NO_DR_B_D All_acks_and_data_no_sharers [0 ] 0
+NO_DR_B_D GETF [0 ] 0
+
+NO_DR_B GETX [0 ] 0
+NO_DR_B GETS [0 ] 0
+NO_DR_B PUT [0 ] 0
+NO_DR_B Pf_Replacement [0 ] 0
+NO_DR_B DMA_READ [0 ] 0
+NO_DR_B DMA_WRITE [0 ] 0
+NO_DR_B Ack [0 ] 0
+NO_DR_B Shared_Ack [0 ] 0
+NO_DR_B Shared_Data [0 ] 0
+NO_DR_B Data [0 ] 0
+NO_DR_B Exclusive_Data [0 ] 0
+NO_DR_B All_acks_and_shared_data [0 ] 0
+NO_DR_B All_acks_and_owner_data [0 ] 0
+NO_DR_B All_acks_and_data_no_sharers [0 ] 0
+NO_DR_B GETF [0 ] 0
+
+NO_DW_W GETX [0 ] 0
+NO_DW_W GETS [0 ] 0
+NO_DW_W PUT [0 ] 0
+NO_DW_W Pf_Replacement [0 ] 0
+NO_DW_W DMA_READ [0 ] 0
+NO_DW_W DMA_WRITE [0 ] 0
+NO_DW_W Memory_Ack [0 ] 0
+NO_DW_W GETF [0 ] 0
+
+O_DR_B_W GETX [0 ] 0
+O_DR_B_W GETS [0 ] 0
+O_DR_B_W PUT [0 ] 0
+O_DR_B_W Pf_Replacement [0 ] 0
+O_DR_B_W DMA_READ [0 ] 0
+O_DR_B_W DMA_WRITE [0 ] 0
+O_DR_B_W Memory_Data [0 ] 0
+O_DR_B_W Ack [0 ] 0
+O_DR_B_W Shared_Ack [0 ] 0
+O_DR_B_W GETF [0 ] 0
+
+O_DR_B GETX [0 ] 0
+O_DR_B GETS [0 ] 0
+O_DR_B PUT [0 ] 0
+O_DR_B Pf_Replacement [0 ] 0
+O_DR_B DMA_READ [0 ] 0
+O_DR_B DMA_WRITE [0 ] 0
+O_DR_B Ack [0 ] 0
+O_DR_B Shared_Ack [0 ] 0
+O_DR_B All_acks_and_owner_data [0 ] 0
+O_DR_B All_acks_and_data_no_sharers [0 ] 0
+O_DR_B GETF [0 ] 0
+
+WB GETX [27 ] 27
+WB GETS [19 ] 19
+WB PUT [0 ] 0
+WB Unblock [0 ] 0
+WB Writeback_Clean [0 ] 0
+WB Writeback_Dirty [0 ] 0
+WB Writeback_Exclusive_Clean [923 ] 923
+WB Writeback_Exclusive_Dirty [220 ] 220
+WB Pf_Replacement [0 ] 0
+WB DMA_READ [0 ] 0
+WB DMA_WRITE [0 ] 0
+WB GETF [0 ] 0
+
+WB_O_W GETX [0 ] 0
+WB_O_W GETS [0 ] 0
+WB_O_W PUT [0 ] 0
+WB_O_W Pf_Replacement [0 ] 0
+WB_O_W DMA_READ [0 ] 0
+WB_O_W DMA_WRITE [0 ] 0
+WB_O_W Memory_Ack [0 ] 0
+WB_O_W GETF [0 ] 0
+
+WB_E_W GETX [4 ] 4
+WB_E_W GETS [7 ] 7
+WB_E_W PUT [0 ] 0
+WB_E_W Pf_Replacement [0 ] 0
+WB_E_W DMA_READ [0 ] 0
+WB_E_W DMA_WRITE [0 ] 0
+WB_E_W Memory_Ack [220 ] 220
+WB_E_W GETF [0 ] 0
+
+NO_F GETX [0 ] 0
+NO_F GETS [0 ] 0
+NO_F PUT [0 ] 0
+NO_F UnblockM [0 ] 0
+NO_F Pf_Replacement [0 ] 0
+NO_F GETF [0 ] 0
+NO_F PUTF [0 ] 0
+
+NO_F_W GETX [0 ] 0
+NO_F_W GETS [0 ] 0
+NO_F_W PUT [0 ] 0
+NO_F_W Pf_Replacement [0 ] 0
+NO_F_W DMA_READ [0 ] 0
+NO_F_W DMA_WRITE [0 ] 0
+NO_F_W Memory_Data [0 ] 0
+NO_F_W GETF [0 ] 0
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/simerr b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/simout b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/simout
new file mode 100755
index 000000000..fa89dfcd6
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:42:19
+gem5 started Jan 23 2012 04:21:43
+gem5 executing on zizzer
+command line: build/ALPHA_SE_MOESI_hammer/gem5.opt -d build/ALPHA_SE_MOESI_hammer/tests/opt/quick/00.hello/alpha/linux/simple-timing-ruby-MOESI_hammer -re tests/run.py build/ALPHA_SE_MOESI_hammer/tests/opt/quick/00.hello/alpha/linux/simple-timing-ruby-MOESI_hammer
+Global frequency set at 1000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 208400 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/stats.txt
new file mode 100644
index 000000000..dfbcac63c
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby-MOESI_hammer/stats.txt
@@ -0,0 +1,77 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000208 # Number of seconds simulated
+sim_ticks 208400 # Number of ticks simulated
+final_tick 208400 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000 # Frequency of simulated ticks
+host_inst_rate 24253 # Simulator instruction rate (inst/s)
+host_tick_rate 789193 # Simulator tick rate (ticks/s)
+host_mem_usage 217184 # Number of bytes of host memory used
+host_seconds 0.26 # Real time elapsed on the host
+sim_insts 6404 # Number of instructions simulated
+system.physmem.bytes_read 34460 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 25656 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 6696 # Number of bytes written to this memory
+system.physmem.num_reads 7599 # Number of read requests responded to by this memory
+system.physmem.num_writes 865 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 165355086 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 123109405 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 32130518 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 197485605 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 1185 # DTB read hits
+system.cpu.dtb.read_misses 7 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 1192 # DTB read accesses
+system.cpu.dtb.write_hits 865 # DTB write hits
+system.cpu.dtb.write_misses 3 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 868 # DTB write accesses
+system.cpu.dtb.data_hits 2050 # DTB hits
+system.cpu.dtb.data_misses 10 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 2060 # DTB accesses
+system.cpu.itb.fetch_hits 6415 # ITB hits
+system.cpu.itb.fetch_misses 17 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 6432 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 17 # Number of system calls
+system.cpu.numCycles 208400 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 6404 # Number of instructions executed
+system.cpu.num_int_alu_accesses 6331 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 10 # Number of float alu accesses
+system.cpu.num_func_calls 251 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 750 # number of instructions that are conditional controls
+system.cpu.num_int_insts 6331 # number of integer instructions
+system.cpu.num_fp_insts 10 # number of float instructions
+system.cpu.num_int_register_reads 8304 # number of times the integer registers were read
+system.cpu.num_int_register_writes 4581 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 8 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 2 # number of times the floating registers were written
+system.cpu.num_mem_refs 2060 # number of memory refs
+system.cpu.num_load_insts 1192 # Number of load instructions
+system.cpu.num_store_insts 868 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 208400 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/config.ini
new file mode 100644
index 000000000..0772d2ee5
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/config.ini
@@ -0,0 +1,268 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000
+time_sync_spin_threshold=100000
+
+[system]
+type=System
+children=cpu dir_cntrl0 l1_cntrl0 physmem ruby sys_port_proxy
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=1
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.l1_cntrl0.sequencer.port[1]
+icache_port=system.l1_cntrl0.sequencer.port[0]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer
+buffer_size=0
+cntrl_id=1
+directory=system.dir_cntrl0.directory
+directory_latency=12
+memBuffer=system.dir_cntrl0.memBuffer
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=cacheMemory sequencer
+buffer_size=0
+cacheMemory=system.l1_cntrl0.cacheMemory
+cache_response_latency=12
+cntrl_id=0
+issue_latency=2
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+sequencer=system.l1_cntrl0.sequencer
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.cacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.cacheMemory
+max_outstanding_requests=16
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[0]
+port=system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.l1_cntrl0.sequencer.physMemPort system.sys_port_proxy.physMemPort
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=1
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 int_links0 int_links1 routers0 routers1 routers2
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=2
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=3
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=1
+ruby_system=system.ruby
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[1]
+port=system.system_port
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/ruby.stats b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/ruby.stats
new file mode 100644
index 000000000..c9b06e2ad
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/ruby.stats
@@ -0,0 +1,311 @@
+
+================ Begin RubySystem Configuration Print ================
+
+RubySystem config:
+ random_seed: 1234
+ randomization: 0
+ cycle_period: 1
+ block_size_bytes: 64
+ block_size_bits: 6
+ memory_size_bytes: 134217728
+ memory_size_bits: 27
+
+Network Configuration
+---------------------
+network: SIMPLE_NETWORK
+topology:
+
+virtual_net_0: active, ordered
+virtual_net_1: active, ordered
+virtual_net_2: active, ordered
+virtual_net_3: active, ordered
+virtual_net_4: active, ordered
+virtual_net_5: inactive
+virtual_net_6: inactive
+virtual_net_7: inactive
+virtual_net_8: inactive
+virtual_net_9: inactive
+
+
+Profiler Configuration
+----------------------
+periodic_stats_period: 1000000
+
+================ End RubySystem Configuration Print ================
+
+
+Real time: Jan/23/2012 04:58:59
+
+Profiler Stats
+--------------
+Elapsed_time_in_seconds: 0
+Elapsed_time_in_minutes: 0
+Elapsed_time_in_hours: 0
+Elapsed_time_in_days: 0
+
+Virtual_time_in_seconds: 0.3
+Virtual_time_in_minutes: 0.005
+Virtual_time_in_hours: 8.33333e-05
+Virtual_time_in_days: 3.47222e-06
+
+Ruby_current_time: 342698
+Ruby_start_time: 0
+Ruby_cycles: 342698
+
+mbytes_resident: 44.5703
+mbytes_total: 213.352
+resident_ratio: 0.208905
+
+ruby_cycles_executed: [ 342699 ]
+
+Busy Controller Counts:
+L1Cache-0:0
+Directory-0:0
+
+
+Busy Bank Count:0
+
+sequencer_requests_outstanding: [binsize: 1 max: 1 count: 8465 average: 1 | standard deviation: 0 | 0 8465 ]
+
+All Non-Zero Cycle Demand Cache Accesses
+----------------------------------------
+miss_latency: [binsize: 2 max: 377 count: 8464 average: 39.4889 | standard deviation: 72.9776 | 0 6734 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 1 6 1 3 6 0 334 211 182 529 243 4 4 0 5 2 15 9 4 14 10 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 30 18 15 24 37 2 3 0 0 2 2 1 1 3 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 ]
+miss_latency_LD: [binsize: 2 max: 375 count: 1185 average: 110.608 | standard deviation: 87.0282 | 0 458 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 3 0 1 2 0 147 90 74 255 81 2 1 0 3 0 12 3 1 9 6 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9 4 2 11 4 0 2 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST: [binsize: 2 max: 377 count: 865 average: 62.2439 | standard deviation: 89.6671 | 0 592 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 32 30 31 54 70 0 0 0 1 0 1 0 2 2 4 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 5 8 3 21 0 0 0 0 0 0 0 1 2 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH: [binsize: 2 max: 285 count: 6414 average: 23.2806 | standard deviation: 57.2661 | 0 5684 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 3 1 2 4 0 155 91 77 220 92 2 3 0 1 2 2 6 1 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 20 9 5 10 12 2 1 0 0 1 2 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_L1Cache: [binsize: 1 max: 3 count: 6734 average: 3 | standard deviation: 0 | 0 0 0 6734 ]
+miss_latency_Directory: [binsize: 2 max: 377 count: 1730 average: 181.521 | standard deviation: 26.4115 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 1 6 1 3 6 0 334 211 182 529 243 4 4 0 5 2 15 9 4 14 10 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 30 18 15 24 37 2 3 0 0 2 2 1 1 3 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 ]
+miss_latency_wCC_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_wCC_Times: 0
+miss_latency_dir_issue_to_initial_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_initial_forward_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_forward_to_first_response: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_first_response_to_completion: [binsize: 1 max: 159 count: 1 average: 159 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+imcomplete_dir_Times: 1729
+miss_latency_LD_L1Cache: [binsize: 1 max: 3 count: 458 average: 3 | standard deviation: 0 | 0 0 0 458 ]
+miss_latency_LD_Directory: [binsize: 2 max: 375 count: 727 average: 178.4 | standard deviation: 21.0913 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 3 0 1 2 0 147 90 74 255 81 2 1 0 3 0 12 3 1 9 6 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9 4 2 11 4 0 2 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST_L1Cache: [binsize: 1 max: 3 count: 592 average: 3 | standard deviation: 0 | 0 0 0 592 ]
+miss_latency_ST_Directory: [binsize: 2 max: 377 count: 273 average: 190.714 | standard deviation: 36.5384 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 32 30 31 54 70 0 0 0 1 0 1 0 2 2 4 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 5 8 3 21 0 0 0 0 0 0 0 1 2 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH_L1Cache: [binsize: 1 max: 3 count: 5684 average: 3 | standard deviation: 0 | 0 0 0 5684 ]
+miss_latency_IFETCH_Directory: [binsize: 2 max: 285 count: 730 average: 181.192 | standard deviation: 25.9199 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 3 1 2 4 0 155 91 77 220 92 2 3 0 1 2 2 6 1 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 20 9 5 10 12 2 1 0 0 1 2 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+
+All Non-Zero Cycle SW Prefetch Requests
+------------------------------------
+prefetch_latency: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+prefetch_latency_L2Miss:[binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Request vs. RubySystem State Profile
+--------------------------------
+
+
+filter_action: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Message Delayed Cycles
+----------------------
+Total_delay_cycles: [binsize: 1 max: 0 count: 3456 average: 0 | standard deviation: 0 | 3456 ]
+Total_nonPF_delay_cycles: [binsize: 1 max: 0 count: 3456 average: 0 | standard deviation: 0 | 3456 ]
+ virtual_network_0_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_1_delay_cycles: [binsize: 1 max: 0 count: 1730 average: 0 | standard deviation: 0 | 1730 ]
+ virtual_network_2_delay_cycles: [binsize: 1 max: 0 count: 1726 average: 0 | standard deviation: 0 | 1726 ]
+ virtual_network_3_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_4_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_5_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_6_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_7_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_8_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_9_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Resource Usage
+--------------
+page_size: 4096
+user_time: 0
+system_time: 0
+page_reclaims: 11770
+page_faults: 1
+swaps: 0
+block_inputs: 8
+block_outputs: 88
+
+Network Stats
+-------------
+
+total_msg_count_Control: 5190 41520
+total_msg_count_Data: 5178 372816
+total_msg_count_Response_Data: 5190 373680
+total_msg_count_Writeback_Control: 5178 41424
+total_msgs: 20736 total_bytes: 829440
+
+switch_0_inlinks: 2
+switch_0_outlinks: 2
+links_utilized_percent_switch_0: 2.52117
+ links_utilized_percent_switch_0_link_0: 2.5235 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_0_link_1: 2.51884 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_0_link_0_Response_Data: 1730 124560 [ 0 0 0 0 1730 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Writeback_Control: 1726 13808 [ 0 0 0 1726 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Control: 1730 13840 [ 0 0 1730 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Data: 1726 124272 [ 0 0 1726 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_1_inlinks: 2
+switch_1_outlinks: 2
+links_utilized_percent_switch_1: 2.52117
+ links_utilized_percent_switch_1_link_0: 2.51884 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_1_link_1: 2.5235 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_1_link_0_Control: 1730 13840 [ 0 0 1730 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Data: 1726 124272 [ 0 0 1726 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Data: 1730 124560 [ 0 0 0 0 1730 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Control: 1726 13808 [ 0 0 0 1726 0 0 0 0 0 0 ] base_latency: 1
+
+switch_2_inlinks: 2
+switch_2_outlinks: 2
+links_utilized_percent_switch_2: 2.52117
+ links_utilized_percent_switch_2_link_0: 2.5235 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_2_link_1: 2.51884 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_2_link_0_Response_Data: 1730 124560 [ 0 0 0 0 1730 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Control: 1726 13808 [ 0 0 0 1726 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Control: 1730 13840 [ 0 0 1730 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Data: 1726 124272 [ 0 0 1726 0 0 0 0 0 0 0 ] base_latency: 1
+
+Cache Stats: system.l1_cntrl0.cacheMemory
+ system.l1_cntrl0.cacheMemory_total_misses: 1730
+ system.l1_cntrl0.cacheMemory_total_demand_misses: 1730
+ system.l1_cntrl0.cacheMemory_total_prefetches: 0
+ system.l1_cntrl0.cacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.cacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.cacheMemory_request_type_LD: 42.0231%
+ system.l1_cntrl0.cacheMemory_request_type_ST: 15.7803%
+ system.l1_cntrl0.cacheMemory_request_type_IFETCH: 42.1965%
+
+ system.l1_cntrl0.cacheMemory_access_mode_type_Supervisor: 1730 100%
+
+ --- L1Cache ---
+ - Event Counts -
+Load [1185 ] 1185
+Ifetch [6414 ] 6414
+Store [865 ] 865
+Data [1730 ] 1730
+Fwd_GETX [0 ] 0
+Inv [0 ] 0
+Replacement [1726 ] 1726
+Writeback_Ack [1726 ] 1726
+Writeback_Nack [0 ] 0
+
+ - Transitions -
+I Load [727 ] 727
+I Ifetch [730 ] 730
+I Store [273 ] 273
+I Inv [0 ] 0
+I Replacement [0 ] 0
+
+II Writeback_Nack [0 ] 0
+
+M Load [458 ] 458
+M Ifetch [5684 ] 5684
+M Store [592 ] 592
+M Fwd_GETX [0 ] 0
+M Inv [0 ] 0
+M Replacement [1726 ] 1726
+
+MI Fwd_GETX [0 ] 0
+MI Inv [0 ] 0
+MI Writeback_Ack [1726 ] 1726
+MI Writeback_Nack [0 ] 0
+
+MII Fwd_GETX [0 ] 0
+
+IS Data [1457 ] 1457
+
+IM Data [273 ] 273
+
+Memory controller: system.dir_cntrl0.memBuffer:
+ memory_total_requests: 3456
+ memory_reads: 1730
+ memory_writes: 1726
+ memory_refreshes: 714
+ memory_total_request_delays: 4411
+ memory_delays_per_request: 1.27633
+ memory_delays_in_input_queue: 1083
+ memory_delays_behind_head_of_bank_queue: 8
+ memory_delays_stalled_at_head_of_bank_queue: 3320
+ memory_stalls_for_bank_busy: 1509
+ memory_stalls_for_random_busy: 0
+ memory_stalls_for_anti_starvation: 0
+ memory_stalls_for_arbitration: 99
+ memory_stalls_for_bus: 1677
+ memory_stalls_for_tfaw: 0
+ memory_stalls_for_read_write_turnaround: 35
+ memory_stalls_for_read_read_turnaround: 0
+ accesses_per_bank: 162 36 92 110 106 362 98 36 32 34 83 92 110 104 84 86 83 53 50 58 64 124 212 72 66 50 122 190 220 325 42 98
+
+ --- Directory ---
+ - Event Counts -
+GETX [1730 ] 1730
+GETS [0 ] 0
+PUTX [1726 ] 1726
+PUTX_NotOwner [0 ] 0
+DMA_READ [0 ] 0
+DMA_WRITE [0 ] 0
+Memory_Data [1730 ] 1730
+Memory_Ack [1726 ] 1726
+
+ - Transitions -
+I GETX [1730 ] 1730
+I PUTX_NotOwner [0 ] 0
+I DMA_READ [0 ] 0
+I DMA_WRITE [0 ] 0
+
+M GETX [0 ] 0
+M PUTX [1726 ] 1726
+M PUTX_NotOwner [0 ] 0
+M DMA_READ [0 ] 0
+M DMA_WRITE [0 ] 0
+
+M_DRD GETX [0 ] 0
+M_DRD PUTX [0 ] 0
+
+M_DWR GETX [0 ] 0
+M_DWR PUTX [0 ] 0
+
+M_DWRI GETX [0 ] 0
+M_DWRI Memory_Ack [0 ] 0
+
+M_DRDI GETX [0 ] 0
+M_DRDI Memory_Ack [0 ] 0
+
+IM GETX [0 ] 0
+IM GETS [0 ] 0
+IM PUTX [0 ] 0
+IM PUTX_NotOwner [0 ] 0
+IM DMA_READ [0 ] 0
+IM DMA_WRITE [0 ] 0
+IM Memory_Data [1730 ] 1730
+
+MI GETX [0 ] 0
+MI GETS [0 ] 0
+MI PUTX [0 ] 0
+MI PUTX_NotOwner [0 ] 0
+MI DMA_READ [0 ] 0
+MI DMA_WRITE [0 ] 0
+MI Memory_Ack [1726 ] 1726
+
+ID GETX [0 ] 0
+ID GETS [0 ] 0
+ID PUTX [0 ] 0
+ID PUTX_NotOwner [0 ] 0
+ID DMA_READ [0 ] 0
+ID DMA_WRITE [0 ] 0
+ID Memory_Data [0 ] 0
+
+ID_W GETX [0 ] 0
+ID_W GETS [0 ] 0
+ID_W PUTX [0 ] 0
+ID_W PUTX_NotOwner [0 ] 0
+ID_W DMA_READ [0 ] 0
+ID_W DMA_WRITE [0 ] 0
+ID_W Memory_Ack [0 ] 0
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simerr b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simout b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simout
new file mode 100755
index 000000000..9cf822901
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:48:33
+gem5 started Jan 23 2012 04:58:59
+gem5 executing on zizzer
+command line: build/ALPHA_SE/gem5.opt -d build/ALPHA_SE/tests/opt/quick/00.hello/alpha/linux/simple-timing-ruby -re tests/run.py build/ALPHA_SE/tests/opt/quick/00.hello/alpha/linux/simple-timing-ruby
+Global frequency set at 1000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 342698 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/stats.txt
new file mode 100644
index 000000000..beb747c41
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing-ruby/stats.txt
@@ -0,0 +1,77 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000343 # Number of seconds simulated
+sim_ticks 342698 # Number of ticks simulated
+final_tick 342698 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000 # Frequency of simulated ticks
+host_inst_rate 32385 # Simulator instruction rate (inst/s)
+host_tick_rate 1732860 # Simulator tick rate (ticks/s)
+host_mem_usage 218476 # Number of bytes of host memory used
+host_seconds 0.20 # Real time elapsed on the host
+sim_insts 6404 # Number of instructions simulated
+system.physmem.bytes_read 34460 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 25656 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 6696 # Number of bytes written to this memory
+system.physmem.num_reads 7599 # Number of read requests responded to by this memory
+system.physmem.num_writes 865 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 100555008 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 74864750 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 19539069 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 120094077 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 1185 # DTB read hits
+system.cpu.dtb.read_misses 7 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 1192 # DTB read accesses
+system.cpu.dtb.write_hits 865 # DTB write hits
+system.cpu.dtb.write_misses 3 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 868 # DTB write accesses
+system.cpu.dtb.data_hits 2050 # DTB hits
+system.cpu.dtb.data_misses 10 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 2060 # DTB accesses
+system.cpu.itb.fetch_hits 6415 # ITB hits
+system.cpu.itb.fetch_misses 17 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 6432 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 17 # Number of system calls
+system.cpu.numCycles 342698 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 6404 # Number of instructions executed
+system.cpu.num_int_alu_accesses 6331 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 10 # Number of float alu accesses
+system.cpu.num_func_calls 251 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 750 # number of instructions that are conditional controls
+system.cpu.num_int_insts 6331 # number of integer instructions
+system.cpu.num_fp_insts 10 # number of float instructions
+system.cpu.num_int_register_reads 8304 # number of times the integer registers were read
+system.cpu.num_int_register_writes 4581 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 8 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 2 # number of times the floating registers were written
+system.cpu.num_mem_refs 2060 # number of memory refs
+system.cpu.num_load_insts 1192 # Number of load instructions
+system.cpu.num_store_insts 868 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 342698 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/config.ini b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/config.ini
new file mode 100644
index 000000000..f51983ecf
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/config.ini
@@ -0,0 +1,205 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dcache dtb icache itb l2cache toL2Bus tracer workload
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=10000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=100000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simerr b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simout b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simout
new file mode 100755
index 000000000..d977e688b
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:48:33
+gem5 started Jan 23 2012 04:58:59
+gem5 executing on zizzer
+command line: build/ALPHA_SE/gem5.opt -d build/ALPHA_SE/tests/opt/quick/00.hello/alpha/linux/simple-timing -re tests/run.py build/ALPHA_SE/tests/opt/quick/00.hello/alpha/linux/simple-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 33007000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt
new file mode 100644
index 000000000..84a161e81
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt
@@ -0,0 +1,260 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000033 # Number of seconds simulated
+sim_ticks 33007000 # Number of ticks simulated
+final_tick 33007000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 110064 # Simulator instruction rate (inst/s)
+host_tick_rate 566999999 # Simulator tick rate (ticks/s)
+host_mem_usage 206896 # Number of bytes of host memory used
+host_seconds 0.06 # Real time elapsed on the host
+sim_insts 6404 # Number of instructions simulated
+system.physmem.bytes_read 28544 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 17792 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 446 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 864786257 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 539037174 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 864786257 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 1185 # DTB read hits
+system.cpu.dtb.read_misses 7 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 1192 # DTB read accesses
+system.cpu.dtb.write_hits 865 # DTB write hits
+system.cpu.dtb.write_misses 3 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 868 # DTB write accesses
+system.cpu.dtb.data_hits 2050 # DTB hits
+system.cpu.dtb.data_misses 10 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 2060 # DTB accesses
+system.cpu.itb.fetch_hits 6415 # ITB hits
+system.cpu.itb.fetch_misses 17 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 6432 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 17 # Number of system calls
+system.cpu.numCycles 66014 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 6404 # Number of instructions executed
+system.cpu.num_int_alu_accesses 6331 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 10 # Number of float alu accesses
+system.cpu.num_func_calls 251 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 750 # number of instructions that are conditional controls
+system.cpu.num_int_insts 6331 # number of integer instructions
+system.cpu.num_fp_insts 10 # number of float instructions
+system.cpu.num_int_register_reads 8304 # number of times the integer registers were read
+system.cpu.num_int_register_writes 4581 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 8 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 2 # number of times the floating registers were written
+system.cpu.num_mem_refs 2060 # number of memory refs
+system.cpu.num_load_insts 1192 # Number of load instructions
+system.cpu.num_store_insts 868 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 66014 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+system.cpu.icache.replacements 0 # number of replacements
+system.cpu.icache.tagsinuse 127.883393 # Cycle average of tags in use
+system.cpu.icache.total_refs 6136 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 279 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 21.992832 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 127.883393 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.062443 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 6136 # number of ReadReq hits
+system.cpu.icache.demand_hits 6136 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 6136 # number of overall hits
+system.cpu.icache.ReadReq_misses 279 # number of ReadReq misses
+system.cpu.icache.demand_misses 279 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 279 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 15582000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 15582000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 15582000 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 6415 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 6415 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 6415 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.043492 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.043492 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.043492 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 55849.462366 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 55849.462366 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 55849.462366 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 279 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 279 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 279 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 14745000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 14745000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 14745000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.043492 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.043492 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.043492 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 52849.462366 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 52849.462366 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 52849.462366 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 103.680615 # Cycle average of tags in use
+system.cpu.dcache.total_refs 1882 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 168 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 11.202381 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 103.680615 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.025313 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 1090 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 792 # number of WriteReq hits
+system.cpu.dcache.demand_hits 1882 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 1882 # number of overall hits
+system.cpu.dcache.ReadReq_misses 95 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 73 # number of WriteReq misses
+system.cpu.dcache.demand_misses 168 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 168 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 5320000 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 4088000 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 9408000 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 9408000 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 1185 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 865 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 2050 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 2050 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.080169 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.084393 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.081951 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.081951 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 56000 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 56000 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 56000 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 56000 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 95 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 73 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 168 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 168 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 5035000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 3869000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 8904000 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 8904000 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.080169 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.084393 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.081951 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.081951 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 53000 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 53000 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 53000 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 53000 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 184.342479 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 1 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 373 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0.002681 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 184.342479 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.005626 # Average percentage of cache occupancy
+system.cpu.l2cache.ReadReq_hits 1 # number of ReadReq hits
+system.cpu.l2cache.demand_hits 1 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 1 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 373 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 73 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 446 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 446 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 19396000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 3796000 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 23192000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 23192000 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 374 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 73 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 447 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 447 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 0.997326 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 0.997763 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 0.997763 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 52000 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 52000 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 52000 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 52000 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 373 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 73 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 446 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 446 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 14920000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 2920000 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 17840000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 17840000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 0.997326 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 0.997763 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 0.997763 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 40000 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 40000 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 40000 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 40000 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/config.ini b/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/config.ini
new file mode 100644
index 000000000..f0e8b9ebf
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/config.ini
@@ -0,0 +1,535 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=DerivO3CPU
+children=dcache dtb fuPool icache itb l2cache toL2Bus tracer workload
+BTBEntries=4096
+BTBTagSize=16
+LFSTSize=1024
+LQEntries=32
+LSQCheckLoads=true
+LSQDepCheckShift=4
+RASSize=16
+SQEntries=32
+SSITSize=1024
+activity=0
+backComSize=5
+cachePorts=200
+checker=Null
+choiceCtrBits=2
+choicePredictorSize=8192
+clock=500
+commitToDecodeDelay=1
+commitToFetchDelay=1
+commitToIEWDelay=1
+commitToRenameDelay=1
+commitWidth=8
+cpu_id=0
+decodeToFetchDelay=1
+decodeToRenameDelay=1
+decodeWidth=8
+defer_registration=false
+dispatchWidth=8
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+fetchToDecodeDelay=1
+fetchTrapLatency=1
+fetchWidth=8
+forwardComSize=5
+fuPool=system.cpu.fuPool
+function_trace=false
+function_trace_start=0
+globalCtrBits=2
+globalHistoryBits=13
+globalPredictorSize=8192
+iewToCommitDelay=1
+iewToDecodeDelay=1
+iewToFetchDelay=1
+iewToRenameDelay=1
+instShiftAmt=2
+issueToExecuteDelay=1
+issueWidth=8
+itb=system.cpu.itb
+localCtrBits=2
+localHistoryBits=11
+localHistoryTableSize=2048
+localPredictorSize=2048
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numIQEntries=64
+numPhysFloatRegs=256
+numPhysIntRegs=256
+numROBEntries=192
+numRobs=1
+numThreads=1
+phase=0
+predType=tournament
+progress_interval=0
+renameToDecodeDelay=1
+renameToFetchDelay=1
+renameToIEWDelay=2
+renameToROBDelay=1
+renameWidth=8
+smtCommitPolicy=RoundRobin
+smtFetchPolicy=SingleThread
+smtIQPolicy=Partitioned
+smtIQThreshold=100
+smtLSQPolicy=Partitioned
+smtLSQThreshold=100
+smtNumFetchingThreads=1
+smtROBPolicy=Partitioned
+smtROBThreshold=100
+squashWidth=8
+store_set_clear_period=250000
+system=system
+tracer=system.cpu.tracer
+trapLatency=13
+wbDepth=1
+wbWidth=8
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=20
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.fuPool]
+type=FUPool
+children=FUList0 FUList1 FUList2 FUList3 FUList4 FUList5 FUList6 FUList7 FUList8
+FUList=system.cpu.fuPool.FUList0 system.cpu.fuPool.FUList1 system.cpu.fuPool.FUList2 system.cpu.fuPool.FUList3 system.cpu.fuPool.FUList4 system.cpu.fuPool.FUList5 system.cpu.fuPool.FUList6 system.cpu.fuPool.FUList7 system.cpu.fuPool.FUList8
+
+[system.cpu.fuPool.FUList0]
+type=FUDesc
+children=opList
+count=6
+opList=system.cpu.fuPool.FUList0.opList
+
+[system.cpu.fuPool.FUList0.opList]
+type=OpDesc
+issueLat=1
+opClass=IntAlu
+opLat=1
+
+[system.cpu.fuPool.FUList1]
+type=FUDesc
+children=opList0 opList1
+count=2
+opList=system.cpu.fuPool.FUList1.opList0 system.cpu.fuPool.FUList1.opList1
+
+[system.cpu.fuPool.FUList1.opList0]
+type=OpDesc
+issueLat=1
+opClass=IntMult
+opLat=3
+
+[system.cpu.fuPool.FUList1.opList1]
+type=OpDesc
+issueLat=19
+opClass=IntDiv
+opLat=20
+
+[system.cpu.fuPool.FUList2]
+type=FUDesc
+children=opList0 opList1 opList2
+count=4
+opList=system.cpu.fuPool.FUList2.opList0 system.cpu.fuPool.FUList2.opList1 system.cpu.fuPool.FUList2.opList2
+
+[system.cpu.fuPool.FUList2.opList0]
+type=OpDesc
+issueLat=1
+opClass=FloatAdd
+opLat=2
+
+[system.cpu.fuPool.FUList2.opList1]
+type=OpDesc
+issueLat=1
+opClass=FloatCmp
+opLat=2
+
+[system.cpu.fuPool.FUList2.opList2]
+type=OpDesc
+issueLat=1
+opClass=FloatCvt
+opLat=2
+
+[system.cpu.fuPool.FUList3]
+type=FUDesc
+children=opList0 opList1 opList2
+count=2
+opList=system.cpu.fuPool.FUList3.opList0 system.cpu.fuPool.FUList3.opList1 system.cpu.fuPool.FUList3.opList2
+
+[system.cpu.fuPool.FUList3.opList0]
+type=OpDesc
+issueLat=1
+opClass=FloatMult
+opLat=4
+
+[system.cpu.fuPool.FUList3.opList1]
+type=OpDesc
+issueLat=12
+opClass=FloatDiv
+opLat=12
+
+[system.cpu.fuPool.FUList3.opList2]
+type=OpDesc
+issueLat=24
+opClass=FloatSqrt
+opLat=24
+
+[system.cpu.fuPool.FUList4]
+type=FUDesc
+children=opList
+count=0
+opList=system.cpu.fuPool.FUList4.opList
+
+[system.cpu.fuPool.FUList4.opList]
+type=OpDesc
+issueLat=1
+opClass=MemRead
+opLat=1
+
+[system.cpu.fuPool.FUList5]
+type=FUDesc
+children=opList00 opList01 opList02 opList03 opList04 opList05 opList06 opList07 opList08 opList09 opList10 opList11 opList12 opList13 opList14 opList15 opList16 opList17 opList18 opList19
+count=4
+opList=system.cpu.fuPool.FUList5.opList00 system.cpu.fuPool.FUList5.opList01 system.cpu.fuPool.FUList5.opList02 system.cpu.fuPool.FUList5.opList03 system.cpu.fuPool.FUList5.opList04 system.cpu.fuPool.FUList5.opList05 system.cpu.fuPool.FUList5.opList06 system.cpu.fuPool.FUList5.opList07 system.cpu.fuPool.FUList5.opList08 system.cpu.fuPool.FUList5.opList09 system.cpu.fuPool.FUList5.opList10 system.cpu.fuPool.FUList5.opList11 system.cpu.fuPool.FUList5.opList12 system.cpu.fuPool.FUList5.opList13 system.cpu.fuPool.FUList5.opList14 system.cpu.fuPool.FUList5.opList15 system.cpu.fuPool.FUList5.opList16 system.cpu.fuPool.FUList5.opList17 system.cpu.fuPool.FUList5.opList18 system.cpu.fuPool.FUList5.opList19
+
+[system.cpu.fuPool.FUList5.opList00]
+type=OpDesc
+issueLat=1
+opClass=SimdAdd
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList01]
+type=OpDesc
+issueLat=1
+opClass=SimdAddAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList02]
+type=OpDesc
+issueLat=1
+opClass=SimdAlu
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList03]
+type=OpDesc
+issueLat=1
+opClass=SimdCmp
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList04]
+type=OpDesc
+issueLat=1
+opClass=SimdCvt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList05]
+type=OpDesc
+issueLat=1
+opClass=SimdMisc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList06]
+type=OpDesc
+issueLat=1
+opClass=SimdMult
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList07]
+type=OpDesc
+issueLat=1
+opClass=SimdMultAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList08]
+type=OpDesc
+issueLat=1
+opClass=SimdShift
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList09]
+type=OpDesc
+issueLat=1
+opClass=SimdShiftAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList10]
+type=OpDesc
+issueLat=1
+opClass=SimdSqrt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList11]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatAdd
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList12]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatAlu
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList13]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatCmp
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList14]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatCvt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList15]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatDiv
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList16]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMisc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList17]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMult
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList18]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMultAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList19]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatSqrt
+opLat=1
+
+[system.cpu.fuPool.FUList6]
+type=FUDesc
+children=opList
+count=0
+opList=system.cpu.fuPool.FUList6.opList
+
+[system.cpu.fuPool.FUList6.opList]
+type=OpDesc
+issueLat=1
+opClass=MemWrite
+opLat=1
+
+[system.cpu.fuPool.FUList7]
+type=FUDesc
+children=opList0 opList1
+count=4
+opList=system.cpu.fuPool.FUList7.opList0 system.cpu.fuPool.FUList7.opList1
+
+[system.cpu.fuPool.FUList7.opList0]
+type=OpDesc
+issueLat=1
+opClass=MemRead
+opLat=1
+
+[system.cpu.fuPool.FUList7.opList1]
+type=OpDesc
+issueLat=1
+opClass=MemWrite
+opLat=1
+
+[system.cpu.fuPool.FUList8]
+type=FUDesc
+children=opList
+count=1
+opList=system.cpu.fuPool.FUList8.opList
+
+[system.cpu.fuPool.FUList8.opList]
+type=OpDesc
+issueLat=3
+opClass=IprAccess
+opLat=3
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=20
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/tru64/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/simerr b/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/simerr
new file mode 100755
index 000000000..27f858d8f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/simerr
@@ -0,0 +1,4 @@
+warn: Sockets disabled, not accepting gdb connections
+warn: Prefetch instructions in Alpha do not do anything
+warn: ignoring syscall sigprocmask(18446744073709547831, 1, ...)
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/simout b/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/simout
new file mode 100755
index 000000000..2afd9a6f8
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:48:33
+gem5 started Jan 23 2012 04:59:27
+gem5 executing on zizzer
+command line: build/ALPHA_SE/gem5.opt -d build/ALPHA_SE/tests/opt/quick/00.hello/alpha/tru64/o3-timing -re tests/run.py build/ALPHA_SE/tests/opt/quick/00.hello/alpha/tru64/o3-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 6833000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/stats.txt
new file mode 100644
index 000000000..d94c5613d
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/stats.txt
@@ -0,0 +1,505 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000007 # Number of seconds simulated
+sim_ticks 6833000 # Number of ticks simulated
+final_tick 6833000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 46364 # Simulator instruction rate (inst/s)
+host_tick_rate 132671945 # Simulator tick rate (ticks/s)
+host_mem_usage 207164 # Number of bytes of host memory used
+host_seconds 0.05 # Real time elapsed on the host
+sim_insts 2387 # Number of instructions simulated
+system.physmem.bytes_read 17280 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 11840 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 270 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 2528903849 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 1732767452 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 2528903849 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 679 # DTB read hits
+system.cpu.dtb.read_misses 26 # DTB read misses
+system.cpu.dtb.read_acv 1 # DTB read access violations
+system.cpu.dtb.read_accesses 705 # DTB read accesses
+system.cpu.dtb.write_hits 356 # DTB write hits
+system.cpu.dtb.write_misses 18 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 374 # DTB write accesses
+system.cpu.dtb.data_hits 1035 # DTB hits
+system.cpu.dtb.data_misses 44 # DTB misses
+system.cpu.dtb.data_acv 1 # DTB access violations
+system.cpu.dtb.data_accesses 1079 # DTB accesses
+system.cpu.itb.fetch_hits 941 # ITB hits
+system.cpu.itb.fetch_misses 30 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 971 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 4 # Number of system calls
+system.cpu.numCycles 13667 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.BPredUnit.lookups 1038 # Number of BP lookups
+system.cpu.BPredUnit.condPredicted 518 # Number of conditional branches predicted
+system.cpu.BPredUnit.condIncorrect 226 # Number of conditional branches incorrect
+system.cpu.BPredUnit.BTBLookups 732 # Number of BTB lookups
+system.cpu.BPredUnit.BTBHits 219 # Number of BTB hits
+system.cpu.BPredUnit.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
+system.cpu.BPredUnit.usedRAS 208 # Number of times the RAS was used to get a target.
+system.cpu.BPredUnit.RASInCorrect 34 # Number of incorrect RAS predictions.
+system.cpu.fetch.icacheStallCycles 3757 # Number of cycles fetch is stalled on an Icache miss
+system.cpu.fetch.Insts 6399 # Number of instructions fetch has processed
+system.cpu.fetch.Branches 1038 # Number of branches that fetch encountered
+system.cpu.fetch.predictedBranches 427 # Number of branches that fetch has predicted taken
+system.cpu.fetch.Cycles 1112 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu.fetch.SquashCycles 750 # Number of cycles fetch has spent squashing
+system.cpu.fetch.BlockedCycles 212 # Number of cycles fetch has spent blocked
+system.cpu.fetch.MiscStallCycles 17 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
+system.cpu.fetch.PendingTrapStallCycles 785 # Number of stall cycles due to pending traps
+system.cpu.fetch.CacheLines 941 # Number of cache lines fetched
+system.cpu.fetch.IcacheSquashes 156 # Number of outstanding Icache misses that were squashed
+system.cpu.fetch.rateDist::samples 6383 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::mean 1.002507 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::stdev 2.418848 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::0 5271 82.58% 82.58% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::1 60 0.94% 83.52% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::2 117 1.83% 85.35% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::3 94 1.47% 86.82% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::4 140 2.19% 89.02% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::5 57 0.89% 89.91% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::6 55 0.86% 90.77% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::7 64 1.00% 91.78% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::8 525 8.22% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::total 6383 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.branchRate 0.075949 # Number of branch fetches per cycle
+system.cpu.fetch.rate 0.468208 # Number of inst fetches per cycle
+system.cpu.decode.IdleCycles 4647 # Number of cycles decode is idle
+system.cpu.decode.BlockedCycles 226 # Number of cycles decode is blocked
+system.cpu.decode.RunCycles 1081 # Number of cycles decode is running
+system.cpu.decode.UnblockCycles 6 # Number of cycles decode is unblocking
+system.cpu.decode.SquashCycles 423 # Number of cycles decode is squashing
+system.cpu.decode.BranchResolved 158 # Number of times decode resolved a branch
+system.cpu.decode.BranchMispred 80 # Number of times decode detected a branch misprediction
+system.cpu.decode.DecodedInsts 5725 # Number of instructions handled by decode
+system.cpu.decode.SquashedInsts 284 # Number of squashed instructions handled by decode
+system.cpu.rename.SquashCycles 423 # Number of cycles rename is squashing
+system.cpu.rename.IdleCycles 4742 # Number of cycles rename is idle
+system.cpu.rename.BlockCycles 57 # Number of cycles rename is blocking
+system.cpu.rename.serializeStallCycles 147 # count of cycles rename stalled for serializing inst
+system.cpu.rename.RunCycles 995 # Number of cycles rename is running
+system.cpu.rename.UnblockCycles 19 # Number of cycles rename is unblocking
+system.cpu.rename.RenamedInsts 5471 # Number of instructions processed by rename
+system.cpu.rename.LSQFullEvents 14 # Number of times rename has blocked due to LSQ full
+system.cpu.rename.RenamedOperands 3940 # Number of destination operands rename has renamed
+system.cpu.rename.RenameLookups 6152 # Number of register rename lookups that rename has made
+system.cpu.rename.int_rename_lookups 6140 # Number of integer rename lookups
+system.cpu.rename.fp_rename_lookups 12 # Number of floating rename lookups
+system.cpu.rename.CommittedMaps 1768 # Number of HB maps that are committed
+system.cpu.rename.UndoneMaps 2172 # Number of HB maps that are undone due to squashing
+system.cpu.rename.serializingInsts 8 # count of serializing insts renamed
+system.cpu.rename.tempSerializingInsts 6 # count of temporary serializing insts renamed
+system.cpu.rename.skidInsts 107 # count of insts added to the skid buffer
+system.cpu.memDep0.insertedLoads 881 # Number of loads inserted to the mem dependence unit.
+system.cpu.memDep0.insertedStores 453 # Number of stores inserted to the mem dependence unit.
+system.cpu.memDep0.conflictingLoads 3 # Number of conflicting loads.
+system.cpu.memDep0.conflictingStores 3 # Number of conflicting stores.
+system.cpu.iq.iqInstsAdded 4657 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu.iq.iqNonSpecInstsAdded 6 # Number of non-speculative instructions added to the IQ
+system.cpu.iq.iqInstsIssued 3881 # Number of instructions issued
+system.cpu.iq.iqSquashedInstsIssued 49 # Number of squashed instructions issued
+system.cpu.iq.iqSquashedInstsExamined 2074 # Number of squashed instructions iterated over during squash; mainly for profiling
+system.cpu.iq.iqSquashedOperandsExamined 1177 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu.iq.iqSquashedNonSpecRemoved 2 # Number of squashed non-spec instructions that were removed
+system.cpu.iq.issued_per_cycle::samples 6383 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::mean 0.608021 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::stdev 1.298413 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::0 4813 75.40% 75.40% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::1 542 8.49% 83.89% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::2 388 6.08% 89.97% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::3 264 4.14% 94.11% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::4 199 3.12% 97.23% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::5 107 1.68% 98.90% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::6 55 0.86% 99.77% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::7 10 0.16% 99.92% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::8 5 0.08% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::total 6383 # Number of insts issued each cycle
+system.cpu.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntAlu 1 2.44% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntMult 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntDiv 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatAdd 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCmp 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCvt 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatMult 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatDiv 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatSqrt 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAdd 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAlu 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCmp 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCvt 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMisc 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMult 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShift 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdSqrt 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 2.44% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemRead 17 41.46% 43.90% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemWrite 23 56.10% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
+system.cpu.iq.FU_type_0::No_OpClass 0 0.00% 0.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IntAlu 2767 71.30% 71.30% # Type of FU issued
+system.cpu.iq.FU_type_0::IntMult 1 0.03% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::IntDiv 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatAdd 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatMult 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMult 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShift 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 71.32% # Type of FU issued
+system.cpu.iq.FU_type_0::MemRead 733 18.89% 90.21% # Type of FU issued
+system.cpu.iq.FU_type_0::MemWrite 380 9.79% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::total 3881 # Type of FU issued
+system.cpu.iq.rate 0.283969 # Inst issue rate
+system.cpu.iq.fu_busy_cnt 41 # FU busy when requested
+system.cpu.iq.fu_busy_rate 0.010564 # FU busy rate (busy events/executed inst)
+system.cpu.iq.int_inst_queue_reads 14222 # Number of integer instruction queue reads
+system.cpu.iq.int_inst_queue_writes 6735 # Number of integer instruction queue writes
+system.cpu.iq.int_inst_queue_wakeup_accesses 3573 # Number of integer instruction queue wakeup accesses
+system.cpu.iq.fp_inst_queue_reads 13 # Number of floating instruction queue reads
+system.cpu.iq.fp_inst_queue_writes 6 # Number of floating instruction queue writes
+system.cpu.iq.fp_inst_queue_wakeup_accesses 6 # Number of floating instruction queue wakeup accesses
+system.cpu.iq.int_alu_accesses 3915 # Number of integer alu accesses
+system.cpu.iq.fp_alu_accesses 7 # Number of floating point alu accesses
+system.cpu.iew.lsq.thread0.forwLoads 35 # Number of loads that had data forwarded from stores
+system.cpu.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
+system.cpu.iew.lsq.thread0.squashedLoads 466 # Number of loads squashed
+system.cpu.iew.lsq.thread0.ignoredResponses 0 # Number of memory responses ignored because the instruction is squashed
+system.cpu.iew.lsq.thread0.memOrderViolation 5 # Number of memory ordering violations
+system.cpu.iew.lsq.thread0.squashedStores 159 # Number of stores squashed
+system.cpu.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
+system.cpu.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
+system.cpu.iew.lsq.thread0.rescheduledLoads 0 # Number of loads that were rescheduled
+system.cpu.iew.lsq.thread0.cacheBlocked 0 # Number of times an access to memory failed due to the cache being blocked
+system.cpu.iew.iewIdleCycles 0 # Number of cycles IEW is idle
+system.cpu.iew.iewSquashCycles 423 # Number of cycles IEW is squashing
+system.cpu.iew.iewBlockCycles 44 # Number of cycles IEW is blocking
+system.cpu.iew.iewUnblockCycles 6 # Number of cycles IEW is unblocking
+system.cpu.iew.iewDispatchedInsts 5001 # Number of instructions dispatched to IQ
+system.cpu.iew.iewDispSquashedInsts 64 # Number of squashed instructions skipped by dispatch
+system.cpu.iew.iewDispLoadInsts 881 # Number of dispatched load instructions
+system.cpu.iew.iewDispStoreInsts 453 # Number of dispatched store instructions
+system.cpu.iew.iewDispNonSpecInsts 6 # Number of dispatched non-speculative instructions
+system.cpu.iew.iewIQFullEvents 3 # Number of times the IQ has become full, causing a stall
+system.cpu.iew.iewLSQFullEvents 0 # Number of times the LSQ has become full, causing a stall
+system.cpu.iew.memOrderViolationEvents 5 # Number of memory order violations
+system.cpu.iew.predictedTakenIncorrect 54 # Number of branches that were predicted taken incorrectly
+system.cpu.iew.predictedNotTakenIncorrect 121 # Number of branches that were predicted not taken incorrectly
+system.cpu.iew.branchMispredicts 175 # Number of branch mispredicts detected at execute
+system.cpu.iew.iewExecutedInsts 3749 # Number of executed instructions
+system.cpu.iew.iewExecLoadInsts 706 # Number of load instructions executed
+system.cpu.iew.iewExecSquashedInsts 132 # Number of squashed instructions skipped in execute
+system.cpu.iew.exec_swp 0 # number of swp insts executed
+system.cpu.iew.exec_nop 338 # number of nop insts executed
+system.cpu.iew.exec_refs 1080 # number of memory reference insts executed
+system.cpu.iew.exec_branches 629 # Number of branches executed
+system.cpu.iew.exec_stores 374 # Number of stores executed
+system.cpu.iew.exec_rate 0.274310 # Inst execution rate
+system.cpu.iew.wb_sent 3647 # cumulative count of insts sent to commit
+system.cpu.iew.wb_count 3579 # cumulative count of insts written-back
+system.cpu.iew.wb_producers 1702 # num instructions producing a value
+system.cpu.iew.wb_consumers 2165 # num instructions consuming a value
+system.cpu.iew.wb_penalized 0 # number of instrctions required to write to 'other' IQ
+system.cpu.iew.wb_rate 0.261872 # insts written-back per cycle
+system.cpu.iew.wb_fanout 0.786143 # average fanout of values written-back
+system.cpu.iew.wb_penalized_rate 0 # fraction of instructions written-back that wrote to 'other' IQ
+system.cpu.commit.commitCommittedInsts 2576 # The number of committed instructions
+system.cpu.commit.commitSquashedInsts 2416 # The number of squashed insts skipped by commit
+system.cpu.commit.commitNonSpecStalls 4 # The number of times commit has been forced to stall to communicate backwards
+system.cpu.commit.branchMispredicts 149 # The number of times a branch was mispredicted
+system.cpu.commit.committed_per_cycle::samples 5960 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::mean 0.432215 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::stdev 1.290536 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::0 5068 85.03% 85.03% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::1 222 3.72% 88.76% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::2 314 5.27% 94.03% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::3 119 2.00% 96.02% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::4 70 1.17% 97.20% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::5 53 0.89% 98.09% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::6 34 0.57% 98.66% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::7 20 0.34% 98.99% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::8 60 1.01% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::total 5960 # Number of insts commited each cycle
+system.cpu.commit.count 2576 # Number of instructions committed
+system.cpu.commit.swp_count 0 # Number of s/w prefetches committed
+system.cpu.commit.refs 709 # Number of memory references committed
+system.cpu.commit.loads 415 # Number of loads committed
+system.cpu.commit.membars 0 # Number of memory barriers committed
+system.cpu.commit.branches 396 # Number of branches committed
+system.cpu.commit.fp_insts 6 # Number of committed floating point instructions.
+system.cpu.commit.int_insts 2367 # Number of committed integer instructions.
+system.cpu.commit.function_calls 71 # Number of function calls committed.
+system.cpu.commit.bw_lim_events 60 # number cycles where commit BW limit reached
+system.cpu.commit.bw_limited 0 # number of insts not committed due to BW limits
+system.cpu.rob.rob_reads 10645 # The number of ROB reads
+system.cpu.rob.rob_writes 10410 # The number of ROB writes
+system.cpu.timesIdled 139 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 7284 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu.committedInsts 2387 # Number of Instructions Simulated
+system.cpu.committedInsts_total 2387 # Number of Instructions Simulated
+system.cpu.cpi 5.725597 # CPI: Cycles Per Instruction
+system.cpu.cpi_total 5.725597 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.174654 # IPC: Instructions Per Cycle
+system.cpu.ipc_total 0.174654 # IPC: Total IPC of All Threads
+system.cpu.int_regfile_reads 4520 # number of integer regfile reads
+system.cpu.int_regfile_writes 2768 # number of integer regfile writes
+system.cpu.fp_regfile_reads 6 # number of floating regfile reads
+system.cpu.misc_regfile_reads 1 # number of misc regfile reads
+system.cpu.misc_regfile_writes 1 # number of misc regfile writes
+system.cpu.icache.replacements 0 # number of replacements
+system.cpu.icache.tagsinuse 91.574139 # Cycle average of tags in use
+system.cpu.icache.total_refs 700 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 185 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 3.783784 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 91.574139 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.044714 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 700 # number of ReadReq hits
+system.cpu.icache.demand_hits 700 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 700 # number of overall hits
+system.cpu.icache.ReadReq_misses 241 # number of ReadReq misses
+system.cpu.icache.demand_misses 241 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 241 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 8777500 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 8777500 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 8777500 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 941 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 941 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 941 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.256111 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.256111 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.256111 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 36421.161826 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 36421.161826 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 36421.161826 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.ReadReq_mshr_hits 56 # number of ReadReq MSHR hits
+system.cpu.icache.demand_mshr_hits 56 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 56 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 185 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 185 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 185 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 6554500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 6554500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 6554500 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.196599 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.196599 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.196599 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 35429.729730 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 35429.729730 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 35429.729730 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 45.439198 # Cycle average of tags in use
+system.cpu.dcache.total_refs 765 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 85 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 9 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 45.439198 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.011094 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 543 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 222 # number of WriteReq hits
+system.cpu.dcache.demand_hits 765 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 765 # number of overall hits
+system.cpu.dcache.ReadReq_misses 101 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 72 # number of WriteReq misses
+system.cpu.dcache.demand_misses 173 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 173 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 3605000 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 2816500 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 6421500 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 6421500 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 644 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 294 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 938 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 938 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.156832 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.244898 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.184435 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.184435 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 35693.069307 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 39118.055556 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 37118.497110 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 37118.497110 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.ReadReq_mshr_hits 40 # number of ReadReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits 48 # number of WriteReq MSHR hits
+system.cpu.dcache.demand_mshr_hits 88 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 88 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 61 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 24 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 85 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 85 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 2169000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 872000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 3041000 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 3041000 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.094720 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.081633 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.090618 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.090618 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 35557.377049 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 36333.333333 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 35776.470588 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 35776.470588 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 120.203882 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 0 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 246 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 120.203882 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.003668 # Average percentage of cache occupancy
+system.cpu.l2cache.demand_hits 0 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 0 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 246 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 24 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 270 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 270 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 8447500 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 831000 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 9278500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 9278500 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 246 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 24 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 270 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 270 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 1 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 1 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 1 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 34339.430894 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 34625 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 34364.814815 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 34364.814815 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 246 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 24 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 270 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 270 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 7661500 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 756000 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 8417500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 8417500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 1 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 1 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 1 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 31144.308943 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 31500 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 31175.925926 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 31175.925926 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/config.ini b/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/config.ini
new file mode 100644
index 000000000..fad1e21b6
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/config.ini
@@ -0,0 +1,102 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=AtomicSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+simulate_data_stalls=false
+simulate_inst_stalls=false
+system=system
+tracer=system.cpu.tracer
+width=1
+workload=system.cpu.workload
+dcache_port=system.membus.port[3]
+icache_port=system.membus.port[2]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/tru64/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/simerr b/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/simerr
new file mode 100755
index 000000000..31ae36f2e
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/simerr
@@ -0,0 +1,3 @@
+warn: Sockets disabled, not accepting gdb connections
+warn: ignoring syscall sigprocmask(18446744073709547831, 1, ...)
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/simout b/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/simout
new file mode 100755
index 000000000..fdc12b275
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:48:33
+gem5 started Jan 23 2012 04:59:27
+gem5 executing on zizzer
+command line: build/ALPHA_SE/gem5.opt -d build/ALPHA_SE/tests/opt/quick/00.hello/alpha/tru64/simple-atomic -re tests/run.py build/ALPHA_SE/tests/opt/quick/00.hello/alpha/tru64/simple-atomic
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 1297500 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/stats.txt
new file mode 100644
index 000000000..23e50fd7f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/stats.txt
@@ -0,0 +1,77 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000001 # Number of seconds simulated
+sim_ticks 1297500 # Number of ticks simulated
+final_tick 1297500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 182014 # Simulator instruction rate (inst/s)
+host_tick_rate 91451888 # Simulator tick rate (ticks/s)
+host_mem_usage 197324 # Number of bytes of host memory used
+host_seconds 0.01 # Real time elapsed on the host
+sim_insts 2577 # Number of instructions simulated
+system.physmem.bytes_read 13356 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 10340 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 2058 # Number of bytes written to this memory
+system.physmem.num_reads 3000 # Number of read requests responded to by this memory
+system.physmem.num_writes 294 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 10293641618 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 7969171484 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 1586127168 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 11879768786 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 415 # DTB read hits
+system.cpu.dtb.read_misses 4 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 419 # DTB read accesses
+system.cpu.dtb.write_hits 294 # DTB write hits
+system.cpu.dtb.write_misses 4 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 298 # DTB write accesses
+system.cpu.dtb.data_hits 709 # DTB hits
+system.cpu.dtb.data_misses 8 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 717 # DTB accesses
+system.cpu.itb.fetch_hits 2585 # ITB hits
+system.cpu.itb.fetch_misses 11 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 2596 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 4 # Number of system calls
+system.cpu.numCycles 2596 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 2577 # Number of instructions executed
+system.cpu.num_int_alu_accesses 2375 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 6 # Number of float alu accesses
+system.cpu.num_func_calls 140 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 238 # number of instructions that are conditional controls
+system.cpu.num_int_insts 2375 # number of integer instructions
+system.cpu.num_fp_insts 6 # number of float instructions
+system.cpu.num_int_register_reads 2998 # number of times the integer registers were read
+system.cpu.num_int_register_writes 1768 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 6 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 717 # number of memory refs
+system.cpu.num_load_insts 419 # Number of load instructions
+system.cpu.num_store_insts 298 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 2596 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/config.ini b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/config.ini
new file mode 100644
index 000000000..89c8aeac1
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/config.ini
@@ -0,0 +1,327 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000
+time_sync_spin_threshold=100000
+
+[system]
+type=System
+children=cpu dir_cntrl0 l1_cntrl0 l2_cntrl0 physmem ruby sys_port_proxy
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=1
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.l1_cntrl0.sequencer.port[1]
+icache_port=system.l1_cntrl0.sequencer.port[0]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/tru64/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer
+buffer_size=0
+cntrl_id=2
+directory=system.dir_cntrl0.directory
+directory_latency=6
+memBuffer=system.dir_cntrl0.memBuffer
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+to_mem_ctrl_latency=1
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=L1DcacheMemory L1IcacheMemory sequencer
+L1DcacheMemory=system.l1_cntrl0.L1DcacheMemory
+L1IcacheMemory=system.l1_cntrl0.L1IcacheMemory
+buffer_size=0
+cntrl_id=0
+l1_request_latency=2
+l1_response_latency=2
+l2_select_num_bits=0
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+sequencer=system.l1_cntrl0.sequencer
+to_l2_latency=1
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.L1DcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.L1IcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.L1DcacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.L1IcacheMemory
+max_outstanding_requests=16
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[0]
+port=system.cpu.icache_port system.cpu.dcache_port
+
+[system.l2_cntrl0]
+type=L2Cache_Controller
+children=L2cacheMemory
+L2cacheMemory=system.l2_cntrl0.L2cacheMemory
+buffer_size=0
+cntrl_id=1
+l2_request_latency=2
+l2_response_latency=2
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+to_l1_latency=1
+transitions_per_cycle=32
+version=0
+
+[system.l2_cntrl0.L2cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=15
+replacement_policy=PSEUDO_LRU
+size=512
+start_index_bit=6
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.l1_cntrl0.sequencer.physMemPort system.sys_port_proxy.physMemPort
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=1
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 ext_links2 int_links0 int_links1 int_links2 routers0 routers1 routers2 routers3
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1 system.ruby.network.topology.ext_links2
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1 system.ruby.network.topology.int_links2
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2 system.ruby.network.topology.routers3
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l2_cntrl0
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.ext_links2]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers2
+latency=1
+link_id=2
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=3
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=4
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.int_links2]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=5
+node_a=system.ruby.network.topology.routers2
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.network.topology.routers3]
+type=BasicRouter
+router_id=3
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=1
+ruby_system=system.ruby
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[1]
+port=system.system_port
+
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/ruby.stats b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/ruby.stats
new file mode 100644
index 000000000..1c4da6ce4
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/ruby.stats
@@ -0,0 +1,641 @@
+
+================ Begin RubySystem Configuration Print ================
+
+RubySystem config:
+ random_seed: 1234
+ randomization: 0
+ cycle_period: 1
+ block_size_bytes: 64
+ block_size_bits: 6
+ memory_size_bytes: 134217728
+ memory_size_bits: 27
+
+Network Configuration
+---------------------
+network: SIMPLE_NETWORK
+topology:
+
+virtual_net_0: active, unordered
+virtual_net_1: active, unordered
+virtual_net_2: active, unordered
+virtual_net_3: inactive
+virtual_net_4: inactive
+virtual_net_5: inactive
+virtual_net_6: inactive
+virtual_net_7: inactive
+virtual_net_8: inactive
+virtual_net_9: inactive
+
+
+Profiler Configuration
+----------------------
+periodic_stats_period: 1000000
+
+================ End RubySystem Configuration Print ================
+
+
+Real time: Jan/23/2012 04:21:58
+
+Profiler Stats
+--------------
+Elapsed_time_in_seconds: 2
+Elapsed_time_in_minutes: 0.0333333
+Elapsed_time_in_hours: 0.000555556
+Elapsed_time_in_days: 2.31481e-05
+
+Virtual_time_in_seconds: 0.26
+Virtual_time_in_minutes: 0.00433333
+Virtual_time_in_hours: 7.22222e-05
+Virtual_time_in_days: 3.00926e-06
+
+Ruby_current_time: 104867
+Ruby_start_time: 0
+Ruby_cycles: 104867
+
+mbytes_resident: 43.0078
+mbytes_total: 212.113
+resident_ratio: 0.202759
+
+ruby_cycles_executed: [ 104868 ]
+
+Busy Controller Counts:
+L1Cache-0:0
+L2Cache-0:0
+Directory-0:0
+
+
+Busy Bank Count:0
+
+sequencer_requests_outstanding: [binsize: 1 max: 1 count: 3295 average: 1 | standard deviation: 0 | 0 3295 ]
+
+All Non-Zero Cycle Demand Cache Accesses
+----------------------------------------
+miss_latency: [binsize: 2 max: 275 count: 3294 average: 30.8358 | standard deviation: 62.2139 | 0 2722 0 0 0 0 0 0 0 23 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 62 131 87 117 74 6 17 4 2 2 1 11 10 5 4 3 5 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_LD: [binsize: 2 max: 235 count: 415 average: 80.7349 | standard deviation: 83.1868 | 0 211 0 0 0 0 0 0 0 11 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 24 46 24 49 14 4 7 4 1 1 0 5 0 4 0 3 5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST: [binsize: 2 max: 275 count: 294 average: 39.8435 | standard deviation: 69.7713 | 0 226 0 0 0 0 0 0 0 3 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8 19 3 12 8 0 8 0 0 0 0 1 1 0 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH: [binsize: 2 max: 267 count: 2585 average: 21.8004 | standard deviation: 52.7361 | 0 2285 0 0 0 0 0 0 0 9 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30 66 60 56 52 2 2 0 1 1 1 5 9 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_NULL: [binsize: 2 max: 275 count: 3294 average: 30.8358 | standard deviation: 62.2139 | 0 2722 0 0 0 0 0 0 0 23 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 62 131 87 117 74 6 17 4 2 2 1 11 10 5 4 3 5 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_wCC_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_wCC_Times: 0
+miss_latency_dir_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_dir_Times: 0
+miss_latency_LD_NULL: [binsize: 2 max: 235 count: 415 average: 80.7349 | standard deviation: 83.1868 | 0 211 0 0 0 0 0 0 0 11 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 24 46 24 49 14 4 7 4 1 1 0 5 0 4 0 3 5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST_NULL: [binsize: 2 max: 275 count: 294 average: 39.8435 | standard deviation: 69.7713 | 0 226 0 0 0 0 0 0 0 3 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 8 19 3 12 8 0 8 0 0 0 0 1 1 0 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH_NULL: [binsize: 2 max: 267 count: 2585 average: 21.8004 | standard deviation: 52.7361 | 0 2285 0 0 0 0 0 0 0 9 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 30 66 60 56 52 2 2 0 1 1 1 5 9 1 1 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+
+All Non-Zero Cycle SW Prefetch Requests
+------------------------------------
+prefetch_latency: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+prefetch_latency_L2Miss:[binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Request vs. RubySystem State Profile
+--------------------------------
+
+
+filter_action: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Message Delayed Cycles
+----------------------
+Total_delay_cycles: [binsize: 1 max: 20 count: 3612 average: 0.0636766 | standard deviation: 0.653474 | 3562 0 1 0 47 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 ]
+Total_nonPF_delay_cycles: [binsize: 1 max: 2 count: 2644 average: 0.00075643 | standard deviation: 0.0389028 | 2643 0 1 ]
+ virtual_network_0_delay_cycles: [binsize: 1 max: 20 count: 968 average: 0.235537 | standard deviation: 1.24505 | 919 0 0 0 47 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 ]
+ virtual_network_1_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_2_delay_cycles: [binsize: 1 max: 0 count: 431 average: 0 | standard deviation: 0 | 431 ]
+ virtual_network_3_delay_cycles: [binsize: 1 max: 2 count: 2213 average: 0.000903751 | standard deviation: 0.0425243 | 2212 0 1 ]
+ virtual_network_4_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_5_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_6_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_7_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_8_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_9_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Resource Usage
+--------------
+page_size: 4096
+user_time: 0
+system_time: 0
+page_reclaims: 11317
+page_faults: 0
+swaps: 0
+block_inputs: 0
+block_outputs: 88
+
+Network Stats
+-------------
+
+total_msg_count_Control: 3357 26856
+total_msg_count_Request_Control: 1293 10344
+total_msg_count_Response_Data: 3666 263952
+total_msg_count_Response_Control: 5220 41760
+total_msg_count_Writeback_Data: 327 23544
+total_msg_count_Writeback_Control: 231 1848
+total_msgs: 14094 total_bytes: 368304
+
+switch_0_inlinks: 2
+switch_0_outlinks: 2
+links_utilized_percent_switch_0: 1.90098
+ links_utilized_percent_switch_0_link_0: 2.71916 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_0_link_1: 1.0828 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_0_link_0_Request_Control: 431 3448 [ 431 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Response_Data: 572 41184 [ 0 572 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Response_Control: 124 992 [ 0 124 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Control: 572 4576 [ 572 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Response_Control: 641 5128 [ 0 369 272 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Data: 109 7848 [ 47 62 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Control: 77 616 [ 77 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_1_inlinks: 2
+switch_1_outlinks: 2
+links_utilized_percent_switch_1: 3.65844
+ links_utilized_percent_switch_1_link_0: 3.68705 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_1_link_1: 3.62984 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_1_link_0_Control: 572 4576 [ 572 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Response_Data: 547 39384 [ 0 547 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Response_Control: 1180 9440 [ 0 908 272 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Writeback_Data: 109 7848 [ 47 62 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Writeback_Control: 77 616 [ 77 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Control: 547 4376 [ 547 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Request_Control: 431 3448 [ 431 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Data: 675 48600 [ 0 675 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Control: 560 4480 [ 0 560 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_2_inlinks: 2
+switch_2_outlinks: 2
+links_utilized_percent_switch_2: 1.75746
+ links_utilized_percent_switch_2_link_0: 0.910677 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_2_link_1: 2.60425 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_2_link_0_Control: 547 4376 [ 547 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Response_Data: 103 7416 [ 0 103 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Response_Control: 436 3488 [ 0 436 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Response_Data: 547 39384 [ 0 547 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Response_Control: 539 4312 [ 0 539 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_3_inlinks: 3
+switch_3_outlinks: 3
+links_utilized_percent_switch_3: 2.43896
+ links_utilized_percent_switch_3_link_0: 2.71916 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_3_link_1: 3.68705 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_3_link_2: 0.910677 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_3_link_0_Request_Control: 431 3448 [ 431 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Response_Data: 572 41184 [ 0 572 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Response_Control: 124 992 [ 0 124 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Control: 572 4576 [ 572 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Response_Data: 547 39384 [ 0 547 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Response_Control: 1180 9440 [ 0 908 272 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Writeback_Data: 109 7848 [ 47 62 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Writeback_Control: 77 616 [ 77 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Control: 547 4376 [ 547 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Response_Data: 103 7416 [ 0 103 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Response_Control: 436 3488 [ 0 436 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+Cache Stats: system.l1_cntrl0.L1IcacheMemory
+ system.l1_cntrl0.L1IcacheMemory_total_misses: 300
+ system.l1_cntrl0.L1IcacheMemory_total_demand_misses: 300
+ system.l1_cntrl0.L1IcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1IcacheMemory_request_type_IFETCH: 100%
+
+ system.l1_cntrl0.L1IcacheMemory_access_mode_type_Supervisor: 300 100%
+
+Cache Stats: system.l1_cntrl0.L1DcacheMemory
+ system.l1_cntrl0.L1DcacheMemory_total_misses: 272
+ system.l1_cntrl0.L1DcacheMemory_total_demand_misses: 272
+ system.l1_cntrl0.L1DcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1DcacheMemory_request_type_LD: 75%
+ system.l1_cntrl0.L1DcacheMemory_request_type_ST: 25%
+
+ system.l1_cntrl0.L1DcacheMemory_access_mode_type_Supervisor: 272 100%
+
+ --- L1Cache ---
+ - Event Counts -
+Load [415 ] 415
+Ifetch [2585 ] 2585
+Store [294 ] 294
+Inv [431 ] 431
+L1_Replacement [502 ] 502
+Fwd_GETX [0 ] 0
+Fwd_GETS [0 ] 0
+Fwd_GET_INSTR [0 ] 0
+Data [0 ] 0
+Data_Exclusive [204 ] 204
+DataS_fromL1 [0 ] 0
+Data_all_Acks [368 ] 368
+Ack [0 ] 0
+Ack_all [0 ] 0
+WB_Ack [124 ] 124
+
+ - Transitions -
+NP Load [182 ] 182
+NP Ifetch [270 ] 270
+NP Store [58 ] 58
+NP Inv [162 ] 162
+NP L1_Replacement [0 ] 0
+
+I Load [22 ] 22
+I Ifetch [30 ] 30
+I Store [10 ] 10
+I Inv [0 ] 0
+I L1_Replacement [206 ] 206
+
+S Load [0 ] 0
+S Ifetch [2285 ] 2285
+S Store [0 ] 0
+S Inv [124 ] 124
+S L1_Replacement [172 ] 172
+
+E Load [140 ] 140
+E Ifetch [0 ] 0
+E Store [41 ] 41
+E Inv [83 ] 83
+E L1_Replacement [79 ] 79
+E Fwd_GETX [0 ] 0
+E Fwd_GETS [0 ] 0
+E Fwd_GET_INSTR [0 ] 0
+
+M Load [71 ] 71
+M Ifetch [0 ] 0
+M Store [185 ] 185
+M Inv [62 ] 62
+M L1_Replacement [45 ] 45
+M Fwd_GETX [0 ] 0
+M Fwd_GETS [0 ] 0
+M Fwd_GET_INSTR [0 ] 0
+
+IS Load [0 ] 0
+IS Ifetch [0 ] 0
+IS Store [0 ] 0
+IS Inv [0 ] 0
+IS L1_Replacement [0 ] 0
+IS Data_Exclusive [204 ] 204
+IS DataS_fromL1 [0 ] 0
+IS Data_all_Acks [300 ] 300
+
+IM Load [0 ] 0
+IM Ifetch [0 ] 0
+IM Store [0 ] 0
+IM Inv [0 ] 0
+IM L1_Replacement [0 ] 0
+IM Data [0 ] 0
+IM Data_all_Acks [68 ] 68
+IM Ack [0 ] 0
+
+SM Load [0 ] 0
+SM Ifetch [0 ] 0
+SM Store [0 ] 0
+SM Inv [0 ] 0
+SM L1_Replacement [0 ] 0
+SM Ack [0 ] 0
+SM Ack_all [0 ] 0
+
+IS_I Load [0 ] 0
+IS_I Ifetch [0 ] 0
+IS_I Store [0 ] 0
+IS_I Inv [0 ] 0
+IS_I L1_Replacement [0 ] 0
+IS_I Data_Exclusive [0 ] 0
+IS_I DataS_fromL1 [0 ] 0
+IS_I Data_all_Acks [0 ] 0
+
+M_I Load [0 ] 0
+M_I Ifetch [0 ] 0
+M_I Store [0 ] 0
+M_I Inv [0 ] 0
+M_I L1_Replacement [0 ] 0
+M_I Fwd_GETX [0 ] 0
+M_I Fwd_GETS [0 ] 0
+M_I Fwd_GET_INSTR [0 ] 0
+M_I WB_Ack [124 ] 124
+
+E_I Load [0 ] 0
+E_I Ifetch [0 ] 0
+E_I Store [0 ] 0
+E_I L1_Replacement [0 ] 0
+
+SINK_WB_ACK Load [0 ] 0
+SINK_WB_ACK Ifetch [0 ] 0
+SINK_WB_ACK Store [0 ] 0
+SINK_WB_ACK Inv [0 ] 0
+SINK_WB_ACK L1_Replacement [0 ] 0
+SINK_WB_ACK WB_Ack [0 ] 0
+
+Cache Stats: system.l2_cntrl0.L2cacheMemory
+ system.l2_cntrl0.L2cacheMemory_total_misses: 547
+ system.l2_cntrl0.L2cacheMemory_total_demand_misses: 547
+ system.l2_cntrl0.L2cacheMemory_total_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_sw_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_hw_prefetches: 0
+
+ system.l2_cntrl0.L2cacheMemory_request_type_GETS: 35.1005%
+ system.l2_cntrl0.L2cacheMemory_request_type_GET_INSTR: 53.1993%
+ system.l2_cntrl0.L2cacheMemory_request_type_GETX: 11.7002%
+
+ system.l2_cntrl0.L2cacheMemory_access_mode_type_Supervisor: 547 100%
+
+ --- L2Cache ---
+ - Event Counts -
+L1_GET_INSTR [300 ] 300
+L1_GETS [206 ] 206
+L1_GETX [70 ] 70
+L1_UPGRADE [0 ] 0
+L1_PUTX [124 ] 124
+L1_PUTX_old [0 ] 0
+Fwd_L1_GETX [0 ] 0
+Fwd_L1_GETS [0 ] 0
+Fwd_L1_GET_INSTR [0 ] 0
+L2_Replacement [43 ] 43
+L2_Replacement_clean [496 ] 496
+Mem_Data [547 ] 547
+Mem_Ack [539 ] 539
+WB_Data [62 ] 62
+WB_Data_clean [0 ] 0
+Ack [0 ] 0
+Ack_all [369 ] 369
+Unblock [0 ] 0
+Unblock_Cancel [0 ] 0
+Exclusive_Unblock [272 ] 272
+MEM_Inv [0 ] 0
+
+ - Transitions -
+NP L1_GET_INSTR [291 ] 291
+NP L1_GETS [192 ] 192
+NP L1_GETX [64 ] 64
+NP L1_PUTX [0 ] 0
+NP L1_PUTX_old [0 ] 0
+
+SS L1_GET_INSTR [9 ] 9
+SS L1_GETS [0 ] 0
+SS L1_GETX [0 ] 0
+SS L1_UPGRADE [0 ] 0
+SS L1_PUTX [0 ] 0
+SS L1_PUTX_old [0 ] 0
+SS L2_Replacement [0 ] 0
+SS L2_Replacement_clean [286 ] 286
+SS MEM_Inv [0 ] 0
+
+M L1_GET_INSTR [0 ] 0
+M L1_GETS [12 ] 12
+M L1_GETX [4 ] 4
+M L1_PUTX [0 ] 0
+M L1_PUTX_old [0 ] 0
+M L2_Replacement [39 ] 39
+M L2_Replacement_clean [69 ] 69
+M MEM_Inv [0 ] 0
+
+MT L1_GET_INSTR [0 ] 0
+MT L1_GETS [0 ] 0
+MT L1_GETX [0 ] 0
+MT L1_PUTX [124 ] 124
+MT L1_PUTX_old [0 ] 0
+MT L2_Replacement [4 ] 4
+MT L2_Replacement_clean [141 ] 141
+MT MEM_Inv [0 ] 0
+
+M_I L1_GET_INSTR [0 ] 0
+M_I L1_GETS [2 ] 2
+M_I L1_GETX [2 ] 2
+M_I L1_UPGRADE [0 ] 0
+M_I L1_PUTX [0 ] 0
+M_I L1_PUTX_old [0 ] 0
+M_I Mem_Ack [539 ] 539
+M_I MEM_Inv [0 ] 0
+
+MT_I L1_GET_INSTR [0 ] 0
+MT_I L1_GETS [0 ] 0
+MT_I L1_GETX [0 ] 0
+MT_I L1_UPGRADE [0 ] 0
+MT_I L1_PUTX [0 ] 0
+MT_I L1_PUTX_old [0 ] 0
+MT_I WB_Data [2 ] 2
+MT_I WB_Data_clean [0 ] 0
+MT_I Ack_all [2 ] 2
+MT_I MEM_Inv [0 ] 0
+
+MCT_I L1_GET_INSTR [0 ] 0
+MCT_I L1_GETS [0 ] 0
+MCT_I L1_GETX [0 ] 0
+MCT_I L1_UPGRADE [0 ] 0
+MCT_I L1_PUTX [0 ] 0
+MCT_I L1_PUTX_old [0 ] 0
+MCT_I WB_Data [60 ] 60
+MCT_I WB_Data_clean [0 ] 0
+MCT_I Ack_all [81 ] 81
+
+I_I L1_GET_INSTR [0 ] 0
+I_I L1_GETS [0 ] 0
+I_I L1_GETX [0 ] 0
+I_I L1_UPGRADE [0 ] 0
+I_I L1_PUTX [0 ] 0
+I_I L1_PUTX_old [0 ] 0
+I_I Ack [0 ] 0
+I_I Ack_all [286 ] 286
+
+S_I L1_GET_INSTR [0 ] 0
+S_I L1_GETS [0 ] 0
+S_I L1_GETX [0 ] 0
+S_I L1_UPGRADE [0 ] 0
+S_I L1_PUTX [0 ] 0
+S_I L1_PUTX_old [0 ] 0
+S_I Ack [0 ] 0
+S_I Ack_all [0 ] 0
+S_I MEM_Inv [0 ] 0
+
+ISS L1_GET_INSTR [0 ] 0
+ISS L1_GETS [0 ] 0
+ISS L1_GETX [0 ] 0
+ISS L1_PUTX [0 ] 0
+ISS L1_PUTX_old [0 ] 0
+ISS L2_Replacement [0 ] 0
+ISS L2_Replacement_clean [0 ] 0
+ISS Mem_Data [192 ] 192
+ISS MEM_Inv [0 ] 0
+
+IS L1_GET_INSTR [0 ] 0
+IS L1_GETS [0 ] 0
+IS L1_GETX [0 ] 0
+IS L1_PUTX [0 ] 0
+IS L1_PUTX_old [0 ] 0
+IS L2_Replacement [0 ] 0
+IS L2_Replacement_clean [0 ] 0
+IS Mem_Data [291 ] 291
+IS MEM_Inv [0 ] 0
+
+IM L1_GET_INSTR [0 ] 0
+IM L1_GETS [0 ] 0
+IM L1_GETX [0 ] 0
+IM L1_PUTX [0 ] 0
+IM L1_PUTX_old [0 ] 0
+IM L2_Replacement [0 ] 0
+IM L2_Replacement_clean [0 ] 0
+IM Mem_Data [64 ] 64
+IM MEM_Inv [0 ] 0
+
+SS_MB L1_GET_INSTR [0 ] 0
+SS_MB L1_GETS [0 ] 0
+SS_MB L1_GETX [0 ] 0
+SS_MB L1_UPGRADE [0 ] 0
+SS_MB L1_PUTX [0 ] 0
+SS_MB L1_PUTX_old [0 ] 0
+SS_MB L2_Replacement [0 ] 0
+SS_MB L2_Replacement_clean [0 ] 0
+SS_MB Unblock_Cancel [0 ] 0
+SS_MB Exclusive_Unblock [0 ] 0
+SS_MB MEM_Inv [0 ] 0
+
+MT_MB L1_GET_INSTR [0 ] 0
+MT_MB L1_GETS [0 ] 0
+MT_MB L1_GETX [0 ] 0
+MT_MB L1_UPGRADE [0 ] 0
+MT_MB L1_PUTX [0 ] 0
+MT_MB L1_PUTX_old [0 ] 0
+MT_MB L2_Replacement [0 ] 0
+MT_MB L2_Replacement_clean [0 ] 0
+MT_MB Unblock_Cancel [0 ] 0
+MT_MB Exclusive_Unblock [272 ] 272
+MT_MB MEM_Inv [0 ] 0
+
+M_MB L1_GET_INSTR [0 ] 0
+M_MB L1_GETS [0 ] 0
+M_MB L1_GETX [0 ] 0
+M_MB L1_UPGRADE [0 ] 0
+M_MB L1_PUTX [0 ] 0
+M_MB L1_PUTX_old [0 ] 0
+M_MB L2_Replacement [0 ] 0
+M_MB L2_Replacement_clean [0 ] 0
+M_MB Exclusive_Unblock [0 ] 0
+M_MB MEM_Inv [0 ] 0
+
+MT_IIB L1_GET_INSTR [0 ] 0
+MT_IIB L1_GETS [0 ] 0
+MT_IIB L1_GETX [0 ] 0
+MT_IIB L1_UPGRADE [0 ] 0
+MT_IIB L1_PUTX [0 ] 0
+MT_IIB L1_PUTX_old [0 ] 0
+MT_IIB L2_Replacement [0 ] 0
+MT_IIB L2_Replacement_clean [0 ] 0
+MT_IIB WB_Data [0 ] 0
+MT_IIB WB_Data_clean [0 ] 0
+MT_IIB Unblock [0 ] 0
+MT_IIB MEM_Inv [0 ] 0
+
+MT_IB L1_GET_INSTR [0 ] 0
+MT_IB L1_GETS [0 ] 0
+MT_IB L1_GETX [0 ] 0
+MT_IB L1_UPGRADE [0 ] 0
+MT_IB L1_PUTX [0 ] 0
+MT_IB L1_PUTX_old [0 ] 0
+MT_IB L2_Replacement [0 ] 0
+MT_IB L2_Replacement_clean [0 ] 0
+MT_IB WB_Data [0 ] 0
+MT_IB WB_Data_clean [0 ] 0
+MT_IB Unblock_Cancel [0 ] 0
+MT_IB MEM_Inv [0 ] 0
+
+MT_SB L1_GET_INSTR [0 ] 0
+MT_SB L1_GETS [0 ] 0
+MT_SB L1_GETX [0 ] 0
+MT_SB L1_UPGRADE [0 ] 0
+MT_SB L1_PUTX [0 ] 0
+MT_SB L1_PUTX_old [0 ] 0
+MT_SB L2_Replacement [0 ] 0
+MT_SB L2_Replacement_clean [0 ] 0
+MT_SB Unblock [0 ] 0
+MT_SB MEM_Inv [0 ] 0
+
+Memory controller: system.dir_cntrl0.memBuffer:
+ memory_total_requests: 650
+ memory_reads: 547
+ memory_writes: 103
+ memory_refreshes: 219
+ memory_total_request_delays: 306
+ memory_delays_per_request: 0.470769
+ memory_delays_in_input_queue: 27
+ memory_delays_behind_head_of_bank_queue: 0
+ memory_delays_stalled_at_head_of_bank_queue: 279
+ memory_stalls_for_bank_busy: 56
+ memory_stalls_for_random_busy: 0
+ memory_stalls_for_anti_starvation: 0
+ memory_stalls_for_arbitration: 9
+ memory_stalls_for_bus: 94
+ memory_stalls_for_tfaw: 0
+ memory_stalls_for_read_write_turnaround: 120
+ memory_stalls_for_read_read_turnaround: 0
+ accesses_per_bank: 26 14 0 49 21 21 42 25 6 4 7 4 24 42 26 3 5 7 7 18 10 29 15 50 19 5 6 16 14 24 19 92
+
+ --- Directory ---
+ - Event Counts -
+Fetch [547 ] 547
+Data [103 ] 103
+Memory_Data [547 ] 547
+Memory_Ack [103 ] 103
+DMA_READ [0 ] 0
+DMA_WRITE [0 ] 0
+CleanReplacement [436 ] 436
+
+ - Transitions -
+I Fetch [547 ] 547
+I DMA_READ [0 ] 0
+I DMA_WRITE [0 ] 0
+
+ID Fetch [0 ] 0
+ID Data [0 ] 0
+ID Memory_Data [0 ] 0
+ID DMA_READ [0 ] 0
+ID DMA_WRITE [0 ] 0
+
+ID_W Fetch [0 ] 0
+ID_W Data [0 ] 0
+ID_W Memory_Ack [0 ] 0
+ID_W DMA_READ [0 ] 0
+ID_W DMA_WRITE [0 ] 0
+
+M Data [103 ] 103
+M DMA_READ [0 ] 0
+M DMA_WRITE [0 ] 0
+M CleanReplacement [436 ] 436
+
+IM Fetch [0 ] 0
+IM Data [0 ] 0
+IM Memory_Data [547 ] 547
+IM DMA_READ [0 ] 0
+IM DMA_WRITE [0 ] 0
+
+MI Fetch [0 ] 0
+MI Data [0 ] 0
+MI Memory_Ack [103 ] 103
+MI DMA_READ [0 ] 0
+MI DMA_WRITE [0 ] 0
+
+M_DRD Data [0 ] 0
+M_DRD DMA_READ [0 ] 0
+M_DRD DMA_WRITE [0 ] 0
+
+M_DRDI Fetch [0 ] 0
+M_DRDI Data [0 ] 0
+M_DRDI Memory_Ack [0 ] 0
+M_DRDI DMA_READ [0 ] 0
+M_DRDI DMA_WRITE [0 ] 0
+
+M_DWR Data [0 ] 0
+M_DWR DMA_READ [0 ] 0
+M_DWR DMA_WRITE [0 ] 0
+
+M_DWRI Fetch [0 ] 0
+M_DWRI Data [0 ] 0
+M_DWRI Memory_Ack [0 ] 0
+M_DWRI DMA_READ [0 ] 0
+M_DWRI DMA_WRITE [0 ] 0
+
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/simerr b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/simerr
new file mode 100755
index 000000000..31ae36f2e
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/simerr
@@ -0,0 +1,3 @@
+warn: Sockets disabled, not accepting gdb connections
+warn: ignoring syscall sigprocmask(18446744073709547831, 1, ...)
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/simout b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/simout
new file mode 100755
index 000000000..dc0ba2922
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:44:57
+gem5 started Jan 23 2012 04:21:56
+gem5 executing on zizzer
+command line: build/ALPHA_SE_MESI_CMP_directory/gem5.opt -d build/ALPHA_SE_MESI_CMP_directory/tests/opt/quick/00.hello/alpha/tru64/simple-timing-ruby-MESI_CMP_directory -re tests/run.py build/ALPHA_SE_MESI_CMP_directory/tests/opt/quick/00.hello/alpha/tru64/simple-timing-ruby-MESI_CMP_directory
+Global frequency set at 1000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 104867 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/stats.txt b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/stats.txt
new file mode 100644
index 000000000..ebac3fa83
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MESI_CMP_directory/stats.txt
@@ -0,0 +1,77 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000105 # Number of seconds simulated
+sim_ticks 104867 # Number of ticks simulated
+final_tick 104867 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000 # Frequency of simulated ticks
+host_inst_rate 1196 # Simulator instruction rate (inst/s)
+host_tick_rate 48657 # Simulator tick rate (ticks/s)
+host_mem_usage 217208 # Number of bytes of host memory used
+host_seconds 2.16 # Real time elapsed on the host
+sim_insts 2577 # Number of instructions simulated
+system.physmem.bytes_read 13356 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 10340 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 2058 # Number of bytes written to this memory
+system.physmem.num_reads 3000 # Number of read requests responded to by this memory
+system.physmem.num_writes 294 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 127361324 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 98601085 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 19624858 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 146986182 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 415 # DTB read hits
+system.cpu.dtb.read_misses 4 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 419 # DTB read accesses
+system.cpu.dtb.write_hits 294 # DTB write hits
+system.cpu.dtb.write_misses 4 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 298 # DTB write accesses
+system.cpu.dtb.data_hits 709 # DTB hits
+system.cpu.dtb.data_misses 8 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 717 # DTB accesses
+system.cpu.itb.fetch_hits 2586 # ITB hits
+system.cpu.itb.fetch_misses 11 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 2597 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 4 # Number of system calls
+system.cpu.numCycles 104867 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 2577 # Number of instructions executed
+system.cpu.num_int_alu_accesses 2375 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 6 # Number of float alu accesses
+system.cpu.num_func_calls 140 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 238 # number of instructions that are conditional controls
+system.cpu.num_int_insts 2375 # number of integer instructions
+system.cpu.num_fp_insts 6 # number of float instructions
+system.cpu.num_int_register_reads 2998 # number of times the integer registers were read
+system.cpu.num_int_register_writes 1768 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 6 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 717 # number of memory refs
+system.cpu.num_load_insts 419 # Number of load instructions
+system.cpu.num_store_insts 298 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 104867 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/config.ini b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/config.ini
new file mode 100644
index 000000000..e5748fef4
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/config.ini
@@ -0,0 +1,323 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000
+time_sync_spin_threshold=100000
+
+[system]
+type=System
+children=cpu dir_cntrl0 l1_cntrl0 l2_cntrl0 physmem ruby sys_port_proxy
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=1
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.l1_cntrl0.sequencer.port[1]
+icache_port=system.l1_cntrl0.sequencer.port[0]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/tru64/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer
+buffer_size=0
+cntrl_id=2
+directory=system.dir_cntrl0.directory
+directory_latency=6
+memBuffer=system.dir_cntrl0.memBuffer
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=L1DcacheMemory L1IcacheMemory sequencer
+L1DcacheMemory=system.l1_cntrl0.L1DcacheMemory
+L1IcacheMemory=system.l1_cntrl0.L1IcacheMemory
+buffer_size=0
+cntrl_id=0
+l2_select_num_bits=0
+number_of_TBEs=256
+recycle_latency=10
+request_latency=2
+ruby_system=system.ruby
+sequencer=system.l1_cntrl0.sequencer
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.L1DcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.L1IcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.L1DcacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.L1IcacheMemory
+max_outstanding_requests=16
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[0]
+port=system.cpu.icache_port system.cpu.dcache_port
+
+[system.l2_cntrl0]
+type=L2Cache_Controller
+children=L2cacheMemory
+L2cacheMemory=system.l2_cntrl0.L2cacheMemory
+buffer_size=0
+cntrl_id=1
+number_of_TBEs=256
+recycle_latency=10
+request_latency=2
+response_latency=2
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.l2_cntrl0.L2cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=15
+replacement_policy=PSEUDO_LRU
+size=512
+start_index_bit=6
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.l1_cntrl0.sequencer.physMemPort system.sys_port_proxy.physMemPort
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=1
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 ext_links2 int_links0 int_links1 int_links2 routers0 routers1 routers2 routers3
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1 system.ruby.network.topology.ext_links2
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1 system.ruby.network.topology.int_links2
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2 system.ruby.network.topology.routers3
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l2_cntrl0
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.ext_links2]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers2
+latency=1
+link_id=2
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=3
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=4
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.int_links2]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=5
+node_a=system.ruby.network.topology.routers2
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.network.topology.routers3]
+type=BasicRouter
+router_id=3
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=1
+ruby_system=system.ruby
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[1]
+port=system.system_port
+
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/ruby.stats b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/ruby.stats
new file mode 100644
index 000000000..f2273438f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/ruby.stats
@@ -0,0 +1,1470 @@
+
+================ Begin RubySystem Configuration Print ================
+
+RubySystem config:
+ random_seed: 1234
+ randomization: 0
+ cycle_period: 1
+ block_size_bytes: 64
+ block_size_bits: 6
+ memory_size_bytes: 134217728
+ memory_size_bits: 27
+
+Network Configuration
+---------------------
+network: SIMPLE_NETWORK
+topology:
+
+virtual_net_0: active, unordered
+virtual_net_1: active, unordered
+virtual_net_2: active, unordered
+virtual_net_3: inactive
+virtual_net_4: inactive
+virtual_net_5: inactive
+virtual_net_6: inactive
+virtual_net_7: inactive
+virtual_net_8: inactive
+virtual_net_9: inactive
+
+
+Profiler Configuration
+----------------------
+periodic_stats_period: 1000000
+
+================ End RubySystem Configuration Print ================
+
+
+Real time: Jan/23/2012 04:22:12
+
+Profiler Stats
+--------------
+Elapsed_time_in_seconds: 0
+Elapsed_time_in_minutes: 0
+Elapsed_time_in_hours: 0
+Elapsed_time_in_days: 0
+
+Virtual_time_in_seconds: 0.25
+Virtual_time_in_minutes: 0.00416667
+Virtual_time_in_hours: 6.94444e-05
+Virtual_time_in_days: 2.89352e-06
+
+Ruby_current_time: 85418
+Ruby_start_time: 0
+Ruby_cycles: 85418
+
+mbytes_resident: 42.9688
+mbytes_total: 212.301
+resident_ratio: 0.202396
+
+ruby_cycles_executed: [ 85419 ]
+
+Busy Controller Counts:
+L2Cache-0:0
+L1Cache-0:0
+
+Directory-0:0
+
+Busy Bank Count:0
+
+sequencer_requests_outstanding: [binsize: 1 max: 1 count: 3295 average: 1 | standard deviation: 0 | 0 3295 ]
+
+All Non-Zero Cycle Demand Cache Accesses
+----------------------------------------
+miss_latency: [binsize: 2 max: 281 count: 3294 average: 24.9314 | standard deviation: 56.0488 | 0 2784 0 0 0 0 0 0 0 67 20 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 80 91 84 78 63 5 2 2 1 3 3 0 2 2 1 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_LD: [binsize: 2 max: 277 count: 415 average: 60.9277 | standard deviation: 78.686 | 0 233 0 0 0 0 0 0 0 40 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 31 17 41 19 25 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST: [binsize: 2 max: 245 count: 294 average: 28.5238 | standard deviation: 59.597 | 0 236 0 0 0 0 0 0 0 0 15 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17 3 12 7 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH: [binsize: 2 max: 281 count: 2585 average: 18.7439 | standard deviation: 48.5885 | 0 2315 0 0 0 0 0 0 0 27 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 49 57 40 47 31 2 2 2 1 3 2 0 2 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_NULL: [binsize: 2 max: 281 count: 3294 average: 24.9314 | standard deviation: 56.0488 | 0 2784 0 0 0 0 0 0 0 67 20 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 80 91 84 78 63 5 2 2 1 3 3 0 2 2 1 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_wCC_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_wCC_Times: 0
+miss_latency_dir_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_dir_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_dir_Times: 0
+miss_latency_LD_NULL: [binsize: 2 max: 277 count: 415 average: 60.9277 | standard deviation: 78.686 | 0 233 0 0 0 0 0 0 0 40 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 31 17 41 19 25 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST_NULL: [binsize: 2 max: 245 count: 294 average: 28.5238 | standard deviation: 59.597 | 0 236 0 0 0 0 0 0 0 0 15 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 17 3 12 7 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH_NULL: [binsize: 2 max: 281 count: 2585 average: 18.7439 | standard deviation: 48.5885 | 0 2315 0 0 0 0 0 0 0 27 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 49 57 40 47 31 2 2 2 1 3 2 0 2 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+
+All Non-Zero Cycle SW Prefetch Requests
+------------------------------------
+prefetch_latency: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+prefetch_latency_L2Miss:[binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Request vs. RubySystem State Profile
+--------------------------------
+
+
+filter_action: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Message Delayed Cycles
+----------------------
+Total_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Total_nonPF_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_0_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_1_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_2_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_3_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_4_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_5_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_6_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_7_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_8_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_9_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Resource Usage
+--------------
+page_size: 4096
+user_time: 0
+system_time: 0
+page_reclaims: 11325
+page_faults: 11
+swaps: 0
+block_inputs: 1584
+block_outputs: 88
+
+Network Stats
+-------------
+
+total_msg_count_Request_Control: 2799 22392
+total_msg_count_Response_Data: 2538 182736
+total_msg_count_ResponseL2hit_Data: 261 18792
+total_msg_count_Writeback_Data: 1734 124848
+total_msg_count_Writeback_Control: 6447 51576
+total_msg_count_Unblock_Control: 2798 22384
+total_msgs: 16577 total_bytes: 422728
+
+switch_0_inlinks: 2
+switch_0_outlinks: 2
+links_utilized_percent_switch_0: 5.15524
+ links_utilized_percent_switch_0_link_0: 6.00225 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_0_link_1: 4.30823 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_0_link_0_Request_Control: 510 4080 [ 510 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Response_Data: 423 30456 [ 0 0 423 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Writeback_Data: 502 36144 [ 0 0 502 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Writeback_Control: 909 7272 [ 502 407 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Unblock_Control: 510 4080 [ 0 0 510 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Request_Control: 423 3384 [ 0 423 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Response_Data: 423 30456 [ 0 0 423 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_ResponseL2hit_Data: 87 6264 [ 0 0 87 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Data: 76 5472 [ 0 0 76 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Control: 1240 9920 [ 502 407 331 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Unblock_Control: 423 3384 [ 0 0 423 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_1_inlinks: 2
+switch_1_outlinks: 2
+links_utilized_percent_switch_1: 3.2581
+ links_utilized_percent_switch_1_link_0: 2.98064 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_1_link_1: 3.53555 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_1_link_0_Response_Data: 423 30456 [ 0 0 423 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_ResponseL2hit_Data: 87 6264 [ 0 0 87 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Writeback_Control: 502 4016 [ 502 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Request_Control: 510 4080 [ 510 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Data: 502 36144 [ 0 0 502 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Control: 502 4016 [ 502 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Unblock_Control: 510 4080 [ 0 0 510 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_2_inlinks: 2
+switch_2_outlinks: 2
+links_utilized_percent_switch_2: 1.89685
+ links_utilized_percent_switch_2_link_0: 1.327 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_2_link_1: 2.46669 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_2_link_0_Request_Control: 423 3384 [ 0 423 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Data: 76 5472 [ 0 0 76 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Control: 738 5904 [ 0 407 331 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Unblock_Control: 422 3376 [ 0 0 422 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Response_Data: 423 30456 [ 0 0 423 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Writeback_Control: 407 3256 [ 0 407 0 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_3_inlinks: 3
+switch_3_outlinks: 3
+links_utilized_percent_switch_3: 3.43682
+ links_utilized_percent_switch_3_link_0: 6.00225 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_3_link_1: 2.98064 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_3_link_2: 1.32759 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_3_link_0_Request_Control: 510 4080 [ 510 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Response_Data: 423 30456 [ 0 0 423 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Writeback_Data: 502 36144 [ 0 0 502 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Writeback_Control: 909 7272 [ 502 407 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Unblock_Control: 510 4080 [ 0 0 510 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Response_Data: 423 30456 [ 0 0 423 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_ResponseL2hit_Data: 87 6264 [ 0 0 87 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Writeback_Control: 502 4016 [ 502 0 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Request_Control: 423 3384 [ 0 423 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Writeback_Data: 76 5472 [ 0 0 76 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Writeback_Control: 738 5904 [ 0 407 331 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Unblock_Control: 423 3384 [ 0 0 423 0 0 0 0 0 0 0 ] base_latency: 1
+
+Cache Stats: system.l1_cntrl0.L1IcacheMemory
+ system.l1_cntrl0.L1IcacheMemory_total_misses: 0
+ system.l1_cntrl0.L1IcacheMemory_total_demand_misses: 0
+ system.l1_cntrl0.L1IcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_hw_prefetches: 0
+
+
+Cache Stats: system.l1_cntrl0.L1DcacheMemory
+ system.l1_cntrl0.L1DcacheMemory_total_misses: 0
+ system.l1_cntrl0.L1DcacheMemory_total_demand_misses: 0
+ system.l1_cntrl0.L1DcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_hw_prefetches: 0
+
+
+ --- L1Cache ---
+ - Event Counts -
+Load [415 ] 415
+Ifetch [2585 ] 2585
+Store [294 ] 294
+L1_Replacement [506 ] 506
+Own_GETX [0 ] 0
+Fwd_GETX [0 ] 0
+Fwd_GETS [0 ] 0
+Fwd_DMA [0 ] 0
+Inv [0 ] 0
+Ack [0 ] 0
+Data [0 ] 0
+Exclusive_Data [510 ] 510
+Writeback_Ack [0 ] 0
+Writeback_Ack_Data [502 ] 502
+Writeback_Nack [0 ] 0
+All_acks [58 ] 58
+Use_Timeout [509 ] 509
+
+ - Transitions -
+I Load [182 ] 182
+I Ifetch [270 ] 270
+I Store [58 ] 58
+I L1_Replacement [0 ] 0
+I Inv [0 ] 0
+
+S Load [0 ] 0
+S Ifetch [0 ] 0
+S Store [0 ] 0
+S L1_Replacement [0 ] 0
+S Fwd_GETS [0 ] 0
+S Fwd_DMA [0 ] 0
+S Inv [0 ] 0
+
+O Load [0 ] 0
+O Ifetch [0 ] 0
+O Store [0 ] 0
+O L1_Replacement [0 ] 0
+O Fwd_GETX [0 ] 0
+O Fwd_GETS [0 ] 0
+O Fwd_DMA [0 ] 0
+
+M Load [82 ] 82
+M Ifetch [1220 ] 1220
+M Store [33 ] 33
+M L1_Replacement [406 ] 406
+M Fwd_GETX [0 ] 0
+M Fwd_GETS [0 ] 0
+M Fwd_DMA [0 ] 0
+
+M_W Load [49 ] 49
+M_W Ifetch [1095 ] 1095
+M_W Store [7 ] 7
+M_W L1_Replacement [4 ] 4
+M_W Own_GETX [0 ] 0
+M_W Fwd_GETX [0 ] 0
+M_W Fwd_GETS [0 ] 0
+M_W Fwd_DMA [0 ] 0
+M_W Inv [0 ] 0
+M_W Use_Timeout [444 ] 444
+
+MM Load [99 ] 99
+MM Ifetch [0 ] 0
+MM Store [114 ] 114
+MM L1_Replacement [96 ] 96
+MM Fwd_GETX [0 ] 0
+MM Fwd_GETS [0 ] 0
+MM Fwd_DMA [0 ] 0
+
+MM_W Load [3 ] 3
+MM_W Ifetch [0 ] 0
+MM_W Store [82 ] 82
+MM_W L1_Replacement [0 ] 0
+MM_W Own_GETX [0 ] 0
+MM_W Fwd_GETX [0 ] 0
+MM_W Fwd_GETS [0 ] 0
+MM_W Fwd_DMA [0 ] 0
+MM_W Inv [0 ] 0
+MM_W Use_Timeout [65 ] 65
+
+IM Load [0 ] 0
+IM Ifetch [0 ] 0
+IM Store [0 ] 0
+IM L1_Replacement [0 ] 0
+IM Inv [0 ] 0
+IM Ack [0 ] 0
+IM Data [0 ] 0
+IM Exclusive_Data [58 ] 58
+
+SM Load [0 ] 0
+SM Ifetch [0 ] 0
+SM Store [0 ] 0
+SM L1_Replacement [0 ] 0
+SM Fwd_GETS [0 ] 0
+SM Fwd_DMA [0 ] 0
+SM Inv [0 ] 0
+SM Ack [0 ] 0
+SM Data [0 ] 0
+SM Exclusive_Data [0 ] 0
+
+OM Load [0 ] 0
+OM Ifetch [0 ] 0
+OM Store [0 ] 0
+OM L1_Replacement [0 ] 0
+OM Own_GETX [0 ] 0
+OM Fwd_GETX [0 ] 0
+OM Fwd_GETS [0 ] 0
+OM Fwd_DMA [0 ] 0
+OM Ack [0 ] 0
+OM All_acks [58 ] 58
+
+IS Load [0 ] 0
+IS Ifetch [0 ] 0
+IS Store [0 ] 0
+IS L1_Replacement [0 ] 0
+IS Inv [0 ] 0
+IS Data [0 ] 0
+IS Exclusive_Data [452 ] 452
+
+SI Load [0 ] 0
+SI Ifetch [0 ] 0
+SI Store [0 ] 0
+SI L1_Replacement [0 ] 0
+SI Fwd_GETS [0 ] 0
+SI Fwd_DMA [0 ] 0
+SI Inv [0 ] 0
+SI Writeback_Ack [0 ] 0
+SI Writeback_Ack_Data [0 ] 0
+SI Writeback_Nack [0 ] 0
+
+OI Load [0 ] 0
+OI Ifetch [0 ] 0
+OI Store [0 ] 0
+OI L1_Replacement [0 ] 0
+OI Fwd_GETX [0 ] 0
+OI Fwd_GETS [0 ] 0
+OI Fwd_DMA [0 ] 0
+OI Writeback_Ack [0 ] 0
+OI Writeback_Ack_Data [0 ] 0
+OI Writeback_Nack [0 ] 0
+
+MI Load [0 ] 0
+MI Ifetch [0 ] 0
+MI Store [0 ] 0
+MI L1_Replacement [0 ] 0
+MI Fwd_GETX [0 ] 0
+MI Fwd_GETS [0 ] 0
+MI Fwd_DMA [0 ] 0
+MI Writeback_Ack [0 ] 0
+MI Writeback_Ack_Data [502 ] 502
+MI Writeback_Nack [0 ] 0
+
+II Load [0 ] 0
+II Ifetch [0 ] 0
+II Store [0 ] 0
+II L1_Replacement [0 ] 0
+II Inv [0 ] 0
+II Writeback_Ack [0 ] 0
+II Writeback_Ack_Data [0 ] 0
+II Writeback_Nack [0 ] 0
+
+Cache Stats: system.l2_cntrl0.L2cacheMemory
+ system.l2_cntrl0.L2cacheMemory_total_misses: 0
+ system.l2_cntrl0.L2cacheMemory_total_demand_misses: 0
+ system.l2_cntrl0.L2cacheMemory_total_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_sw_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_hw_prefetches: 0
+
+
+ --- L2Cache ---
+ - Event Counts -
+L1_GETS [454 ] 454
+L1_GETX [58 ] 58
+L1_PUTO [0 ] 0
+L1_PUTX [502 ] 502
+L1_PUTS_only [0 ] 0
+L1_PUTS [0 ] 0
+Fwd_GETX [0 ] 0
+Fwd_GETS [0 ] 0
+Fwd_DMA [0 ] 0
+Own_GETX [0 ] 0
+Inv [0 ] 0
+IntAck [0 ] 0
+ExtAck [0 ] 0
+All_Acks [43 ] 43
+Data [43 ] 43
+Data_Exclusive [380 ] 380
+L1_WBCLEANDATA [396 ] 396
+L1_WBDIRTYDATA [106 ] 106
+Writeback_Ack [407 ] 407
+Writeback_Nack [0 ] 0
+Unblock [0 ] 0
+Exclusive_Unblock [510 ] 510
+DmaAck [0 ] 0
+L2_Replacement [407 ] 407
+
+ - Transitions -
+NP L1_GETS [380 ] 380
+NP L1_GETX [43 ] 43
+NP L1_PUTO [0 ] 0
+NP L1_PUTX [0 ] 0
+NP L1_PUTS [0 ] 0
+NP Inv [0 ] 0
+
+I L1_GETS [0 ] 0
+I L1_GETX [0 ] 0
+I L1_PUTO [0 ] 0
+I L1_PUTX [0 ] 0
+I L1_PUTS [0 ] 0
+I Inv [0 ] 0
+I L2_Replacement [0 ] 0
+
+ILS L1_GETS [0 ] 0
+ILS L1_GETX [0 ] 0
+ILS L1_PUTO [0 ] 0
+ILS L1_PUTX [0 ] 0
+ILS L1_PUTS_only [0 ] 0
+ILS L1_PUTS [0 ] 0
+ILS Inv [0 ] 0
+ILS L2_Replacement [0 ] 0
+
+ILX L1_GETS [0 ] 0
+ILX L1_GETX [0 ] 0
+ILX L1_PUTO [0 ] 0
+ILX L1_PUTX [502 ] 502
+ILX L1_PUTS_only [0 ] 0
+ILX L1_PUTS [0 ] 0
+ILX Fwd_GETX [0 ] 0
+ILX Fwd_GETS [0 ] 0
+ILX Fwd_DMA [0 ] 0
+ILX Inv [0 ] 0
+ILX Data [0 ] 0
+ILX L2_Replacement [0 ] 0
+
+ILO L1_GETS [0 ] 0
+ILO L1_GETX [0 ] 0
+ILO L1_PUTO [0 ] 0
+ILO L1_PUTX [0 ] 0
+ILO L1_PUTS [0 ] 0
+ILO Fwd_GETX [0 ] 0
+ILO Fwd_GETS [0 ] 0
+ILO Fwd_DMA [0 ] 0
+ILO Inv [0 ] 0
+ILO Data [0 ] 0
+ILO L2_Replacement [0 ] 0
+
+ILOX L1_GETS [0 ] 0
+ILOX L1_GETX [0 ] 0
+ILOX L1_PUTO [0 ] 0
+ILOX L1_PUTX [0 ] 0
+ILOX L1_PUTS [0 ] 0
+ILOX Fwd_GETX [0 ] 0
+ILOX Fwd_GETS [0 ] 0
+ILOX Fwd_DMA [0 ] 0
+ILOX Data [0 ] 0
+
+ILOS L1_GETS [0 ] 0
+ILOS L1_GETX [0 ] 0
+ILOS L1_PUTO [0 ] 0
+ILOS L1_PUTX [0 ] 0
+ILOS L1_PUTS_only [0 ] 0
+ILOS L1_PUTS [0 ] 0
+ILOS Fwd_GETX [0 ] 0
+ILOS Fwd_GETS [0 ] 0
+ILOS Fwd_DMA [0 ] 0
+ILOS Data [0 ] 0
+ILOS L2_Replacement [0 ] 0
+
+ILOSX L1_GETS [0 ] 0
+ILOSX L1_GETX [0 ] 0
+ILOSX L1_PUTO [0 ] 0
+ILOSX L1_PUTX [0 ] 0
+ILOSX L1_PUTS_only [0 ] 0
+ILOSX L1_PUTS [0 ] 0
+ILOSX Fwd_GETX [0 ] 0
+ILOSX Fwd_GETS [0 ] 0
+ILOSX Fwd_DMA [0 ] 0
+ILOSX Data [0 ] 0
+
+S L1_GETS [0 ] 0
+S L1_GETX [0 ] 0
+S L1_PUTX [0 ] 0
+S L1_PUTS [0 ] 0
+S Inv [0 ] 0
+S L2_Replacement [0 ] 0
+
+O L1_GETS [0 ] 0
+O L1_GETX [0 ] 0
+O L1_PUTX [0 ] 0
+O Fwd_GETX [0 ] 0
+O Fwd_GETS [0 ] 0
+O Fwd_DMA [0 ] 0
+O L2_Replacement [0 ] 0
+
+OLS L1_GETS [0 ] 0
+OLS L1_GETX [0 ] 0
+OLS L1_PUTX [0 ] 0
+OLS L1_PUTS_only [0 ] 0
+OLS L1_PUTS [0 ] 0
+OLS Fwd_GETX [0 ] 0
+OLS Fwd_GETS [0 ] 0
+OLS Fwd_DMA [0 ] 0
+OLS L2_Replacement [0 ] 0
+
+OLSX L1_GETS [0 ] 0
+OLSX L1_GETX [0 ] 0
+OLSX L1_PUTO [0 ] 0
+OLSX L1_PUTX [0 ] 0
+OLSX L1_PUTS_only [0 ] 0
+OLSX L1_PUTS [0 ] 0
+OLSX Fwd_GETX [0 ] 0
+OLSX Fwd_GETS [0 ] 0
+OLSX Fwd_DMA [0 ] 0
+OLSX L2_Replacement [0 ] 0
+
+SLS L1_GETS [0 ] 0
+SLS L1_GETX [0 ] 0
+SLS L1_PUTX [0 ] 0
+SLS L1_PUTS_only [0 ] 0
+SLS L1_PUTS [0 ] 0
+SLS Inv [0 ] 0
+SLS L2_Replacement [0 ] 0
+
+M L1_GETS [72 ] 72
+M L1_GETX [15 ] 15
+M L1_PUTO [0 ] 0
+M L1_PUTX [0 ] 0
+M L1_PUTS [0 ] 0
+M Fwd_GETX [0 ] 0
+M Fwd_GETS [0 ] 0
+M Fwd_DMA [0 ] 0
+M L2_Replacement [407 ] 407
+
+IFGX L1_GETS [0 ] 0
+IFGX L1_GETX [0 ] 0
+IFGX L1_PUTO [0 ] 0
+IFGX L1_PUTX [0 ] 0
+IFGX L1_PUTS_only [0 ] 0
+IFGX L1_PUTS [0 ] 0
+IFGX Fwd_GETX [0 ] 0
+IFGX Fwd_GETS [0 ] 0
+IFGX Fwd_DMA [0 ] 0
+IFGX Inv [0 ] 0
+IFGX Data [0 ] 0
+IFGX Data_Exclusive [0 ] 0
+IFGX L2_Replacement [0 ] 0
+
+IFGS L1_GETS [0 ] 0
+IFGS L1_GETX [0 ] 0
+IFGS L1_PUTO [0 ] 0
+IFGS L1_PUTX [0 ] 0
+IFGS L1_PUTS_only [0 ] 0
+IFGS L1_PUTS [0 ] 0
+IFGS Fwd_GETX [0 ] 0
+IFGS Fwd_GETS [0 ] 0
+IFGS Fwd_DMA [0 ] 0
+IFGS Inv [0 ] 0
+IFGS Data [0 ] 0
+IFGS Data_Exclusive [0 ] 0
+IFGS L2_Replacement [0 ] 0
+
+ISFGS L1_GETS [0 ] 0
+ISFGS L1_GETX [0 ] 0
+ISFGS L1_PUTO [0 ] 0
+ISFGS L1_PUTX [0 ] 0
+ISFGS L1_PUTS_only [0 ] 0
+ISFGS L1_PUTS [0 ] 0
+ISFGS Fwd_GETX [0 ] 0
+ISFGS Fwd_GETS [0 ] 0
+ISFGS Fwd_DMA [0 ] 0
+ISFGS Inv [0 ] 0
+ISFGS Data [0 ] 0
+ISFGS L2_Replacement [0 ] 0
+
+IFGXX L1_GETS [0 ] 0
+IFGXX L1_GETX [0 ] 0
+IFGXX L1_PUTO [0 ] 0
+IFGXX L1_PUTX [0 ] 0
+IFGXX L1_PUTS_only [0 ] 0
+IFGXX L1_PUTS [0 ] 0
+IFGXX Fwd_GETX [0 ] 0
+IFGXX Fwd_GETS [0 ] 0
+IFGXX Fwd_DMA [0 ] 0
+IFGXX Inv [0 ] 0
+IFGXX IntAck [0 ] 0
+IFGXX All_Acks [0 ] 0
+IFGXX Data_Exclusive [0 ] 0
+IFGXX L2_Replacement [0 ] 0
+
+OFGX L1_GETS [0 ] 0
+OFGX L1_GETX [0 ] 0
+OFGX L1_PUTO [0 ] 0
+OFGX L1_PUTX [0 ] 0
+OFGX L1_PUTS_only [0 ] 0
+OFGX L1_PUTS [0 ] 0
+OFGX Fwd_GETX [0 ] 0
+OFGX Fwd_GETS [0 ] 0
+OFGX Fwd_DMA [0 ] 0
+OFGX Inv [0 ] 0
+OFGX L2_Replacement [0 ] 0
+
+OLSF L1_GETS [0 ] 0
+OLSF L1_GETX [0 ] 0
+OLSF L1_PUTO [0 ] 0
+OLSF L1_PUTX [0 ] 0
+OLSF L1_PUTS_only [0 ] 0
+OLSF L1_PUTS [0 ] 0
+OLSF Fwd_GETX [0 ] 0
+OLSF Fwd_GETS [0 ] 0
+OLSF Fwd_DMA [0 ] 0
+OLSF Inv [0 ] 0
+OLSF IntAck [0 ] 0
+OLSF All_Acks [0 ] 0
+OLSF L2_Replacement [0 ] 0
+
+ILOW L1_GETS [0 ] 0
+ILOW L1_GETX [0 ] 0
+ILOW L1_PUTO [0 ] 0
+ILOW L1_PUTX [0 ] 0
+ILOW L1_PUTS_only [0 ] 0
+ILOW L1_PUTS [0 ] 0
+ILOW Fwd_GETX [0 ] 0
+ILOW Fwd_GETS [0 ] 0
+ILOW Fwd_DMA [0 ] 0
+ILOW Inv [0 ] 0
+ILOW L1_WBCLEANDATA [0 ] 0
+ILOW L1_WBDIRTYDATA [0 ] 0
+ILOW Unblock [0 ] 0
+ILOW L2_Replacement [0 ] 0
+
+ILOXW L1_GETS [0 ] 0
+ILOXW L1_GETX [0 ] 0
+ILOXW L1_PUTO [0 ] 0
+ILOXW L1_PUTX [0 ] 0
+ILOXW L1_PUTS_only [0 ] 0
+ILOXW L1_PUTS [0 ] 0
+ILOXW Fwd_GETX [0 ] 0
+ILOXW Fwd_GETS [0 ] 0
+ILOXW Fwd_DMA [0 ] 0
+ILOXW Inv [0 ] 0
+ILOXW L1_WBCLEANDATA [0 ] 0
+ILOXW L1_WBDIRTYDATA [0 ] 0
+ILOXW Unblock [0 ] 0
+ILOXW L2_Replacement [0 ] 0
+
+ILOSW L1_GETS [0 ] 0
+ILOSW L1_GETX [0 ] 0
+ILOSW L1_PUTO [0 ] 0
+ILOSW L1_PUTX [0 ] 0
+ILOSW L1_PUTS_only [0 ] 0
+ILOSW L1_PUTS [0 ] 0
+ILOSW Fwd_GETX [0 ] 0
+ILOSW Fwd_GETS [0 ] 0
+ILOSW Fwd_DMA [0 ] 0
+ILOSW Inv [0 ] 0
+ILOSW L1_WBCLEANDATA [0 ] 0
+ILOSW L1_WBDIRTYDATA [0 ] 0
+ILOSW Unblock [0 ] 0
+ILOSW L2_Replacement [0 ] 0
+
+ILOSXW L1_GETS [0 ] 0
+ILOSXW L1_GETX [0 ] 0
+ILOSXW L1_PUTO [0 ] 0
+ILOSXW L1_PUTX [0 ] 0
+ILOSXW L1_PUTS_only [0 ] 0
+ILOSXW L1_PUTS [0 ] 0
+ILOSXW Fwd_GETX [0 ] 0
+ILOSXW Fwd_GETS [0 ] 0
+ILOSXW Fwd_DMA [0 ] 0
+ILOSXW Inv [0 ] 0
+ILOSXW L1_WBCLEANDATA [0 ] 0
+ILOSXW L1_WBDIRTYDATA [0 ] 0
+ILOSXW Unblock [0 ] 0
+ILOSXW L2_Replacement [0 ] 0
+
+SLSW L1_GETS [0 ] 0
+SLSW L1_GETX [0 ] 0
+SLSW L1_PUTO [0 ] 0
+SLSW L1_PUTX [0 ] 0
+SLSW L1_PUTS_only [0 ] 0
+SLSW L1_PUTS [0 ] 0
+SLSW Fwd_GETX [0 ] 0
+SLSW Fwd_GETS [0 ] 0
+SLSW Fwd_DMA [0 ] 0
+SLSW Inv [0 ] 0
+SLSW Unblock [0 ] 0
+SLSW L2_Replacement [0 ] 0
+
+OLSW L1_GETS [0 ] 0
+OLSW L1_GETX [0 ] 0
+OLSW L1_PUTO [0 ] 0
+OLSW L1_PUTX [0 ] 0
+OLSW L1_PUTS_only [0 ] 0
+OLSW L1_PUTS [0 ] 0
+OLSW Fwd_GETX [0 ] 0
+OLSW Fwd_GETS [0 ] 0
+OLSW Fwd_DMA [0 ] 0
+OLSW Inv [0 ] 0
+OLSW Unblock [0 ] 0
+OLSW L2_Replacement [0 ] 0
+
+ILSW L1_GETS [0 ] 0
+ILSW L1_GETX [0 ] 0
+ILSW L1_PUTO [0 ] 0
+ILSW L1_PUTX [0 ] 0
+ILSW L1_PUTS_only [0 ] 0
+ILSW L1_PUTS [0 ] 0
+ILSW Fwd_GETX [0 ] 0
+ILSW Fwd_GETS [0 ] 0
+ILSW Fwd_DMA [0 ] 0
+ILSW Inv [0 ] 0
+ILSW L1_WBCLEANDATA [0 ] 0
+ILSW Unblock [0 ] 0
+ILSW L2_Replacement [0 ] 0
+
+IW L1_GETS [0 ] 0
+IW L1_GETX [0 ] 0
+IW L1_PUTO [0 ] 0
+IW L1_PUTX [0 ] 0
+IW L1_PUTS_only [0 ] 0
+IW L1_PUTS [0 ] 0
+IW Fwd_GETX [0 ] 0
+IW Fwd_GETS [0 ] 0
+IW Fwd_DMA [0 ] 0
+IW Inv [0 ] 0
+IW L1_WBCLEANDATA [0 ] 0
+IW L2_Replacement [0 ] 0
+
+OW L1_GETS [0 ] 0
+OW L1_GETX [0 ] 0
+OW L1_PUTO [0 ] 0
+OW L1_PUTX [0 ] 0
+OW L1_PUTS_only [0 ] 0
+OW L1_PUTS [0 ] 0
+OW Fwd_GETX [0 ] 0
+OW Fwd_GETS [0 ] 0
+OW Fwd_DMA [0 ] 0
+OW Inv [0 ] 0
+OW Unblock [0 ] 0
+OW L2_Replacement [0 ] 0
+
+SW L1_GETS [0 ] 0
+SW L1_GETX [0 ] 0
+SW L1_PUTO [0 ] 0
+SW L1_PUTX [0 ] 0
+SW L1_PUTS_only [0 ] 0
+SW L1_PUTS [0 ] 0
+SW Fwd_GETX [0 ] 0
+SW Fwd_GETS [0 ] 0
+SW Fwd_DMA [0 ] 0
+SW Inv [0 ] 0
+SW Unblock [0 ] 0
+SW L2_Replacement [0 ] 0
+
+OXW L1_GETS [0 ] 0
+OXW L1_GETX [0 ] 0
+OXW L1_PUTO [0 ] 0
+OXW L1_PUTX [0 ] 0
+OXW L1_PUTS_only [0 ] 0
+OXW L1_PUTS [0 ] 0
+OXW Fwd_GETX [0 ] 0
+OXW Fwd_GETS [0 ] 0
+OXW Fwd_DMA [0 ] 0
+OXW Inv [0 ] 0
+OXW Unblock [0 ] 0
+OXW L2_Replacement [0 ] 0
+
+OLSXW L1_GETS [0 ] 0
+OLSXW L1_GETX [0 ] 0
+OLSXW L1_PUTO [0 ] 0
+OLSXW L1_PUTX [0 ] 0
+OLSXW L1_PUTS_only [0 ] 0
+OLSXW L1_PUTS [0 ] 0
+OLSXW Fwd_GETX [0 ] 0
+OLSXW Fwd_GETS [0 ] 0
+OLSXW Fwd_DMA [0 ] 0
+OLSXW Inv [0 ] 0
+OLSXW Unblock [0 ] 0
+OLSXW L2_Replacement [0 ] 0
+
+ILXW L1_GETS [0 ] 0
+ILXW L1_GETX [0 ] 0
+ILXW L1_PUTO [0 ] 0
+ILXW L1_PUTX [0 ] 0
+ILXW L1_PUTS_only [0 ] 0
+ILXW L1_PUTS [0 ] 0
+ILXW Fwd_GETX [0 ] 0
+ILXW Fwd_GETS [0 ] 0
+ILXW Fwd_DMA [0 ] 0
+ILXW Inv [0 ] 0
+ILXW Data [0 ] 0
+ILXW L1_WBCLEANDATA [396 ] 396
+ILXW L1_WBDIRTYDATA [106 ] 106
+ILXW Unblock [0 ] 0
+ILXW L2_Replacement [0 ] 0
+
+IFLS L1_GETS [0 ] 0
+IFLS L1_GETX [0 ] 0
+IFLS L1_PUTO [0 ] 0
+IFLS L1_PUTX [0 ] 0
+IFLS L1_PUTS_only [0 ] 0
+IFLS L1_PUTS [0 ] 0
+IFLS Fwd_GETX [0 ] 0
+IFLS Fwd_GETS [0 ] 0
+IFLS Fwd_DMA [0 ] 0
+IFLS Inv [0 ] 0
+IFLS Unblock [0 ] 0
+IFLS L2_Replacement [0 ] 0
+
+IFLO L1_GETS [0 ] 0
+IFLO L1_GETX [0 ] 0
+IFLO L1_PUTO [0 ] 0
+IFLO L1_PUTX [0 ] 0
+IFLO L1_PUTS_only [0 ] 0
+IFLO L1_PUTS [0 ] 0
+IFLO Fwd_GETX [0 ] 0
+IFLO Fwd_GETS [0 ] 0
+IFLO Fwd_DMA [0 ] 0
+IFLO Inv [0 ] 0
+IFLO Unblock [0 ] 0
+IFLO L2_Replacement [0 ] 0
+
+IFLOX L1_GETS [0 ] 0
+IFLOX L1_GETX [0 ] 0
+IFLOX L1_PUTO [0 ] 0
+IFLOX L1_PUTX [0 ] 0
+IFLOX L1_PUTS_only [0 ] 0
+IFLOX L1_PUTS [0 ] 0
+IFLOX Fwd_GETX [0 ] 0
+IFLOX Fwd_GETS [0 ] 0
+IFLOX Fwd_DMA [0 ] 0
+IFLOX Inv [0 ] 0
+IFLOX Unblock [0 ] 0
+IFLOX Exclusive_Unblock [0 ] 0
+IFLOX L2_Replacement [0 ] 0
+
+IFLOXX L1_GETS [0 ] 0
+IFLOXX L1_GETX [0 ] 0
+IFLOXX L1_PUTO [0 ] 0
+IFLOXX L1_PUTX [0 ] 0
+IFLOXX L1_PUTS_only [0 ] 0
+IFLOXX L1_PUTS [0 ] 0
+IFLOXX Fwd_GETX [0 ] 0
+IFLOXX Fwd_GETS [0 ] 0
+IFLOXX Fwd_DMA [0 ] 0
+IFLOXX Inv [0 ] 0
+IFLOXX Unblock [0 ] 0
+IFLOXX Exclusive_Unblock [0 ] 0
+IFLOXX L2_Replacement [0 ] 0
+
+IFLOSX L1_GETS [0 ] 0
+IFLOSX L1_GETX [0 ] 0
+IFLOSX L1_PUTO [0 ] 0
+IFLOSX L1_PUTX [0 ] 0
+IFLOSX L1_PUTS_only [0 ] 0
+IFLOSX L1_PUTS [0 ] 0
+IFLOSX Fwd_GETX [0 ] 0
+IFLOSX Fwd_GETS [0 ] 0
+IFLOSX Fwd_DMA [0 ] 0
+IFLOSX Inv [0 ] 0
+IFLOSX Unblock [0 ] 0
+IFLOSX Exclusive_Unblock [0 ] 0
+IFLOSX L2_Replacement [0 ] 0
+
+IFLXO L1_GETS [0 ] 0
+IFLXO L1_GETX [0 ] 0
+IFLXO L1_PUTO [0 ] 0
+IFLXO L1_PUTX [0 ] 0
+IFLXO L1_PUTS_only [0 ] 0
+IFLXO L1_PUTS [0 ] 0
+IFLXO Fwd_GETX [0 ] 0
+IFLXO Fwd_GETS [0 ] 0
+IFLXO Fwd_DMA [0 ] 0
+IFLXO Inv [0 ] 0
+IFLXO Exclusive_Unblock [0 ] 0
+IFLXO L2_Replacement [0 ] 0
+
+IGS L1_GETS [0 ] 0
+IGS L1_GETX [0 ] 0
+IGS L1_PUTO [0 ] 0
+IGS L1_PUTX [0 ] 0
+IGS L1_PUTS_only [0 ] 0
+IGS L1_PUTS [0 ] 0
+IGS Fwd_GETX [0 ] 0
+IGS Fwd_GETS [0 ] 0
+IGS Fwd_DMA [0 ] 0
+IGS Own_GETX [0 ] 0
+IGS Inv [0 ] 0
+IGS Data [0 ] 0
+IGS Data_Exclusive [380 ] 380
+IGS Unblock [0 ] 0
+IGS Exclusive_Unblock [380 ] 380
+IGS L2_Replacement [0 ] 0
+
+IGM L1_GETS [0 ] 0
+IGM L1_GETX [0 ] 0
+IGM L1_PUTO [0 ] 0
+IGM L1_PUTX [0 ] 0
+IGM L1_PUTS_only [0 ] 0
+IGM L1_PUTS [0 ] 0
+IGM Fwd_GETX [0 ] 0
+IGM Fwd_GETS [0 ] 0
+IGM Fwd_DMA [0 ] 0
+IGM Own_GETX [0 ] 0
+IGM Inv [0 ] 0
+IGM ExtAck [0 ] 0
+IGM Data [43 ] 43
+IGM Data_Exclusive [0 ] 0
+IGM L2_Replacement [0 ] 0
+
+IGMLS L1_GETS [0 ] 0
+IGMLS L1_GETX [0 ] 0
+IGMLS L1_PUTO [0 ] 0
+IGMLS L1_PUTX [0 ] 0
+IGMLS L1_PUTS_only [0 ] 0
+IGMLS L1_PUTS [0 ] 0
+IGMLS Inv [0 ] 0
+IGMLS IntAck [0 ] 0
+IGMLS ExtAck [0 ] 0
+IGMLS All_Acks [0 ] 0
+IGMLS Data [0 ] 0
+IGMLS Data_Exclusive [0 ] 0
+IGMLS L2_Replacement [0 ] 0
+
+IGMO L1_GETS [0 ] 0
+IGMO L1_GETX [0 ] 0
+IGMO L1_PUTO [0 ] 0
+IGMO L1_PUTX [0 ] 0
+IGMO L1_PUTS_only [0 ] 0
+IGMO L1_PUTS [0 ] 0
+IGMO Fwd_GETX [0 ] 0
+IGMO Fwd_GETS [0 ] 0
+IGMO Fwd_DMA [0 ] 0
+IGMO Own_GETX [0 ] 0
+IGMO ExtAck [0 ] 0
+IGMO All_Acks [43 ] 43
+IGMO Exclusive_Unblock [43 ] 43
+IGMO L2_Replacement [0 ] 0
+
+IGMIO L1_GETS [0 ] 0
+IGMIO L1_GETX [0 ] 0
+IGMIO L1_PUTO [0 ] 0
+IGMIO L1_PUTX [0 ] 0
+IGMIO L1_PUTS_only [0 ] 0
+IGMIO L1_PUTS [0 ] 0
+IGMIO Fwd_GETX [0 ] 0
+IGMIO Fwd_GETS [0 ] 0
+IGMIO Fwd_DMA [0 ] 0
+IGMIO Own_GETX [0 ] 0
+IGMIO ExtAck [0 ] 0
+IGMIO All_Acks [0 ] 0
+
+OGMIO L1_GETS [0 ] 0
+OGMIO L1_GETX [0 ] 0
+OGMIO L1_PUTO [0 ] 0
+OGMIO L1_PUTX [0 ] 0
+OGMIO L1_PUTS_only [0 ] 0
+OGMIO L1_PUTS [0 ] 0
+OGMIO Fwd_GETX [0 ] 0
+OGMIO Fwd_GETS [0 ] 0
+OGMIO Fwd_DMA [0 ] 0
+OGMIO Own_GETX [0 ] 0
+OGMIO ExtAck [0 ] 0
+OGMIO All_Acks [0 ] 0
+
+IGMIOF L1_GETS [0 ] 0
+IGMIOF L1_GETX [0 ] 0
+IGMIOF L1_PUTO [0 ] 0
+IGMIOF L1_PUTX [0 ] 0
+IGMIOF L1_PUTS_only [0 ] 0
+IGMIOF L1_PUTS [0 ] 0
+IGMIOF IntAck [0 ] 0
+IGMIOF All_Acks [0 ] 0
+IGMIOF Data_Exclusive [0 ] 0
+
+IGMIOFS L1_GETS [0 ] 0
+IGMIOFS L1_GETX [0 ] 0
+IGMIOFS L1_PUTO [0 ] 0
+IGMIOFS L1_PUTX [0 ] 0
+IGMIOFS L1_PUTS_only [0 ] 0
+IGMIOFS L1_PUTS [0 ] 0
+IGMIOFS Fwd_GETX [0 ] 0
+IGMIOFS Fwd_GETS [0 ] 0
+IGMIOFS Fwd_DMA [0 ] 0
+IGMIOFS Inv [0 ] 0
+IGMIOFS Data [0 ] 0
+IGMIOFS L2_Replacement [0 ] 0
+
+OGMIOF L1_GETS [0 ] 0
+OGMIOF L1_GETX [0 ] 0
+OGMIOF L1_PUTO [0 ] 0
+OGMIOF L1_PUTX [0 ] 0
+OGMIOF L1_PUTS_only [0 ] 0
+OGMIOF L1_PUTS [0 ] 0
+OGMIOF IntAck [0 ] 0
+OGMIOF All_Acks [0 ] 0
+
+II L1_GETS [0 ] 0
+II L1_GETX [0 ] 0
+II L1_PUTO [0 ] 0
+II L1_PUTX [0 ] 0
+II L1_PUTS_only [0 ] 0
+II L1_PUTS [0 ] 0
+II IntAck [0 ] 0
+II All_Acks [0 ] 0
+
+MM L1_GETS [0 ] 0
+MM L1_GETX [0 ] 0
+MM L1_PUTO [0 ] 0
+MM L1_PUTX [0 ] 0
+MM L1_PUTS_only [0 ] 0
+MM L1_PUTS [0 ] 0
+MM Fwd_GETX [0 ] 0
+MM Fwd_GETS [0 ] 0
+MM Fwd_DMA [0 ] 0
+MM Inv [0 ] 0
+MM Exclusive_Unblock [15 ] 15
+MM L2_Replacement [0 ] 0
+
+SS L1_GETS [0 ] 0
+SS L1_GETX [0 ] 0
+SS L1_PUTO [0 ] 0
+SS L1_PUTX [0 ] 0
+SS L1_PUTS_only [0 ] 0
+SS L1_PUTS [0 ] 0
+SS Fwd_GETX [0 ] 0
+SS Fwd_GETS [0 ] 0
+SS Fwd_DMA [0 ] 0
+SS Inv [0 ] 0
+SS Unblock [0 ] 0
+SS L2_Replacement [0 ] 0
+
+OO L1_GETS [0 ] 0
+OO L1_GETX [0 ] 0
+OO L1_PUTO [0 ] 0
+OO L1_PUTX [0 ] 0
+OO L1_PUTS_only [0 ] 0
+OO L1_PUTS [0 ] 0
+OO Fwd_GETX [0 ] 0
+OO Fwd_GETS [0 ] 0
+OO Fwd_DMA [0 ] 0
+OO Inv [0 ] 0
+OO Unblock [0 ] 0
+OO Exclusive_Unblock [72 ] 72
+OO L2_Replacement [0 ] 0
+
+OLSS L1_GETS [0 ] 0
+OLSS L1_GETX [0 ] 0
+OLSS L1_PUTO [0 ] 0
+OLSS L1_PUTX [0 ] 0
+OLSS L1_PUTS_only [0 ] 0
+OLSS L1_PUTS [0 ] 0
+OLSS Fwd_GETX [0 ] 0
+OLSS Fwd_GETS [0 ] 0
+OLSS Fwd_DMA [0 ] 0
+OLSS Inv [0 ] 0
+OLSS Unblock [0 ] 0
+OLSS L2_Replacement [0 ] 0
+
+OLSXS L1_GETS [0 ] 0
+OLSXS L1_GETX [0 ] 0
+OLSXS L1_PUTO [0 ] 0
+OLSXS L1_PUTX [0 ] 0
+OLSXS L1_PUTS_only [0 ] 0
+OLSXS L1_PUTS [0 ] 0
+OLSXS Fwd_GETX [0 ] 0
+OLSXS Fwd_GETS [0 ] 0
+OLSXS Fwd_DMA [0 ] 0
+OLSXS Inv [0 ] 0
+OLSXS Unblock [0 ] 0
+OLSXS L2_Replacement [0 ] 0
+
+SLSS L1_GETS [0 ] 0
+SLSS L1_GETX [0 ] 0
+SLSS L1_PUTO [0 ] 0
+SLSS L1_PUTX [0 ] 0
+SLSS L1_PUTS_only [0 ] 0
+SLSS L1_PUTS [0 ] 0
+SLSS Fwd_GETX [0 ] 0
+SLSS Fwd_GETS [0 ] 0
+SLSS Fwd_DMA [0 ] 0
+SLSS Inv [0 ] 0
+SLSS Unblock [0 ] 0
+SLSS L2_Replacement [0 ] 0
+
+OI L1_GETS [0 ] 0
+OI L1_GETX [0 ] 0
+OI L1_PUTO [0 ] 0
+OI L1_PUTX [0 ] 0
+OI L1_PUTS_only [0 ] 0
+OI L1_PUTS [0 ] 0
+OI Fwd_GETX [0 ] 0
+OI Fwd_GETS [0 ] 0
+OI Fwd_DMA [0 ] 0
+OI Writeback_Ack [0 ] 0
+OI Writeback_Nack [0 ] 0
+OI L2_Replacement [0 ] 0
+
+MI L1_GETS [2 ] 2
+MI L1_GETX [0 ] 0
+MI L1_PUTO [0 ] 0
+MI L1_PUTX [0 ] 0
+MI L1_PUTS_only [0 ] 0
+MI L1_PUTS [0 ] 0
+MI Fwd_GETX [0 ] 0
+MI Fwd_GETS [0 ] 0
+MI Fwd_DMA [0 ] 0
+MI Writeback_Ack [407 ] 407
+MI L2_Replacement [0 ] 0
+
+MII L1_GETS [0 ] 0
+MII L1_GETX [0 ] 0
+MII L1_PUTO [0 ] 0
+MII L1_PUTX [0 ] 0
+MII L1_PUTS_only [0 ] 0
+MII L1_PUTS [0 ] 0
+MII Writeback_Ack [0 ] 0
+MII Writeback_Nack [0 ] 0
+MII L2_Replacement [0 ] 0
+
+OLSI L1_GETS [0 ] 0
+OLSI L1_GETX [0 ] 0
+OLSI L1_PUTO [0 ] 0
+OLSI L1_PUTX [0 ] 0
+OLSI L1_PUTS_only [0 ] 0
+OLSI L1_PUTS [0 ] 0
+OLSI Fwd_GETX [0 ] 0
+OLSI Fwd_GETS [0 ] 0
+OLSI Fwd_DMA [0 ] 0
+OLSI Writeback_Ack [0 ] 0
+OLSI L2_Replacement [0 ] 0
+
+ILSI L1_GETS [0 ] 0
+ILSI L1_GETX [0 ] 0
+ILSI L1_PUTO [0 ] 0
+ILSI L1_PUTX [0 ] 0
+ILSI L1_PUTS_only [0 ] 0
+ILSI L1_PUTS [0 ] 0
+ILSI IntAck [0 ] 0
+ILSI All_Acks [0 ] 0
+ILSI Writeback_Ack [0 ] 0
+ILSI L2_Replacement [0 ] 0
+
+ILOSD L1_GETS [0 ] 0
+ILOSD L1_GETX [0 ] 0
+ILOSD L1_PUTO [0 ] 0
+ILOSD L1_PUTX [0 ] 0
+ILOSD L1_PUTS_only [0 ] 0
+ILOSD L1_PUTS [0 ] 0
+ILOSD Fwd_GETX [0 ] 0
+ILOSD Fwd_GETS [0 ] 0
+ILOSD Fwd_DMA [0 ] 0
+ILOSD Own_GETX [0 ] 0
+ILOSD Inv [0 ] 0
+ILOSD DmaAck [0 ] 0
+ILOSD L2_Replacement [0 ] 0
+
+ILOSXD L1_GETS [0 ] 0
+ILOSXD L1_GETX [0 ] 0
+ILOSXD L1_PUTO [0 ] 0
+ILOSXD L1_PUTX [0 ] 0
+ILOSXD L1_PUTS_only [0 ] 0
+ILOSXD L1_PUTS [0 ] 0
+ILOSXD Fwd_GETX [0 ] 0
+ILOSXD Fwd_GETS [0 ] 0
+ILOSXD Fwd_DMA [0 ] 0
+ILOSXD Own_GETX [0 ] 0
+ILOSXD Inv [0 ] 0
+ILOSXD DmaAck [0 ] 0
+ILOSXD L2_Replacement [0 ] 0
+
+ILOD L1_GETS [0 ] 0
+ILOD L1_GETX [0 ] 0
+ILOD L1_PUTO [0 ] 0
+ILOD L1_PUTX [0 ] 0
+ILOD L1_PUTS_only [0 ] 0
+ILOD L1_PUTS [0 ] 0
+ILOD Fwd_GETX [0 ] 0
+ILOD Fwd_GETS [0 ] 0
+ILOD Fwd_DMA [0 ] 0
+ILOD Own_GETX [0 ] 0
+ILOD Inv [0 ] 0
+ILOD DmaAck [0 ] 0
+ILOD L2_Replacement [0 ] 0
+
+ILXD L1_GETS [0 ] 0
+ILXD L1_GETX [0 ] 0
+ILXD L1_PUTO [0 ] 0
+ILXD L1_PUTX [0 ] 0
+ILXD L1_PUTS_only [0 ] 0
+ILXD L1_PUTS [0 ] 0
+ILXD Fwd_GETX [0 ] 0
+ILXD Fwd_GETS [0 ] 0
+ILXD Fwd_DMA [0 ] 0
+ILXD Own_GETX [0 ] 0
+ILXD Inv [0 ] 0
+ILXD DmaAck [0 ] 0
+ILXD L2_Replacement [0 ] 0
+
+ILOXD L1_GETS [0 ] 0
+ILOXD L1_GETX [0 ] 0
+ILOXD L1_PUTO [0 ] 0
+ILOXD L1_PUTX [0 ] 0
+ILOXD L1_PUTS_only [0 ] 0
+ILOXD L1_PUTS [0 ] 0
+ILOXD Fwd_GETX [0 ] 0
+ILOXD Fwd_GETS [0 ] 0
+ILOXD Fwd_DMA [0 ] 0
+ILOXD Own_GETX [0 ] 0
+ILOXD Inv [0 ] 0
+ILOXD DmaAck [0 ] 0
+ILOXD L2_Replacement [0 ] 0
+
+Memory controller: system.dir_cntrl0.memBuffer:
+ memory_total_requests: 499
+ memory_reads: 423
+ memory_writes: 76
+ memory_refreshes: 178
+ memory_total_request_delays: 116
+ memory_delays_per_request: 0.232465
+ memory_delays_in_input_queue: 2
+ memory_delays_behind_head_of_bank_queue: 0
+ memory_delays_stalled_at_head_of_bank_queue: 114
+ memory_stalls_for_bank_busy: 56
+ memory_stalls_for_random_busy: 0
+ memory_stalls_for_anti_starvation: 0
+ memory_stalls_for_arbitration: 10
+ memory_stalls_for_bus: 25
+ memory_stalls_for_tfaw: 0
+ memory_stalls_for_read_write_turnaround: 23
+ memory_stalls_for_read_read_turnaround: 0
+ accesses_per_bank: 18 10 0 34 20 19 28 21 5 3 6 4 21 40 20 3 4 5 7 13 10 16 14 41 15 5 5 12 12 18 14 56
+
+ --- Directory ---
+ - Event Counts -
+GETX [43 ] 43
+GETS [380 ] 380
+PUTX [407 ] 407
+PUTO [0 ] 0
+PUTO_SHARERS [0 ] 0
+Unblock [0 ] 0
+Last_Unblock [0 ] 0
+Exclusive_Unblock [422 ] 422
+Clean_Writeback [331 ] 331
+Dirty_Writeback [76 ] 76
+Memory_Data [423 ] 423
+Memory_Ack [76 ] 76
+DMA_READ [0 ] 0
+DMA_WRITE [0 ] 0
+DMA_ACK [0 ] 0
+Data [0 ] 0
+
+ - Transitions -
+I GETX [43 ] 43
+I GETS [380 ] 380
+I PUTX [0 ] 0
+I PUTO [0 ] 0
+I Memory_Data [0 ] 0
+I Memory_Ack [74 ] 74
+I DMA_READ [0 ] 0
+I DMA_WRITE [0 ] 0
+
+S GETX [0 ] 0
+S GETS [0 ] 0
+S PUTX [0 ] 0
+S PUTO [0 ] 0
+S Memory_Data [0 ] 0
+S Memory_Ack [0 ] 0
+S DMA_READ [0 ] 0
+S DMA_WRITE [0 ] 0
+
+O GETX [0 ] 0
+O GETS [0 ] 0
+O PUTX [0 ] 0
+O PUTO [0 ] 0
+O PUTO_SHARERS [0 ] 0
+O Memory_Data [0 ] 0
+O Memory_Ack [0 ] 0
+O DMA_READ [0 ] 0
+O DMA_WRITE [0 ] 0
+
+M GETX [0 ] 0
+M GETS [0 ] 0
+M PUTX [407 ] 407
+M PUTO [0 ] 0
+M PUTO_SHARERS [0 ] 0
+M Memory_Data [0 ] 0
+M Memory_Ack [0 ] 0
+M DMA_READ [0 ] 0
+M DMA_WRITE [0 ] 0
+
+IS GETX [0 ] 0
+IS GETS [0 ] 0
+IS PUTX [0 ] 0
+IS PUTO [0 ] 0
+IS PUTO_SHARERS [0 ] 0
+IS Unblock [0 ] 0
+IS Exclusive_Unblock [379 ] 379
+IS Memory_Data [380 ] 380
+IS Memory_Ack [2 ] 2
+IS DMA_READ [0 ] 0
+IS DMA_WRITE [0 ] 0
+
+SS GETX [0 ] 0
+SS GETS [0 ] 0
+SS PUTX [0 ] 0
+SS PUTO [0 ] 0
+SS PUTO_SHARERS [0 ] 0
+SS Unblock [0 ] 0
+SS Last_Unblock [0 ] 0
+SS Memory_Data [0 ] 0
+SS Memory_Ack [0 ] 0
+SS DMA_READ [0 ] 0
+SS DMA_WRITE [0 ] 0
+
+OO GETX [0 ] 0
+OO GETS [0 ] 0
+OO PUTX [0 ] 0
+OO PUTO [0 ] 0
+OO PUTO_SHARERS [0 ] 0
+OO Unblock [0 ] 0
+OO Last_Unblock [0 ] 0
+OO Memory_Data [0 ] 0
+OO Memory_Ack [0 ] 0
+OO DMA_READ [0 ] 0
+OO DMA_WRITE [0 ] 0
+
+MO GETX [0 ] 0
+MO GETS [0 ] 0
+MO PUTX [0 ] 0
+MO PUTO [0 ] 0
+MO PUTO_SHARERS [0 ] 0
+MO Unblock [0 ] 0
+MO Exclusive_Unblock [0 ] 0
+MO Memory_Data [0 ] 0
+MO Memory_Ack [0 ] 0
+MO DMA_READ [0 ] 0
+MO DMA_WRITE [0 ] 0
+
+MM GETX [0 ] 0
+MM GETS [0 ] 0
+MM PUTX [0 ] 0
+MM PUTO [0 ] 0
+MM PUTO_SHARERS [0 ] 0
+MM Exclusive_Unblock [43 ] 43
+MM Memory_Data [43 ] 43
+MM Memory_Ack [0 ] 0
+MM DMA_READ [0 ] 0
+MM DMA_WRITE [0 ] 0
+
+
+MI GETX [0 ] 0
+MI GETS [0 ] 0
+MI PUTX [0 ] 0
+MI PUTO [0 ] 0
+MI PUTO_SHARERS [0 ] 0
+MI Unblock [0 ] 0
+MI Clean_Writeback [331 ] 331
+MI Dirty_Writeback [76 ] 76
+MI Memory_Data [0 ] 0
+MI Memory_Ack [0 ] 0
+MI DMA_READ [0 ] 0
+MI DMA_WRITE [0 ] 0
+
+MIS GETX [0 ] 0
+MIS GETS [0 ] 0
+MIS PUTX [0 ] 0
+MIS PUTO [0 ] 0
+MIS PUTO_SHARERS [0 ] 0
+MIS Unblock [0 ] 0
+MIS Clean_Writeback [0 ] 0
+MIS Dirty_Writeback [0 ] 0
+MIS Memory_Data [0 ] 0
+MIS Memory_Ack [0 ] 0
+MIS DMA_READ [0 ] 0
+MIS DMA_WRITE [0 ] 0
+
+OS GETX [0 ] 0
+OS GETS [0 ] 0
+OS PUTX [0 ] 0
+OS PUTO [0 ] 0
+OS PUTO_SHARERS [0 ] 0
+OS Unblock [0 ] 0
+OS Clean_Writeback [0 ] 0
+OS Dirty_Writeback [0 ] 0
+OS Memory_Data [0 ] 0
+OS Memory_Ack [0 ] 0
+OS DMA_READ [0 ] 0
+OS DMA_WRITE [0 ] 0
+
+OSS GETX [0 ] 0
+OSS GETS [0 ] 0
+OSS PUTX [0 ] 0
+OSS PUTO [0 ] 0
+OSS PUTO_SHARERS [0 ] 0
+OSS Unblock [0 ] 0
+OSS Clean_Writeback [0 ] 0
+OSS Dirty_Writeback [0 ] 0
+OSS Memory_Data [0 ] 0
+OSS Memory_Ack [0 ] 0
+OSS DMA_READ [0 ] 0
+OSS DMA_WRITE [0 ] 0
+
+XI_M GETX [0 ] 0
+XI_M GETS [0 ] 0
+XI_M PUTX [0 ] 0
+XI_M PUTO [0 ] 0
+XI_M PUTO_SHARERS [0 ] 0
+XI_M Memory_Data [0 ] 0
+XI_M Memory_Ack [0 ] 0
+XI_M DMA_READ [0 ] 0
+XI_M DMA_WRITE [0 ] 0
+
+XI_U GETX [0 ] 0
+XI_U GETS [0 ] 0
+XI_U PUTX [0 ] 0
+XI_U PUTO [0 ] 0
+XI_U PUTO_SHARERS [0 ] 0
+XI_U Exclusive_Unblock [0 ] 0
+XI_U Memory_Ack [0 ] 0
+XI_U DMA_READ [0 ] 0
+XI_U DMA_WRITE [0 ] 0
+
+OI_D GETX [0 ] 0
+OI_D GETS [0 ] 0
+OI_D PUTX [0 ] 0
+OI_D PUTO [0 ] 0
+OI_D PUTO_SHARERS [0 ] 0
+OI_D DMA_READ [0 ] 0
+OI_D DMA_WRITE [0 ] 0
+OI_D Data [0 ] 0
+
+OD GETX [0 ] 0
+OD GETS [0 ] 0
+OD PUTX [0 ] 0
+OD PUTO [0 ] 0
+OD PUTO_SHARERS [0 ] 0
+OD DMA_READ [0 ] 0
+OD DMA_WRITE [0 ] 0
+OD DMA_ACK [0 ] 0
+
+MD GETX [0 ] 0
+MD GETS [0 ] 0
+MD PUTX [0 ] 0
+MD PUTO [0 ] 0
+MD PUTO_SHARERS [0 ] 0
+MD DMA_READ [0 ] 0
+MD DMA_WRITE [0 ] 0
+MD DMA_ACK [0 ] 0
+
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/simerr b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/simerr
new file mode 100755
index 000000000..31ae36f2e
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/simerr
@@ -0,0 +1,3 @@
+warn: Sockets disabled, not accepting gdb connections
+warn: ignoring syscall sigprocmask(18446744073709547831, 1, ...)
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/simout b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/simout
new file mode 100755
index 000000000..0529ad1d8
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:47:36
+gem5 started Jan 23 2012 04:22:12
+gem5 executing on zizzer
+command line: build/ALPHA_SE_MOESI_CMP_directory/gem5.opt -d build/ALPHA_SE_MOESI_CMP_directory/tests/opt/quick/00.hello/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory -re tests/run.py build/ALPHA_SE_MOESI_CMP_directory/tests/opt/quick/00.hello/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory
+Global frequency set at 1000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 85418 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/stats.txt b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/stats.txt
new file mode 100644
index 000000000..8d97fa8c6
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_directory/stats.txt
@@ -0,0 +1,77 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000085 # Number of seconds simulated
+sim_ticks 85418 # Number of ticks simulated
+final_tick 85418 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000 # Frequency of simulated ticks
+host_inst_rate 13096 # Simulator instruction rate (inst/s)
+host_tick_rate 434048 # Simulator tick rate (ticks/s)
+host_mem_usage 217400 # Number of bytes of host memory used
+host_seconds 0.20 # Real time elapsed on the host
+sim_insts 2577 # Number of instructions simulated
+system.physmem.bytes_read 13356 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 10340 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 2058 # Number of bytes written to this memory
+system.physmem.num_reads 3000 # Number of read requests responded to by this memory
+system.physmem.num_writes 294 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 156360486 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 121051769 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 24093282 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 180453769 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 415 # DTB read hits
+system.cpu.dtb.read_misses 4 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 419 # DTB read accesses
+system.cpu.dtb.write_hits 294 # DTB write hits
+system.cpu.dtb.write_misses 4 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 298 # DTB write accesses
+system.cpu.dtb.data_hits 709 # DTB hits
+system.cpu.dtb.data_misses 8 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 717 # DTB accesses
+system.cpu.itb.fetch_hits 2586 # ITB hits
+system.cpu.itb.fetch_misses 11 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 2597 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 4 # Number of system calls
+system.cpu.numCycles 85418 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 2577 # Number of instructions executed
+system.cpu.num_int_alu_accesses 2375 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 6 # Number of float alu accesses
+system.cpu.num_func_calls 140 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 238 # number of instructions that are conditional controls
+system.cpu.num_int_insts 2375 # number of integer instructions
+system.cpu.num_fp_insts 6 # number of float instructions
+system.cpu.num_int_register_reads 2998 # number of times the integer registers were read
+system.cpu.num_int_register_writes 1768 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 6 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 717 # number of memory refs
+system.cpu.num_load_insts 419 # Number of load instructions
+system.cpu.num_store_insts 298 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 85418 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/config.ini b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/config.ini
new file mode 100644
index 000000000..4c0569af0
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/config.ini
@@ -0,0 +1,334 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000
+time_sync_spin_threshold=100000
+
+[system]
+type=System
+children=cpu dir_cntrl0 l1_cntrl0 l2_cntrl0 physmem ruby sys_port_proxy
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=1
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.l1_cntrl0.sequencer.port[1]
+icache_port=system.l1_cntrl0.sequencer.port[0]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/tru64/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer
+buffer_size=0
+cntrl_id=2
+directory=system.dir_cntrl0.directory
+directory_latency=5
+distributed_persistent=true
+fixed_timeout_latency=100
+l2_select_num_bits=0
+memBuffer=system.dir_cntrl0.memBuffer
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=L1DcacheMemory L1IcacheMemory sequencer
+L1DcacheMemory=system.l1_cntrl0.L1DcacheMemory
+L1IcacheMemory=system.l1_cntrl0.L1IcacheMemory
+N_tokens=2
+buffer_size=0
+cntrl_id=0
+dynamic_timeout_enabled=true
+fixed_timeout_latency=300
+l1_request_latency=2
+l1_response_latency=2
+l2_select_num_bits=0
+no_mig_atomic=true
+number_of_TBEs=256
+recycle_latency=10
+retry_threshold=1
+ruby_system=system.ruby
+sequencer=system.l1_cntrl0.sequencer
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.L1DcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=2
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.L1IcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=2
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.L1DcacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.L1IcacheMemory
+max_outstanding_requests=16
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[0]
+port=system.cpu.icache_port system.cpu.dcache_port
+
+[system.l2_cntrl0]
+type=L2Cache_Controller
+children=L2cacheMemory
+L2cacheMemory=system.l2_cntrl0.L2cacheMemory
+N_tokens=2
+buffer_size=0
+cntrl_id=1
+filtering_enabled=true
+l2_request_latency=5
+l2_response_latency=5
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.l2_cntrl0.L2cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=10
+replacement_policy=PSEUDO_LRU
+size=512
+start_index_bit=6
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.l1_cntrl0.sequencer.physMemPort system.sys_port_proxy.physMemPort
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=1
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 ext_links2 int_links0 int_links1 int_links2 routers0 routers1 routers2 routers3
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1 system.ruby.network.topology.ext_links2
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1 system.ruby.network.topology.int_links2
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2 system.ruby.network.topology.routers3
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l2_cntrl0
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.ext_links2]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers2
+latency=1
+link_id=2
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=3
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=4
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.int_links2]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=5
+node_a=system.ruby.network.topology.routers2
+node_b=system.ruby.network.topology.routers3
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.network.topology.routers3]
+type=BasicRouter
+router_id=3
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=1
+ruby_system=system.ruby
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[1]
+port=system.system_port
+
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/ruby.stats b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/ruby.stats
new file mode 100644
index 000000000..2d266c770
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/ruby.stats
@@ -0,0 +1,1036 @@
+
+================ Begin RubySystem Configuration Print ================
+
+RubySystem config:
+ random_seed: 1234
+ randomization: 0
+ cycle_period: 1
+ block_size_bytes: 64
+ block_size_bits: 6
+ memory_size_bytes: 134217728
+ memory_size_bits: 27
+
+Network Configuration
+---------------------
+network: SIMPLE_NETWORK
+topology:
+
+virtual_net_0: active, ordered
+virtual_net_1: active, unordered
+virtual_net_2: active, unordered
+virtual_net_3: active, ordered
+virtual_net_4: active, unordered
+virtual_net_5: active, ordered
+virtual_net_6: inactive
+virtual_net_7: inactive
+virtual_net_8: inactive
+virtual_net_9: inactive
+
+
+Profiler Configuration
+----------------------
+periodic_stats_period: 1000000
+
+================ End RubySystem Configuration Print ================
+
+
+Real time: Jan/23/2012 04:22:26
+
+Profiler Stats
+--------------
+Elapsed_time_in_seconds: 1
+Elapsed_time_in_minutes: 0.0166667
+Elapsed_time_in_hours: 0.000277778
+Elapsed_time_in_days: 1.15741e-05
+
+Virtual_time_in_seconds: 0.22
+Virtual_time_in_minutes: 0.00366667
+Virtual_time_in_hours: 6.11111e-05
+Virtual_time_in_days: 2.5463e-06
+
+Ruby_current_time: 87899
+Ruby_start_time: 0
+Ruby_cycles: 87899
+
+mbytes_resident: 42.2227
+mbytes_total: 211.34
+resident_ratio: 0.199786
+
+ruby_cycles_executed: [ 87900 ]
+
+Busy Controller Counts:
+L1Cache-0:0
+L2Cache-0:0
+Directory-0:0
+
+
+Busy Bank Count:0
+
+sequencer_requests_outstanding: [binsize: 1 max: 1 count: 3295 average: 1 | standard deviation: 0 | 0 3295 ]
+
+All Non-Zero Cycle Demand Cache Accesses
+----------------------------------------
+miss_latency: [binsize: 2 max: 307 count: 3294 average: 25.6846 | standard deviation: 58.8214 | 0 2776 0 0 0 0 0 0 0 0 6 2 62 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 4 58 48 98 72 79 0 1 2 13 9 10 7 26 0 1 0 0 1 1 0 1 1 2 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 2 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 2 0 0 0 0 0 0 0 0 0 0 1 2 0 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_LD: [binsize: 2 max: 307 count: 415 average: 65.2795 | standard deviation: 81.9739 | 0 233 0 0 0 0 0 0 0 0 0 1 32 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 16 14 37 32 18 0 0 0 9 2 2 2 8 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST: [binsize: 2 max: 307 count: 294 average: 34.5782 | standard deviation: 69.4748 | 0 228 0 0 0 0 0 0 0 0 6 1 7 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6 5 15 8 5 0 0 0 0 1 1 0 5 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH: [binsize: 2 max: 277 count: 2585 average: 18.3164 | standard deviation: 49.7019 | 0 2315 0 0 0 0 0 0 0 0 0 0 23 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 2 36 29 46 32 56 0 1 2 4 6 7 5 13 0 0 0 0 0 1 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_L1Cache: [binsize: 1 max: 2 count: 2776 average: 2 | standard deviation: 0 | 0 0 2776 ]
+miss_latency_L2Cache: [binsize: 1 max: 25 count: 70 average: 24.6 | standard deviation: 1.16096 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6 0 2 0 62 ]
+miss_latency_Directory: [binsize: 2 max: 307 count: 448 average: 172.614 | standard deviation: 19.1957 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 4 58 48 98 72 79 0 1 2 13 9 10 7 26 0 1 0 0 1 1 0 1 1 2 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 2 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 2 0 0 0 0 0 0 0 0 0 0 1 2 0 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_wCC_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_wCC_Times: 0
+miss_latency_dir_issue_to_initial_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_initial_forward_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_forward_to_first_response: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_first_response_to_completion: [binsize: 1 max: 169 count: 1 average: 169 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+imcomplete_dir_Times: 447
+miss_latency_LD_L1Cache: [binsize: 1 max: 2 count: 233 average: 2 | standard deviation: 0 | 0 0 233 ]
+miss_latency_LD_L2Cache: [binsize: 1 max: 25 count: 33 average: 24.9394 | standard deviation: 0.353553 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 32 ]
+miss_latency_LD_Directory: [binsize: 2 max: 307 count: 149 average: 173.168 | standard deviation: 20.2876 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 16 14 37 32 18 0 0 0 9 2 2 2 8 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST_L1Cache: [binsize: 1 max: 2 count: 228 average: 2 | standard deviation: 0 | 0 0 228 ]
+miss_latency_ST_L2Cache: [binsize: 1 max: 25 count: 14 average: 23.1429 | standard deviation: 2 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6 0 1 0 7 ]
+miss_latency_ST_Directory: [binsize: 2 max: 307 count: 52 average: 180.5 | standard deviation: 35.1816 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 6 5 15 8 5 0 0 0 0 1 1 0 5 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH_L1Cache: [binsize: 1 max: 2 count: 2315 average: 2 | standard deviation: 0 | 0 0 2315 ]
+miss_latency_IFETCH_L2Cache: [binsize: 1 max: 25 count: 23 average: 25 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 23 ]
+miss_latency_IFETCH_Directory: [binsize: 2 max: 277 count: 247 average: 170.619 | standard deviation: 12.1654 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 2 36 29 46 32 56 0 1 2 4 6 7 5 13 0 0 0 0 0 1 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+
+All Non-Zero Cycle SW Prefetch Requests
+------------------------------------
+prefetch_latency: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+prefetch_latency_L2Miss:[binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Request vs. RubySystem State Profile
+--------------------------------
+
+
+filter_action: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Message Delayed Cycles
+----------------------
+Total_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Total_nonPF_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_0_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_1_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_2_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_3_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_4_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_5_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_6_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_7_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_8_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_9_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Resource Usage
+--------------
+page_size: 4096
+user_time: 0
+system_time: 0
+page_reclaims: 11088
+page_faults: 5
+swaps: 0
+block_inputs: 1064
+block_outputs: 104
+
+Network Stats
+-------------
+
+total_msg_count_Request_Control: 2916 23328
+total_msg_count_Response_Data: 1344 96768
+total_msg_count_ResponseL2hit_Data: 210 15120
+total_msg_count_Response_Control: 3 24
+total_msg_count_Writeback_Data: 1758 126576
+total_msg_count_Writeback_Control: 1095 8760
+total_msgs: 7326 total_bytes: 270576
+
+switch_0_inlinks: 2
+switch_0_outlinks: 2
+links_utilized_percent_switch_0: 2.75856
+ links_utilized_percent_switch_0_link_0: 2.65248 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_0_link_1: 2.86465 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_0_link_0_Response_Data: 448 32256 [ 0 0 0 0 448 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_ResponseL2hit_Data: 70 5040 [ 0 0 0 0 70 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Response_Control: 1 8 [ 0 0 0 0 1 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Request_Control: 518 4144 [ 0 518 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Data: 502 36144 [ 0 0 0 0 502 0 0 0 0 0 ] base_latency: 1
+
+switch_1_inlinks: 2
+switch_1_outlinks: 2
+links_utilized_percent_switch_1: 2.05975
+ links_utilized_percent_switch_1_link_0: 2.86465 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_1_link_1: 1.25485 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_1_link_0_Request_Control: 518 4144 [ 0 518 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Writeback_Data: 502 36144 [ 0 0 0 0 502 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Request_Control: 454 3632 [ 0 0 454 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_ResponseL2hit_Data: 70 5040 [ 0 0 0 0 70 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Control: 1 8 [ 0 0 0 0 1 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Data: 84 6048 [ 0 0 0 0 84 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Control: 365 2920 [ 0 0 0 0 365 0 0 0 0 0 ] base_latency: 1
+
+switch_2_inlinks: 2
+switch_2_outlinks: 2
+links_utilized_percent_switch_2: 1.59473
+ links_utilized_percent_switch_2_link_0: 0.895915 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_2_link_1: 2.29354 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_2_link_0_Request_Control: 454 3632 [ 0 0 454 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Data: 84 6048 [ 0 0 0 0 84 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Control: 365 2920 [ 0 0 0 0 365 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Response_Data: 448 32256 [ 0 0 0 0 448 0 0 0 0 0 ] base_latency: 1
+
+switch_3_inlinks: 3
+switch_3_outlinks: 3
+links_utilized_percent_switch_3: 2.13768
+ links_utilized_percent_switch_3_link_0: 2.65248 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_3_link_1: 2.86465 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_3_link_2: 0.895915 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_3_link_0_Response_Data: 448 32256 [ 0 0 0 0 448 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_ResponseL2hit_Data: 70 5040 [ 0 0 0 0 70 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_0_Response_Control: 1 8 [ 0 0 0 0 1 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Request_Control: 518 4144 [ 0 518 0 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_1_Writeback_Data: 502 36144 [ 0 0 0 0 502 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Request_Control: 454 3632 [ 0 0 454 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Writeback_Data: 84 6048 [ 0 0 0 0 84 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_3_link_2_Writeback_Control: 365 2920 [ 0 0 0 0 365 0 0 0 0 0 ] base_latency: 1
+
+Cache Stats: system.l1_cntrl0.L1IcacheMemory
+ system.l1_cntrl0.L1IcacheMemory_total_misses: 270
+ system.l1_cntrl0.L1IcacheMemory_total_demand_misses: 270
+ system.l1_cntrl0.L1IcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1IcacheMemory_request_type_IFETCH: 100%
+
+ system.l1_cntrl0.L1IcacheMemory_access_mode_type_Supervisor: 270 100%
+
+Cache Stats: system.l1_cntrl0.L1DcacheMemory
+ system.l1_cntrl0.L1DcacheMemory_total_misses: 248
+ system.l1_cntrl0.L1DcacheMemory_total_demand_misses: 248
+ system.l1_cntrl0.L1DcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1DcacheMemory_request_type_LD: 73.3871%
+ system.l1_cntrl0.L1DcacheMemory_request_type_ST: 26.6129%
+
+ system.l1_cntrl0.L1DcacheMemory_access_mode_type_Supervisor: 248 100%
+
+ --- L1Cache ---
+ - Event Counts -
+Load [415 ] 415
+Ifetch [2585 ] 2585
+Store [294 ] 294
+Atomic [0 ] 0
+L1_Replacement [504 ] 504
+Data_Shared [56 ] 56
+Data_Owner [0 ] 0
+Data_All_Tokens [462 ] 462
+Ack [1 ] 1
+Ack_All_Tokens [0 ] 0
+Transient_GETX [0 ] 0
+Transient_Local_GETX [0 ] 0
+Transient_GETS [0 ] 0
+Transient_Local_GETS [0 ] 0
+Transient_GETS_Last_Token [0 ] 0
+Transient_Local_GETS_Last_Token [0 ] 0
+Persistent_GETX [0 ] 0
+Persistent_GETS [0 ] 0
+Persistent_GETS_Last_Token [0 ] 0
+Own_Lock_or_Unlock [0 ] 0
+Request_Timeout [0 ] 0
+Use_TimeoutStarverX [0 ] 0
+Use_TimeoutStarverS [0 ] 0
+Use_TimeoutNoStarvers [461 ] 461
+Use_TimeoutNoStarvers_NoMig [0 ] 0
+
+ - Transitions -
+NP Load [182 ] 182
+NP Ifetch [270 ] 270
+NP Store [58 ] 58
+NP Atomic [0 ] 0
+NP Data_Shared [0 ] 0
+NP Data_Owner [0 ] 0
+NP Data_All_Tokens [0 ] 0
+NP Ack [0 ] 0
+NP Transient_GETX [0 ] 0
+NP Transient_Local_GETX [0 ] 0
+NP Transient_GETS [0 ] 0
+NP Transient_Local_GETS [0 ] 0
+NP Persistent_GETX [0 ] 0
+NP Persistent_GETS [0 ] 0
+NP Persistent_GETS_Last_Token [0 ] 0
+NP Own_Lock_or_Unlock [0 ] 0
+
+I Load [0 ] 0
+I Ifetch [0 ] 0
+I Store [0 ] 0
+I Atomic [0 ] 0
+I L1_Replacement [0 ] 0
+I Data_Shared [0 ] 0
+I Data_Owner [0 ] 0
+I Data_All_Tokens [0 ] 0
+I Ack [0 ] 0
+I Transient_GETX [0 ] 0
+I Transient_Local_GETX [0 ] 0
+I Transient_GETS [0 ] 0
+I Transient_Local_GETS [0 ] 0
+I Transient_GETS_Last_Token [0 ] 0
+I Transient_Local_GETS_Last_Token [0 ] 0
+I Persistent_GETX [0 ] 0
+I Persistent_GETS [0 ] 0
+I Persistent_GETS_Last_Token [0 ] 0
+I Own_Lock_or_Unlock [0 ] 0
+
+S Load [29 ] 29
+S Ifetch [158 ] 158
+S Store [8 ] 8
+S Atomic [0 ] 0
+S L1_Replacement [48 ] 48
+S Data_Shared [0 ] 0
+S Data_Owner [0 ] 0
+S Data_All_Tokens [0 ] 0
+S Ack [0 ] 0
+S Transient_GETX [0 ] 0
+S Transient_Local_GETX [0 ] 0
+S Transient_GETS [0 ] 0
+S Transient_Local_GETS [0 ] 0
+S Transient_GETS_Last_Token [0 ] 0
+S Transient_Local_GETS_Last_Token [0 ] 0
+S Persistent_GETX [0 ] 0
+S Persistent_GETS [0 ] 0
+S Persistent_GETS_Last_Token [0 ] 0
+S Own_Lock_or_Unlock [0 ] 0
+
+O Load [0 ] 0
+O Ifetch [0 ] 0
+O Store [0 ] 0
+O Atomic [0 ] 0
+O L1_Replacement [0 ] 0
+O Data_Shared [0 ] 0
+O Data_All_Tokens [0 ] 0
+O Ack [0 ] 0
+O Ack_All_Tokens [0 ] 0
+O Transient_GETX [0 ] 0
+O Transient_Local_GETX [0 ] 0
+O Transient_GETS [0 ] 0
+O Transient_Local_GETS [0 ] 0
+O Transient_GETS_Last_Token [0 ] 0
+O Transient_Local_GETS_Last_Token [0 ] 0
+O Persistent_GETX [0 ] 0
+O Persistent_GETS [0 ] 0
+O Persistent_GETS_Last_Token [0 ] 0
+O Own_Lock_or_Unlock [0 ] 0
+
+M Load [66 ] 66
+M Ifetch [1161 ] 1161
+M Store [29 ] 29
+M Atomic [0 ] 0
+M L1_Replacement [358 ] 358
+M Transient_GETX [0 ] 0
+M Transient_Local_GETX [0 ] 0
+M Transient_GETS [0 ] 0
+M Transient_Local_GETS [0 ] 0
+M Persistent_GETX [0 ] 0
+M Persistent_GETS [0 ] 0
+M Own_Lock_or_Unlock [0 ] 0
+
+MM Load [96 ] 96
+MM Ifetch [0 ] 0
+MM Store [104 ] 104
+MM Atomic [0 ] 0
+MM L1_Replacement [96 ] 96
+MM Transient_GETX [0 ] 0
+MM Transient_Local_GETX [0 ] 0
+MM Transient_GETS [0 ] 0
+MM Transient_Local_GETS [0 ] 0
+MM Persistent_GETX [0 ] 0
+MM Persistent_GETS [0 ] 0
+MM Own_Lock_or_Unlock [0 ] 0
+
+M_W Load [36 ] 36
+M_W Ifetch [996 ] 996
+M_W Store [3 ] 3
+M_W Atomic [0 ] 0
+M_W L1_Replacement [1 ] 1
+M_W Transient_GETX [0 ] 0
+M_W Transient_Local_GETX [0 ] 0
+M_W Transient_GETS [0 ] 0
+M_W Transient_Local_GETS [0 ] 0
+M_W Persistent_GETX [0 ] 0
+M_W Persistent_GETS [0 ] 0
+M_W Own_Lock_or_Unlock [0 ] 0
+M_W Use_TimeoutStarverX [0 ] 0
+M_W Use_TimeoutStarverS [0 ] 0
+M_W Use_TimeoutNoStarvers [392 ] 392
+M_W Use_TimeoutNoStarvers_NoMig [0 ] 0
+
+MM_W Load [6 ] 6
+MM_W Ifetch [0 ] 0
+MM_W Store [92 ] 92
+MM_W Atomic [0 ] 0
+MM_W L1_Replacement [1 ] 1
+MM_W Transient_GETX [0 ] 0
+MM_W Transient_Local_GETX [0 ] 0
+MM_W Transient_GETS [0 ] 0
+MM_W Transient_Local_GETS [0 ] 0
+MM_W Persistent_GETX [0 ] 0
+MM_W Persistent_GETS [0 ] 0
+MM_W Own_Lock_or_Unlock [0 ] 0
+MM_W Use_TimeoutStarverX [0 ] 0
+MM_W Use_TimeoutStarverS [0 ] 0
+MM_W Use_TimeoutNoStarvers [69 ] 69
+MM_W Use_TimeoutNoStarvers_NoMig [0 ] 0
+
+IM Load [0 ] 0
+IM Ifetch [0 ] 0
+IM Store [0 ] 0
+IM Atomic [0 ] 0
+IM L1_Replacement [0 ] 0
+IM Data_Shared [0 ] 0
+IM Data_Owner [0 ] 0
+IM Data_All_Tokens [58 ] 58
+IM Ack [1 ] 1
+IM Transient_GETX [0 ] 0
+IM Transient_Local_GETX [0 ] 0
+IM Transient_GETS [0 ] 0
+IM Transient_Local_GETS [0 ] 0
+IM Transient_GETS_Last_Token [0 ] 0
+IM Transient_Local_GETS_Last_Token [0 ] 0
+IM Persistent_GETX [0 ] 0
+IM Persistent_GETS [0 ] 0
+IM Persistent_GETS_Last_Token [0 ] 0
+IM Own_Lock_or_Unlock [0 ] 0
+IM Request_Timeout [0 ] 0
+
+SM Load [0 ] 0
+SM Ifetch [0 ] 0
+SM Store [0 ] 0
+SM Atomic [0 ] 0
+SM L1_Replacement [0 ] 0
+SM Data_Shared [0 ] 0
+SM Data_Owner [0 ] 0
+SM Data_All_Tokens [8 ] 8
+SM Ack [0 ] 0
+SM Transient_GETX [0 ] 0
+SM Transient_Local_GETX [0 ] 0
+SM Transient_GETS [0 ] 0
+SM Transient_Local_GETS [0 ] 0
+SM Transient_GETS_Last_Token [0 ] 0
+SM Transient_Local_GETS_Last_Token [0 ] 0
+SM Persistent_GETX [0 ] 0
+SM Persistent_GETS [0 ] 0
+SM Persistent_GETS_Last_Token [0 ] 0
+SM Own_Lock_or_Unlock [0 ] 0
+SM Request_Timeout [0 ] 0
+
+OM Load [0 ] 0
+OM Ifetch [0 ] 0
+OM Store [0 ] 0
+OM Atomic [0 ] 0
+OM L1_Replacement [0 ] 0
+OM Data_Shared [0 ] 0
+OM Data_All_Tokens [0 ] 0
+OM Ack [0 ] 0
+OM Ack_All_Tokens [0 ] 0
+OM Transient_GETX [0 ] 0
+OM Transient_Local_GETX [0 ] 0
+OM Transient_GETS [0 ] 0
+OM Transient_Local_GETS [0 ] 0
+OM Transient_GETS_Last_Token [0 ] 0
+OM Transient_Local_GETS_Last_Token [0 ] 0
+OM Persistent_GETX [0 ] 0
+OM Persistent_GETS [0 ] 0
+OM Persistent_GETS_Last_Token [0 ] 0
+OM Own_Lock_or_Unlock [0 ] 0
+OM Request_Timeout [0 ] 0
+
+IS Load [0 ] 0
+IS Ifetch [0 ] 0
+IS Store [0 ] 0
+IS Atomic [0 ] 0
+IS L1_Replacement [0 ] 0
+IS Data_Shared [56 ] 56
+IS Data_Owner [0 ] 0
+IS Data_All_Tokens [396 ] 396
+IS Ack [0 ] 0
+IS Transient_GETX [0 ] 0
+IS Transient_Local_GETX [0 ] 0
+IS Transient_GETS [0 ] 0
+IS Transient_Local_GETS [0 ] 0
+IS Transient_GETS_Last_Token [0 ] 0
+IS Transient_Local_GETS_Last_Token [0 ] 0
+IS Persistent_GETX [0 ] 0
+IS Persistent_GETS [0 ] 0
+IS Persistent_GETS_Last_Token [0 ] 0
+IS Own_Lock_or_Unlock [0 ] 0
+IS Request_Timeout [0 ] 0
+
+I_L Load [0 ] 0
+I_L Ifetch [0 ] 0
+I_L Store [0 ] 0
+I_L Atomic [0 ] 0
+I_L L1_Replacement [0 ] 0
+I_L Data_Shared [0 ] 0
+I_L Data_Owner [0 ] 0
+I_L Data_All_Tokens [0 ] 0
+I_L Ack [0 ] 0
+I_L Transient_GETX [0 ] 0
+I_L Transient_Local_GETX [0 ] 0
+I_L Transient_GETS [0 ] 0
+I_L Transient_Local_GETS [0 ] 0
+I_L Transient_GETS_Last_Token [0 ] 0
+I_L Transient_Local_GETS_Last_Token [0 ] 0
+I_L Persistent_GETX [0 ] 0
+I_L Persistent_GETS [0 ] 0
+I_L Persistent_GETS_Last_Token [0 ] 0
+I_L Own_Lock_or_Unlock [0 ] 0
+
+S_L Load [0 ] 0
+S_L Ifetch [0 ] 0
+S_L Store [0 ] 0
+S_L Atomic [0 ] 0
+S_L L1_Replacement [0 ] 0
+S_L Data_Shared [0 ] 0
+S_L Data_Owner [0 ] 0
+S_L Data_All_Tokens [0 ] 0
+S_L Ack [0 ] 0
+S_L Transient_GETX [0 ] 0
+S_L Transient_Local_GETX [0 ] 0
+S_L Transient_GETS [0 ] 0
+S_L Transient_Local_GETS [0 ] 0
+S_L Transient_GETS_Last_Token [0 ] 0
+S_L Transient_Local_GETS_Last_Token [0 ] 0
+S_L Persistent_GETX [0 ] 0
+S_L Persistent_GETS [0 ] 0
+S_L Persistent_GETS_Last_Token [0 ] 0
+S_L Own_Lock_or_Unlock [0 ] 0
+
+IM_L Load [0 ] 0
+IM_L Ifetch [0 ] 0
+IM_L Store [0 ] 0
+IM_L Atomic [0 ] 0
+IM_L L1_Replacement [0 ] 0
+IM_L Data_Shared [0 ] 0
+IM_L Data_Owner [0 ] 0
+IM_L Data_All_Tokens [0 ] 0
+IM_L Ack [0 ] 0
+IM_L Transient_GETX [0 ] 0
+IM_L Transient_Local_GETX [0 ] 0
+IM_L Transient_GETS [0 ] 0
+IM_L Transient_Local_GETS [0 ] 0
+IM_L Transient_GETS_Last_Token [0 ] 0
+IM_L Transient_Local_GETS_Last_Token [0 ] 0
+IM_L Persistent_GETX [0 ] 0
+IM_L Persistent_GETS [0 ] 0
+IM_L Own_Lock_or_Unlock [0 ] 0
+IM_L Request_Timeout [0 ] 0
+
+SM_L Load [0 ] 0
+SM_L Ifetch [0 ] 0
+SM_L Store [0 ] 0
+SM_L Atomic [0 ] 0
+SM_L L1_Replacement [0 ] 0
+SM_L Data_Shared [0 ] 0
+SM_L Data_Owner [0 ] 0
+SM_L Data_All_Tokens [0 ] 0
+SM_L Ack [0 ] 0
+SM_L Transient_GETX [0 ] 0
+SM_L Transient_Local_GETX [0 ] 0
+SM_L Transient_GETS [0 ] 0
+SM_L Transient_Local_GETS [0 ] 0
+SM_L Transient_GETS_Last_Token [0 ] 0
+SM_L Transient_Local_GETS_Last_Token [0 ] 0
+SM_L Persistent_GETX [0 ] 0
+SM_L Persistent_GETS [0 ] 0
+SM_L Persistent_GETS_Last_Token [0 ] 0
+SM_L Own_Lock_or_Unlock [0 ] 0
+SM_L Request_Timeout [0 ] 0
+
+IS_L Load [0 ] 0
+IS_L Ifetch [0 ] 0
+IS_L Store [0 ] 0
+IS_L Atomic [0 ] 0
+IS_L L1_Replacement [0 ] 0
+IS_L Data_Shared [0 ] 0
+IS_L Data_Owner [0 ] 0
+IS_L Data_All_Tokens [0 ] 0
+IS_L Ack [0 ] 0
+IS_L Transient_GETX [0 ] 0
+IS_L Transient_Local_GETX [0 ] 0
+IS_L Transient_GETS [0 ] 0
+IS_L Transient_Local_GETS [0 ] 0
+IS_L Transient_GETS_Last_Token [0 ] 0
+IS_L Transient_Local_GETS_Last_Token [0 ] 0
+IS_L Persistent_GETX [0 ] 0
+IS_L Persistent_GETS [0 ] 0
+IS_L Own_Lock_or_Unlock [0 ] 0
+IS_L Request_Timeout [0 ] 0
+
+Cache Stats: system.l2_cntrl0.L2cacheMemory
+ system.l2_cntrl0.L2cacheMemory_total_misses: 454
+ system.l2_cntrl0.L2cacheMemory_total_demand_misses: 454
+ system.l2_cntrl0.L2cacheMemory_total_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_sw_prefetches: 0
+ system.l2_cntrl0.L2cacheMemory_total_hw_prefetches: 0
+
+ system.l2_cntrl0.L2cacheMemory_request_type_GETS: 87.2247%
+ system.l2_cntrl0.L2cacheMemory_request_type_GETX: 12.7753%
+
+ system.l2_cntrl0.L2cacheMemory_access_mode_type_Supervisor: 454 100%
+
+ --- L2Cache ---
+ - Event Counts -
+L1_GETS [448 ] 448
+L1_GETS_Last_Token [4 ] 4
+L1_GETX [66 ] 66
+L1_INV [0 ] 0
+Transient_GETX [0 ] 0
+Transient_GETS [0 ] 0
+Transient_GETS_Last_Token [0 ] 0
+L2_Replacement [458 ] 458
+Writeback_Tokens [0 ] 0
+Writeback_Shared_Data [21 ] 21
+Writeback_All_Tokens [481 ] 481
+Writeback_Owned [0 ] 0
+Data_Shared [0 ] 0
+Data_Owner [0 ] 0
+Data_All_Tokens [0 ] 0
+Ack [0 ] 0
+Ack_All_Tokens [0 ] 0
+Persistent_GETX [0 ] 0
+Persistent_GETS [0 ] 0
+Persistent_GETS_Last_Token [0 ] 0
+Own_Lock_or_Unlock [0 ] 0
+
+ - Transitions -
+NP L1_GETS [396 ] 396
+NP L1_GETX [50 ] 50
+NP L1_INV [0 ] 0
+NP Transient_GETX [0 ] 0
+NP Transient_GETS [0 ] 0
+NP Writeback_Tokens [0 ] 0
+NP Writeback_Shared_Data [18 ] 18
+NP Writeback_All_Tokens [448 ] 448
+NP Writeback_Owned [0 ] 0
+NP Data_Shared [0 ] 0
+NP Data_Owner [0 ] 0
+NP Data_All_Tokens [0 ] 0
+NP Ack [0 ] 0
+NP Persistent_GETX [0 ] 0
+NP Persistent_GETS [0 ] 0
+NP Persistent_GETS_Last_Token [0 ] 0
+NP Own_Lock_or_Unlock [0 ] 0
+
+I L1_GETS [0 ] 0
+I L1_GETS_Last_Token [0 ] 0
+I L1_GETX [1 ] 1
+I L1_INV [0 ] 0
+I Transient_GETX [0 ] 0
+I Transient_GETS [0 ] 0
+I Transient_GETS_Last_Token [0 ] 0
+I L2_Replacement [9 ] 9
+I Writeback_Tokens [0 ] 0
+I Writeback_Shared_Data [3 ] 3
+I Writeback_All_Tokens [6 ] 6
+I Writeback_Owned [0 ] 0
+I Data_Shared [0 ] 0
+I Data_Owner [0 ] 0
+I Data_All_Tokens [0 ] 0
+I Ack [0 ] 0
+I Persistent_GETX [0 ] 0
+I Persistent_GETS [0 ] 0
+I Persistent_GETS_Last_Token [0 ] 0
+I Own_Lock_or_Unlock [0 ] 0
+
+S L1_GETS [0 ] 0
+S L1_GETS_Last_Token [4 ] 4
+S L1_GETX [1 ] 1
+S L1_INV [0 ] 0
+S Transient_GETX [0 ] 0
+S Transient_GETS [0 ] 0
+S Transient_GETS_Last_Token [0 ] 0
+S L2_Replacement [15 ] 15
+S Writeback_Tokens [0 ] 0
+S Writeback_Shared_Data [0 ] 0
+S Writeback_All_Tokens [0 ] 0
+S Writeback_Owned [0 ] 0
+S Data_Shared [0 ] 0
+S Data_Owner [0 ] 0
+S Data_All_Tokens [0 ] 0
+S Ack [0 ] 0
+S Persistent_GETX [0 ] 0
+S Persistent_GETS [0 ] 0
+S Persistent_GETS_Last_Token [0 ] 0
+S Own_Lock_or_Unlock [0 ] 0
+
+O L1_GETS [0 ] 0
+O L1_GETS_Last_Token [0 ] 0
+O L1_GETX [6 ] 6
+O L1_INV [0 ] 0
+O Transient_GETX [0 ] 0
+O Transient_GETS [0 ] 0
+O Transient_GETS_Last_Token [0 ] 0
+O L2_Replacement [19 ] 19
+O Writeback_Tokens [0 ] 0
+O Writeback_Shared_Data [0 ] 0
+O Writeback_All_Tokens [27 ] 27
+O Data_Shared [0 ] 0
+O Data_All_Tokens [0 ] 0
+O Ack [0 ] 0
+O Ack_All_Tokens [0 ] 0
+O Persistent_GETX [0 ] 0
+O Persistent_GETS [0 ] 0
+O Persistent_GETS_Last_Token [0 ] 0
+O Own_Lock_or_Unlock [0 ] 0
+
+M L1_GETS [52 ] 52
+M L1_GETX [8 ] 8
+M L1_INV [0 ] 0
+M Transient_GETX [0 ] 0
+M Transient_GETS [0 ] 0
+M L2_Replacement [415 ] 415
+M Persistent_GETX [0 ] 0
+M Persistent_GETS [0 ] 0
+M Own_Lock_or_Unlock [0 ] 0
+
+I_L L1_GETS [0 ] 0
+I_L L1_GETX [0 ] 0
+I_L L1_INV [0 ] 0
+I_L Transient_GETX [0 ] 0
+I_L Transient_GETS [0 ] 0
+I_L Transient_GETS_Last_Token [0 ] 0
+I_L L2_Replacement [0 ] 0
+I_L Writeback_Tokens [0 ] 0
+I_L Writeback_Shared_Data [0 ] 0
+I_L Writeback_All_Tokens [0 ] 0
+I_L Writeback_Owned [0 ] 0
+I_L Data_Shared [0 ] 0
+I_L Data_Owner [0 ] 0
+I_L Data_All_Tokens [0 ] 0
+I_L Ack [0 ] 0
+I_L Persistent_GETX [0 ] 0
+I_L Persistent_GETS [0 ] 0
+I_L Own_Lock_or_Unlock [0 ] 0
+
+S_L L1_GETS [0 ] 0
+S_L L1_GETS_Last_Token [0 ] 0
+S_L L1_GETX [0 ] 0
+S_L L1_INV [0 ] 0
+S_L Transient_GETX [0 ] 0
+S_L Transient_GETS [0 ] 0
+S_L Transient_GETS_Last_Token [0 ] 0
+S_L L2_Replacement [0 ] 0
+S_L Writeback_Tokens [0 ] 0
+S_L Writeback_Shared_Data [0 ] 0
+S_L Writeback_All_Tokens [0 ] 0
+S_L Writeback_Owned [0 ] 0
+S_L Data_Shared [0 ] 0
+S_L Data_Owner [0 ] 0
+S_L Data_All_Tokens [0 ] 0
+S_L Ack [0 ] 0
+S_L Persistent_GETX [0 ] 0
+S_L Persistent_GETS [0 ] 0
+S_L Persistent_GETS_Last_Token [0 ] 0
+S_L Own_Lock_or_Unlock [0 ] 0
+
+Memory controller: system.dir_cntrl0.memBuffer:
+ memory_total_requests: 532
+ memory_reads: 448
+ memory_writes: 84
+ memory_refreshes: 184
+ memory_total_request_delays: 169
+ memory_delays_per_request: 0.317669
+ memory_delays_in_input_queue: 45
+ memory_delays_behind_head_of_bank_queue: 0
+ memory_delays_stalled_at_head_of_bank_queue: 124
+ memory_stalls_for_bank_busy: 31
+ memory_stalls_for_random_busy: 0
+ memory_stalls_for_anti_starvation: 0
+ memory_stalls_for_arbitration: 10
+ memory_stalls_for_bus: 81
+ memory_stalls_for_tfaw: 0
+ memory_stalls_for_read_write_turnaround: 2
+ memory_stalls_for_read_read_turnaround: 0
+ accesses_per_bank: 19 10 0 39 20 19 31 22 5 3 6 4 22 41 22 3 4 6 7 13 10 18 14 42 16 5 5 12 13 18 14 69
+
+ --- Directory ---
+ - Event Counts -
+GETX [107 ] 107
+GETS [441 ] 441
+Lockdown [0 ] 0
+Unlockdown [0 ] 0
+Own_Lock_or_Unlock [0 ] 0
+Own_Lock_or_Unlock_Tokens [0 ] 0
+Data_Owner [3 ] 3
+Data_All_Tokens [81 ] 81
+Ack_Owner [16 ] 16
+Ack_Owner_All_Tokens [334 ] 334
+Tokens [0 ] 0
+Ack_All_Tokens [15 ] 15
+Request_Timeout [0 ] 0
+Memory_Data [448 ] 448
+Memory_Ack [84 ] 84
+DMA_READ [0 ] 0
+DMA_WRITE [0 ] 0
+DMA_WRITE_All_Tokens [0 ] 0
+
+ - Transitions -
+O GETX [52 ] 52
+O GETS [396 ] 396
+O Lockdown [0 ] 0
+O Unlockdown [0 ] 0
+O Own_Lock_or_Unlock [0 ] 0
+O Own_Lock_or_Unlock_Tokens [0 ] 0
+O Data_Owner [0 ] 0
+O Data_All_Tokens [0 ] 0
+O Tokens [0 ] 0
+O Ack_All_Tokens [15 ] 15
+O DMA_READ [0 ] 0
+O DMA_WRITE [0 ] 0
+O DMA_WRITE_All_Tokens [0 ] 0
+
+NO GETX [6 ] 6
+NO GETS [0 ] 0
+NO Lockdown [0 ] 0
+NO Unlockdown [0 ] 0
+NO Own_Lock_or_Unlock [0 ] 0
+NO Own_Lock_or_Unlock_Tokens [0 ] 0
+NO Data_Owner [3 ] 3
+NO Data_All_Tokens [81 ] 81
+NO Ack_Owner [16 ] 16
+NO Ack_Owner_All_Tokens [334 ] 334
+NO Tokens [0 ] 0
+NO DMA_READ [0 ] 0
+NO DMA_WRITE [0 ] 0
+
+L GETX [0 ] 0
+L GETS [0 ] 0
+L Lockdown [0 ] 0
+L Unlockdown [0 ] 0
+L Own_Lock_or_Unlock [0 ] 0
+L Own_Lock_or_Unlock_Tokens [0 ] 0
+L Data_Owner [0 ] 0
+L Data_All_Tokens [0 ] 0
+L Ack_Owner [0 ] 0
+L Ack_Owner_All_Tokens [0 ] 0
+L Tokens [0 ] 0
+L DMA_READ [0 ] 0
+L DMA_WRITE [0 ] 0
+L DMA_WRITE_All_Tokens [0 ] 0
+
+O_W GETX [49 ] 49
+O_W GETS [45 ] 45
+O_W Lockdown [0 ] 0
+O_W Unlockdown [0 ] 0
+O_W Own_Lock_or_Unlock [0 ] 0
+O_W Own_Lock_or_Unlock_Tokens [0 ] 0
+O_W Data_Owner [0 ] 0
+O_W Data_All_Tokens [0 ] 0
+O_W Ack_Owner [0 ] 0
+O_W Tokens [0 ] 0
+O_W Ack_All_Tokens [0 ] 0
+O_W Memory_Data [0 ] 0
+O_W Memory_Ack [84 ] 84
+O_W DMA_READ [0 ] 0
+O_W DMA_WRITE [0 ] 0
+O_W DMA_WRITE_All_Tokens [0 ] 0
+
+L_O_W GETX [0 ] 0
+L_O_W GETS [0 ] 0
+L_O_W Lockdown [0 ] 0
+L_O_W Unlockdown [0 ] 0
+L_O_W Own_Lock_or_Unlock [0 ] 0
+L_O_W Own_Lock_or_Unlock_Tokens [0 ] 0
+L_O_W Data_Owner [0 ] 0
+L_O_W Data_All_Tokens [0 ] 0
+L_O_W Ack_Owner [0 ] 0
+L_O_W Tokens [0 ] 0
+L_O_W Ack_All_Tokens [0 ] 0
+L_O_W Memory_Data [0 ] 0
+L_O_W Memory_Ack [0 ] 0
+L_O_W DMA_READ [0 ] 0
+L_O_W DMA_WRITE [0 ] 0
+L_O_W DMA_WRITE_All_Tokens [0 ] 0
+
+L_NO_W GETX [0 ] 0
+L_NO_W GETS [0 ] 0
+L_NO_W Lockdown [0 ] 0
+L_NO_W Unlockdown [0 ] 0
+L_NO_W Own_Lock_or_Unlock [0 ] 0
+L_NO_W Own_Lock_or_Unlock_Tokens [0 ] 0
+L_NO_W Data_Owner [0 ] 0
+L_NO_W Data_All_Tokens [0 ] 0
+L_NO_W Ack_Owner [0 ] 0
+L_NO_W Tokens [0 ] 0
+L_NO_W Ack_All_Tokens [0 ] 0
+L_NO_W Memory_Data [0 ] 0
+L_NO_W DMA_READ [0 ] 0
+L_NO_W DMA_WRITE [0 ] 0
+L_NO_W DMA_WRITE_All_Tokens [0 ] 0
+
+DR_L_W GETX [0 ] 0
+DR_L_W GETS [0 ] 0
+DR_L_W Lockdown [0 ] 0
+DR_L_W Unlockdown [0 ] 0
+DR_L_W Own_Lock_or_Unlock [0 ] 0
+DR_L_W Own_Lock_or_Unlock_Tokens [0 ] 0
+DR_L_W Data_Owner [0 ] 0
+DR_L_W Data_All_Tokens [0 ] 0
+DR_L_W Ack_Owner [0 ] 0
+DR_L_W Tokens [0 ] 0
+DR_L_W Ack_All_Tokens [0 ] 0
+DR_L_W Request_Timeout [0 ] 0
+DR_L_W Memory_Data [0 ] 0
+DR_L_W DMA_READ [0 ] 0
+DR_L_W DMA_WRITE [0 ] 0
+DR_L_W DMA_WRITE_All_Tokens [0 ] 0
+
+DW_L_W GETX [0 ] 0
+DW_L_W GETS [0 ] 0
+DW_L_W Lockdown [0 ] 0
+DW_L_W Unlockdown [0 ] 0
+DW_L_W Own_Lock_or_Unlock [0 ] 0
+DW_L_W Own_Lock_or_Unlock_Tokens [0 ] 0
+DW_L_W Data_Owner [0 ] 0
+DW_L_W Data_All_Tokens [0 ] 0
+DW_L_W Ack_Owner [0 ] 0
+DW_L_W Tokens [0 ] 0
+DW_L_W Ack_All_Tokens [0 ] 0
+DW_L_W Request_Timeout [0 ] 0
+DW_L_W Memory_Ack [0 ] 0
+DW_L_W DMA_READ [0 ] 0
+DW_L_W DMA_WRITE [0 ] 0
+DW_L_W DMA_WRITE_All_Tokens [0 ] 0
+
+NO_W GETX [0 ] 0
+NO_W GETS [0 ] 0
+NO_W Lockdown [0 ] 0
+NO_W Unlockdown [0 ] 0
+NO_W Own_Lock_or_Unlock [0 ] 0
+NO_W Own_Lock_or_Unlock_Tokens [0 ] 0
+NO_W Data_Owner [0 ] 0
+NO_W Data_All_Tokens [0 ] 0
+NO_W Ack_Owner [0 ] 0
+NO_W Tokens [0 ] 0
+NO_W Ack_All_Tokens [0 ] 0
+NO_W Memory_Data [448 ] 448
+NO_W DMA_READ [0 ] 0
+NO_W DMA_WRITE [0 ] 0
+NO_W DMA_WRITE_All_Tokens [0 ] 0
+
+O_DW_W GETX [0 ] 0
+O_DW_W GETS [0 ] 0
+O_DW_W Lockdown [0 ] 0
+O_DW_W Unlockdown [0 ] 0
+O_DW_W Own_Lock_or_Unlock [0 ] 0
+O_DW_W Own_Lock_or_Unlock_Tokens [0 ] 0
+O_DW_W Data_Owner [0 ] 0
+O_DW_W Data_All_Tokens [0 ] 0
+O_DW_W Ack_Owner [0 ] 0
+O_DW_W Tokens [0 ] 0
+O_DW_W Ack_All_Tokens [0 ] 0
+O_DW_W Request_Timeout [0 ] 0
+O_DW_W Memory_Ack [0 ] 0
+O_DW_W DMA_READ [0 ] 0
+O_DW_W DMA_WRITE [0 ] 0
+O_DW_W DMA_WRITE_All_Tokens [0 ] 0
+
+O_DR_W GETX [0 ] 0
+O_DR_W GETS [0 ] 0
+O_DR_W Lockdown [0 ] 0
+O_DR_W Unlockdown [0 ] 0
+O_DR_W Own_Lock_or_Unlock [0 ] 0
+O_DR_W Own_Lock_or_Unlock_Tokens [0 ] 0
+O_DR_W Data_Owner [0 ] 0
+O_DR_W Data_All_Tokens [0 ] 0
+O_DR_W Ack_Owner [0 ] 0
+O_DR_W Tokens [0 ] 0
+O_DR_W Ack_All_Tokens [0 ] 0
+O_DR_W Request_Timeout [0 ] 0
+O_DR_W Memory_Data [0 ] 0
+O_DR_W DMA_READ [0 ] 0
+O_DR_W DMA_WRITE [0 ] 0
+O_DR_W DMA_WRITE_All_Tokens [0 ] 0
+
+O_DW GETX [0 ] 0
+O_DW GETS [0 ] 0
+O_DW Lockdown [0 ] 0
+O_DW Unlockdown [0 ] 0
+O_DW Own_Lock_or_Unlock [0 ] 0
+O_DW Own_Lock_or_Unlock_Tokens [0 ] 0
+O_DW Data_Owner [0 ] 0
+O_DW Data_All_Tokens [0 ] 0
+O_DW Ack_Owner [0 ] 0
+O_DW Ack_Owner_All_Tokens [0 ] 0
+O_DW Tokens [0 ] 0
+O_DW Ack_All_Tokens [0 ] 0
+O_DW Request_Timeout [0 ] 0
+O_DW DMA_READ [0 ] 0
+O_DW DMA_WRITE [0 ] 0
+O_DW DMA_WRITE_All_Tokens [0 ] 0
+
+NO_DW GETX [0 ] 0
+NO_DW GETS [0 ] 0
+NO_DW Lockdown [0 ] 0
+NO_DW Unlockdown [0 ] 0
+NO_DW Own_Lock_or_Unlock [0 ] 0
+NO_DW Own_Lock_or_Unlock_Tokens [0 ] 0
+NO_DW Data_Owner [0 ] 0
+NO_DW Data_All_Tokens [0 ] 0
+NO_DW Tokens [0 ] 0
+NO_DW Request_Timeout [0 ] 0
+NO_DW DMA_READ [0 ] 0
+NO_DW DMA_WRITE [0 ] 0
+NO_DW DMA_WRITE_All_Tokens [0 ] 0
+
+NO_DR GETX [0 ] 0
+NO_DR GETS [0 ] 0
+NO_DR Lockdown [0 ] 0
+NO_DR Unlockdown [0 ] 0
+NO_DR Own_Lock_or_Unlock [0 ] 0
+NO_DR Own_Lock_or_Unlock_Tokens [0 ] 0
+NO_DR Data_Owner [0 ] 0
+NO_DR Data_All_Tokens [0 ] 0
+NO_DR Tokens [0 ] 0
+NO_DR Request_Timeout [0 ] 0
+NO_DR DMA_READ [0 ] 0
+NO_DR DMA_WRITE [0 ] 0
+NO_DR DMA_WRITE_All_Tokens [0 ] 0
+
+DW_L GETX [0 ] 0
+DW_L GETS [0 ] 0
+DW_L Lockdown [0 ] 0
+DW_L Unlockdown [0 ] 0
+DW_L Own_Lock_or_Unlock [0 ] 0
+DW_L Own_Lock_or_Unlock_Tokens [0 ] 0
+DW_L Data_Owner [0 ] 0
+DW_L Data_All_Tokens [0 ] 0
+DW_L Ack_Owner [0 ] 0
+DW_L Ack_Owner_All_Tokens [0 ] 0
+DW_L Tokens [0 ] 0
+DW_L Request_Timeout [0 ] 0
+DW_L DMA_READ [0 ] 0
+DW_L DMA_WRITE [0 ] 0
+DW_L DMA_WRITE_All_Tokens [0 ] 0
+
+DR_L GETX [0 ] 0
+DR_L GETS [0 ] 0
+DR_L Lockdown [0 ] 0
+DR_L Unlockdown [0 ] 0
+DR_L Own_Lock_or_Unlock [0 ] 0
+DR_L Own_Lock_or_Unlock_Tokens [0 ] 0
+DR_L Data_Owner [0 ] 0
+DR_L Data_All_Tokens [0 ] 0
+DR_L Ack_Owner [0 ] 0
+DR_L Ack_Owner_All_Tokens [0 ] 0
+DR_L Tokens [0 ] 0
+DR_L Request_Timeout [0 ] 0
+DR_L DMA_READ [0 ] 0
+DR_L DMA_WRITE [0 ] 0
+DR_L DMA_WRITE_All_Tokens [0 ] 0
+
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/simerr b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/simerr
new file mode 100755
index 000000000..31ae36f2e
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/simerr
@@ -0,0 +1,3 @@
+warn: Sockets disabled, not accepting gdb connections
+warn: ignoring syscall sigprocmask(18446744073709547831, 1, ...)
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/simout b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/simout
new file mode 100755
index 000000000..476a0b599
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:50:16
+gem5 started Jan 23 2012 04:22:25
+gem5 executing on zizzer
+command line: build/ALPHA_SE_MOESI_CMP_token/gem5.opt -d build/ALPHA_SE_MOESI_CMP_token/tests/opt/quick/00.hello/alpha/tru64/simple-timing-ruby-MOESI_CMP_token -re tests/run.py build/ALPHA_SE_MOESI_CMP_token/tests/opt/quick/00.hello/alpha/tru64/simple-timing-ruby-MOESI_CMP_token
+Global frequency set at 1000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 87899 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/stats.txt b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/stats.txt
new file mode 100644
index 000000000..fd5600236
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_CMP_token/stats.txt
@@ -0,0 +1,77 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000088 # Number of seconds simulated
+sim_ticks 87899 # Number of ticks simulated
+final_tick 87899 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000 # Frequency of simulated ticks
+host_inst_rate 12702 # Simulator instruction rate (inst/s)
+host_tick_rate 433208 # Simulator tick rate (ticks/s)
+host_mem_usage 216416 # Number of bytes of host memory used
+host_seconds 0.20 # Real time elapsed on the host
+sim_insts 2577 # Number of instructions simulated
+system.physmem.bytes_read 13356 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 10340 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 2058 # Number of bytes written to this memory
+system.physmem.num_reads 3000 # Number of read requests responded to by this memory
+system.physmem.num_writes 294 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 151947121 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 117635013 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 23413236 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 175360357 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 415 # DTB read hits
+system.cpu.dtb.read_misses 4 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 419 # DTB read accesses
+system.cpu.dtb.write_hits 294 # DTB write hits
+system.cpu.dtb.write_misses 4 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 298 # DTB write accesses
+system.cpu.dtb.data_hits 709 # DTB hits
+system.cpu.dtb.data_misses 8 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 717 # DTB accesses
+system.cpu.itb.fetch_hits 2586 # ITB hits
+system.cpu.itb.fetch_misses 11 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 2597 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 4 # Number of system calls
+system.cpu.numCycles 87899 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 2577 # Number of instructions executed
+system.cpu.num_int_alu_accesses 2375 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 6 # Number of float alu accesses
+system.cpu.num_func_calls 140 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 238 # number of instructions that are conditional controls
+system.cpu.num_int_insts 2375 # number of integer instructions
+system.cpu.num_fp_insts 6 # number of float instructions
+system.cpu.num_int_register_reads 2998 # number of times the integer registers were read
+system.cpu.num_int_register_writes 1768 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 6 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 717 # number of memory refs
+system.cpu.num_load_insts 419 # Number of load instructions
+system.cpu.num_store_insts 298 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 87899 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/config.ini b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/config.ini
new file mode 100644
index 000000000..209bb4d8d
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/config.ini
@@ -0,0 +1,302 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000
+time_sync_spin_threshold=100000
+
+[system]
+type=System
+children=cpu dir_cntrl0 l1_cntrl0 physmem ruby sys_port_proxy
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=1
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.l1_cntrl0.sequencer.port[1]
+icache_port=system.l1_cntrl0.sequencer.port[0]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/tru64/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer probeFilter
+buffer_size=0
+cntrl_id=1
+directory=system.dir_cntrl0.directory
+full_bit_dir_enabled=false
+memBuffer=system.dir_cntrl0.memBuffer
+memory_controller_latency=2
+number_of_TBEs=256
+probeFilter=system.dir_cntrl0.probeFilter
+probe_filter_enabled=false
+recycle_latency=10
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.dir_cntrl0.probeFilter]
+type=RubyCache
+assoc=4
+is_icache=false
+latency=1
+replacement_policy=PSEUDO_LRU
+size=1024
+start_index_bit=6
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=L1DcacheMemory L1IcacheMemory L2cacheMemory sequencer
+L1DcacheMemory=system.l1_cntrl0.L1DcacheMemory
+L1IcacheMemory=system.l1_cntrl0.L1IcacheMemory
+L2cacheMemory=system.l1_cntrl0.L2cacheMemory
+buffer_size=0
+cache_response_latency=10
+cntrl_id=0
+issue_latency=2
+l2_cache_hit_latency=10
+no_mig_atomic=true
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+sequencer=system.l1_cntrl0.sequencer
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.L1DcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=2
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.L1IcacheMemory]
+type=RubyCache
+assoc=2
+is_icache=true
+latency=2
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.L2cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=10
+replacement_policy=PSEUDO_LRU
+size=512
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.L1DcacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.L1IcacheMemory
+max_outstanding_requests=16
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[0]
+port=system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.l1_cntrl0.sequencer.physMemPort system.sys_port_proxy.physMemPort
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=1
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 int_links0 int_links1 routers0 routers1 routers2
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=2
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=3
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=1
+ruby_system=system.ruby
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[1]
+port=system.system_port
+
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/ruby.stats b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/ruby.stats
new file mode 100644
index 000000000..452952d26
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/ruby.stats
@@ -0,0 +1,973 @@
+
+================ Begin RubySystem Configuration Print ================
+
+RubySystem config:
+ random_seed: 1234
+ randomization: 0
+ cycle_period: 1
+ block_size_bytes: 64
+ block_size_bits: 6
+ memory_size_bytes: 134217728
+ memory_size_bits: 27
+
+Network Configuration
+---------------------
+network: SIMPLE_NETWORK
+topology:
+
+virtual_net_0: active, ordered
+virtual_net_1: active, ordered
+virtual_net_2: active, unordered
+virtual_net_3: active, unordered
+virtual_net_4: active, unordered
+virtual_net_5: active, unordered
+virtual_net_6: inactive
+virtual_net_7: inactive
+virtual_net_8: inactive
+virtual_net_9: inactive
+
+
+Profiler Configuration
+----------------------
+periodic_stats_period: 1000000
+
+================ End RubySystem Configuration Print ================
+
+
+Real time: Jan/23/2012 04:21:49
+
+Profiler Stats
+--------------
+Elapsed_time_in_seconds: 0
+Elapsed_time_in_minutes: 0
+Elapsed_time_in_hours: 0
+Elapsed_time_in_days: 0
+
+Virtual_time_in_seconds: 0.23
+Virtual_time_in_minutes: 0.00383333
+Virtual_time_in_hours: 6.38889e-05
+Virtual_time_in_days: 2.66204e-06
+
+Ruby_current_time: 78448
+Ruby_start_time: 0
+Ruby_cycles: 78448
+
+mbytes_resident: 41.5938
+mbytes_total: 210.898
+resident_ratio: 0.197222
+
+ruby_cycles_executed: [ 78449 ]
+
+Busy Controller Counts:
+L1Cache-0:0
+Directory-0:0
+
+
+Busy Bank Count:0
+
+sequencer_requests_outstanding: [binsize: 1 max: 1 count: 3295 average: 1 | standard deviation: 0 | 0 3295 ]
+
+All Non-Zero Cycle Demand Cache Accesses
+----------------------------------------
+miss_latency: [binsize: 2 max: 320 count: 3294 average: 22.8154 | standard deviation: 52.8821 | 0 2784 0 0 0 0 69 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 35 77 95 66 57 76 2 2 1 4 2 0 1 2 2 5 1 4 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 3 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_LD: [binsize: 2 max: 319 count: 415 average: 57.3952 | standard deviation: 74.7751 | 0 233 0 0 0 0 36 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 35 24 16 16 26 0 1 1 0 1 0 0 1 2 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST: [binsize: 2 max: 320 count: 294 average: 28.9728 | standard deviation: 63.5282 | 0 236 0 0 0 0 11 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3 7 6 7 5 10 0 0 0 1 1 0 1 0 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 2 0 1 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH: [binsize: 1 max: 181 count: 2585 average: 16.5636 | standard deviation: 44.4401 | 0 0 2315 0 0 0 0 0 0 0 0 0 0 22 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 47 18 11 32 22 14 39 1 1 1 1 0 0 0 2 1 0 0 0 0 0 0 0 1 0 0 0 2 1 0 2 1 ]
+miss_latency_L1Cache: [binsize: 1 max: 2 count: 2784 average: 2 | standard deviation: 0 | 0 0 2784 ]
+miss_latency_L2Cache: [binsize: 1 max: 13 count: 69 average: 13 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 69 ]
+miss_latency_Directory: [binsize: 2 max: 320 count: 441 average: 155.757 | standard deviation: 21.4255 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 35 77 95 66 57 76 2 2 1 4 2 0 1 2 2 5 1 4 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 3 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_wCC_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_wCC_Times: 0
+miss_latency_dir_issue_to_initial_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_initial_forward_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_forward_to_first_response: [binsize: 1 max: 158 count: 1 average: 158 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_dir_first_response_to_completion: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+imcomplete_dir_Times: 440
+miss_latency_LD_L1Cache: [binsize: 1 max: 2 count: 233 average: 2 | standard deviation: 0 | 0 0 233 ]
+miss_latency_LD_L2Cache: [binsize: 1 max: 13 count: 36 average: 13 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 36 ]
+miss_latency_LD_Directory: [binsize: 2 max: 319 count: 146 average: 156.747 | standard deviation: 24.5989 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 35 24 16 16 26 0 1 1 0 1 0 0 1 2 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST_L1Cache: [binsize: 1 max: 2 count: 236 average: 2 | standard deviation: 0 | 0 0 236 ]
+miss_latency_ST_L2Cache: [binsize: 1 max: 13 count: 11 average: 13 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 11 ]
+miss_latency_ST_Directory: [binsize: 2 max: 320 count: 47 average: 168.149 | standard deviation: 46.0633 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 3 7 6 7 5 10 0 0 0 1 1 0 1 0 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 2 0 1 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH_L1Cache: [binsize: 1 max: 2 count: 2315 average: 2 | standard deviation: 0 | 0 0 2315 ]
+miss_latency_IFETCH_L2Cache: [binsize: 1 max: 13 count: 22 average: 13 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 22 ]
+miss_latency_IFETCH_Directory: [binsize: 1 max: 181 count: 248 average: 152.827 | standard deviation: 5.37952 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 16 17 18 47 18 11 32 22 14 39 1 1 1 1 0 0 0 2 1 0 0 0 0 0 0 0 1 0 0 0 2 1 0 2 1 ]
+
+All Non-Zero Cycle SW Prefetch Requests
+------------------------------------
+prefetch_latency: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+prefetch_latency_L2Miss:[binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Request vs. RubySystem State Profile
+--------------------------------
+
+
+filter_action: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Message Delayed Cycles
+----------------------
+Total_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Total_nonPF_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_0_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_1_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_2_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_3_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_4_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_5_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_6_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_7_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_8_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_9_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Resource Usage
+--------------
+page_size: 4096
+user_time: 0
+system_time: 0
+page_reclaims: 10974
+page_faults: 0
+swaps: 0
+block_inputs: 0
+block_outputs: 88
+
+Network Stats
+-------------
+
+total_msg_count_Request_Control: 1323 10584
+total_msg_count_Response_Data: 1323 95256
+total_msg_count_Writeback_Data: 243 17496
+total_msg_count_Writeback_Control: 3582 28656
+total_msg_count_Unblock_Control: 1320 10560
+total_msgs: 7791 total_bytes: 162552
+
+switch_0_inlinks: 2
+switch_0_outlinks: 2
+links_utilized_percent_switch_0: 2.15844
+ links_utilized_percent_switch_0_link_0: 2.80058 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_0_link_1: 1.51629 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_0_link_0_Response_Data: 441 31752 [ 0 0 0 0 441 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Writeback_Control: 425 3400 [ 0 0 0 425 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Request_Control: 441 3528 [ 0 0 441 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Data: 81 5832 [ 0 0 0 0 0 81 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Writeback_Control: 769 6152 [ 0 0 425 0 0 344 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Unblock_Control: 440 3520 [ 0 0 0 0 0 440 0 0 0 0 ] base_latency: 1
+
+switch_1_inlinks: 2
+switch_1_outlinks: 2
+links_utilized_percent_switch_1: 2.15844
+ links_utilized_percent_switch_1_link_0: 1.51629 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_1_link_1: 2.80058 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_1_link_0_Request_Control: 441 3528 [ 0 0 441 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Writeback_Data: 81 5832 [ 0 0 0 0 0 81 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Writeback_Control: 769 6152 [ 0 0 425 0 0 344 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Unblock_Control: 440 3520 [ 0 0 0 0 0 440 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Data: 441 31752 [ 0 0 0 0 441 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Control: 425 3400 [ 0 0 0 425 0 0 0 0 0 0 ] base_latency: 1
+
+switch_2_inlinks: 2
+switch_2_outlinks: 2
+links_utilized_percent_switch_2: 2.15844
+ links_utilized_percent_switch_2_link_0: 2.80058 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_2_link_1: 1.51629 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_2_link_0_Response_Data: 441 31752 [ 0 0 0 0 441 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Control: 425 3400 [ 0 0 0 425 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Request_Control: 441 3528 [ 0 0 441 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Writeback_Data: 81 5832 [ 0 0 0 0 0 81 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Writeback_Control: 769 6152 [ 0 0 425 0 0 344 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Unblock_Control: 440 3520 [ 0 0 0 0 0 440 0 0 0 0 ] base_latency: 1
+
+Cache Stats: system.l1_cntrl0.L1IcacheMemory
+ system.l1_cntrl0.L1IcacheMemory_total_misses: 270
+ system.l1_cntrl0.L1IcacheMemory_total_demand_misses: 270
+ system.l1_cntrl0.L1IcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1IcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1IcacheMemory_request_type_IFETCH: 100%
+
+ system.l1_cntrl0.L1IcacheMemory_access_mode_type_Supervisor: 270 100%
+
+Cache Stats: system.l1_cntrl0.L1DcacheMemory
+ system.l1_cntrl0.L1DcacheMemory_total_misses: 240
+ system.l1_cntrl0.L1DcacheMemory_total_demand_misses: 240
+ system.l1_cntrl0.L1DcacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L1DcacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L1DcacheMemory_request_type_LD: 75.8333%
+ system.l1_cntrl0.L1DcacheMemory_request_type_ST: 24.1667%
+
+ system.l1_cntrl0.L1DcacheMemory_access_mode_type_Supervisor: 240 100%
+
+Cache Stats: system.l1_cntrl0.L2cacheMemory
+ system.l1_cntrl0.L2cacheMemory_total_misses: 510
+ system.l1_cntrl0.L2cacheMemory_total_demand_misses: 510
+ system.l1_cntrl0.L2cacheMemory_total_prefetches: 0
+ system.l1_cntrl0.L2cacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.L2cacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.L2cacheMemory_request_type_LD: 35.6863%
+ system.l1_cntrl0.L2cacheMemory_request_type_ST: 11.3725%
+ system.l1_cntrl0.L2cacheMemory_request_type_IFETCH: 52.9412%
+
+ system.l1_cntrl0.L2cacheMemory_access_mode_type_Supervisor: 510 100%
+
+ --- L1Cache ---
+ - Event Counts -
+Load [422 ] 422
+Ifetch [2591 ] 2591
+Store [298 ] 298
+L2_Replacement [425 ] 425
+L1_to_L2 [502 ] 502
+Trigger_L2_to_L1D [47 ] 47
+Trigger_L2_to_L1I [22 ] 22
+Complete_L2_to_L1 [69 ] 69
+Other_GETX [0 ] 0
+Other_GETS [0 ] 0
+Merged_GETS [0 ] 0
+Other_GETS_No_Mig [0 ] 0
+NC_DMA_GETS [0 ] 0
+Invalidate [0 ] 0
+Ack [0 ] 0
+Shared_Ack [0 ] 0
+Data [0 ] 0
+Shared_Data [0 ] 0
+Exclusive_Data [441 ] 441
+Writeback_Ack [425 ] 425
+Writeback_Nack [0 ] 0
+All_acks [0 ] 0
+All_acks_no_sharers [441 ] 441
+Flush_line [0 ] 0
+Block_Ack [0 ] 0
+
+ - Transitions -
+I Load [146 ] 146
+I Ifetch [248 ] 248
+I Store [47 ] 47
+I L2_Replacement [0 ] 0
+I L1_to_L2 [0 ] 0
+I Trigger_L2_to_L1D [0 ] 0
+I Trigger_L2_to_L1I [0 ] 0
+I Other_GETX [0 ] 0
+I Other_GETS [0 ] 0
+I Other_GETS_No_Mig [0 ] 0
+I NC_DMA_GETS [0 ] 0
+I Invalidate [0 ] 0
+I Flush_line [0 ] 0
+
+S Load [0 ] 0
+S Ifetch [0 ] 0
+S Store [0 ] 0
+S L2_Replacement [0 ] 0
+S L1_to_L2 [0 ] 0
+S Trigger_L2_to_L1D [0 ] 0
+S Trigger_L2_to_L1I [0 ] 0
+S Other_GETX [0 ] 0
+S Other_GETS [0 ] 0
+S Other_GETS_No_Mig [0 ] 0
+S NC_DMA_GETS [0 ] 0
+S Invalidate [0 ] 0
+S Flush_line [0 ] 0
+
+O Load [0 ] 0
+O Ifetch [0 ] 0
+O Store [0 ] 0
+O L2_Replacement [0 ] 0
+O L1_to_L2 [0 ] 0
+O Trigger_L2_to_L1D [0 ] 0
+O Trigger_L2_to_L1I [0 ] 0
+O Other_GETX [0 ] 0
+O Other_GETS [0 ] 0
+O Merged_GETS [0 ] 0
+O Other_GETS_No_Mig [0 ] 0
+O NC_DMA_GETS [0 ] 0
+O Invalidate [0 ] 0
+O Flush_line [0 ] 0
+
+M Load [109 ] 109
+M Ifetch [2315 ] 2315
+M Store [35 ] 35
+M L2_Replacement [344 ] 344
+M L1_to_L2 [397 ] 397
+M Trigger_L2_to_L1D [23 ] 23
+M Trigger_L2_to_L1I [22 ] 22
+M Other_GETX [0 ] 0
+M Other_GETS [0 ] 0
+M Merged_GETS [0 ] 0
+M Other_GETS_No_Mig [0 ] 0
+M NC_DMA_GETS [0 ] 0
+M Invalidate [0 ] 0
+M Flush_line [0 ] 0
+
+MM Load [124 ] 124
+MM Ifetch [0 ] 0
+MM Store [201 ] 201
+MM L2_Replacement [81 ] 81
+MM L1_to_L2 [105 ] 105
+MM Trigger_L2_to_L1D [24 ] 24
+MM Trigger_L2_to_L1I [0 ] 0
+MM Other_GETX [0 ] 0
+MM Other_GETS [0 ] 0
+MM Merged_GETS [0 ] 0
+MM Other_GETS_No_Mig [0 ] 0
+MM NC_DMA_GETS [0 ] 0
+MM Invalidate [0 ] 0
+MM Flush_line [0 ] 0
+
+IR Load [0 ] 0
+IR Ifetch [0 ] 0
+IR Store [0 ] 0
+IR L1_to_L2 [0 ] 0
+IR Flush_line [0 ] 0
+
+SR Load [0 ] 0
+SR Ifetch [0 ] 0
+SR Store [0 ] 0
+SR L1_to_L2 [0 ] 0
+SR Flush_line [0 ] 0
+
+OR Load [0 ] 0
+OR Ifetch [0 ] 0
+OR Store [0 ] 0
+OR L1_to_L2 [0 ] 0
+OR Flush_line [0 ] 0
+
+MR Load [22 ] 22
+MR Ifetch [22 ] 22
+MR Store [1 ] 1
+MR L1_to_L2 [0 ] 0
+MR Flush_line [0 ] 0
+
+MMR Load [14 ] 14
+MMR Ifetch [0 ] 0
+MMR Store [10 ] 10
+MMR L1_to_L2 [0 ] 0
+MMR Flush_line [0 ] 0
+
+IM Load [0 ] 0
+IM Ifetch [0 ] 0
+IM Store [0 ] 0
+IM L2_Replacement [0 ] 0
+IM L1_to_L2 [0 ] 0
+IM Other_GETX [0 ] 0
+IM Other_GETS [0 ] 0
+IM Other_GETS_No_Mig [0 ] 0
+IM NC_DMA_GETS [0 ] 0
+IM Invalidate [0 ] 0
+IM Ack [0 ] 0
+IM Data [0 ] 0
+IM Exclusive_Data [47 ] 47
+IM Flush_line [0 ] 0
+
+SM Load [0 ] 0
+SM Ifetch [0 ] 0
+SM Store [0 ] 0
+SM L2_Replacement [0 ] 0
+SM L1_to_L2 [0 ] 0
+SM Other_GETX [0 ] 0
+SM Other_GETS [0 ] 0
+SM Other_GETS_No_Mig [0 ] 0
+SM NC_DMA_GETS [0 ] 0
+SM Invalidate [0 ] 0
+SM Ack [0 ] 0
+SM Data [0 ] 0
+SM Exclusive_Data [0 ] 0
+SM Flush_line [0 ] 0
+
+OM Load [0 ] 0
+OM Ifetch [0 ] 0
+OM Store [0 ] 0
+OM L2_Replacement [0 ] 0
+OM L1_to_L2 [0 ] 0
+OM Other_GETX [0 ] 0
+OM Other_GETS [0 ] 0
+OM Merged_GETS [0 ] 0
+OM Other_GETS_No_Mig [0 ] 0
+OM NC_DMA_GETS [0 ] 0
+OM Invalidate [0 ] 0
+OM Ack [0 ] 0
+OM All_acks [0 ] 0
+OM All_acks_no_sharers [0 ] 0
+OM Flush_line [0 ] 0
+
+ISM Load [0 ] 0
+ISM Ifetch [0 ] 0
+ISM Store [0 ] 0
+ISM L2_Replacement [0 ] 0
+ISM L1_to_L2 [0 ] 0
+ISM Ack [0 ] 0
+ISM All_acks_no_sharers [0 ] 0
+ISM Flush_line [0 ] 0
+
+M_W Load [0 ] 0
+M_W Ifetch [0 ] 0
+M_W Store [0 ] 0
+M_W L2_Replacement [0 ] 0
+M_W L1_to_L2 [0 ] 0
+M_W Ack [0 ] 0
+M_W All_acks_no_sharers [394 ] 394
+M_W Flush_line [0 ] 0
+
+MM_W Load [0 ] 0
+MM_W Ifetch [0 ] 0
+MM_W Store [0 ] 0
+MM_W L2_Replacement [0 ] 0
+MM_W L1_to_L2 [0 ] 0
+MM_W Ack [0 ] 0
+MM_W All_acks_no_sharers [47 ] 47
+MM_W Flush_line [0 ] 0
+
+IS Load [0 ] 0
+IS Ifetch [0 ] 0
+IS Store [0 ] 0
+IS L2_Replacement [0 ] 0
+IS L1_to_L2 [0 ] 0
+IS Other_GETX [0 ] 0
+IS Other_GETS [0 ] 0
+IS Other_GETS_No_Mig [0 ] 0
+IS NC_DMA_GETS [0 ] 0
+IS Invalidate [0 ] 0
+IS Ack [0 ] 0
+IS Shared_Ack [0 ] 0
+IS Data [0 ] 0
+IS Shared_Data [0 ] 0
+IS Exclusive_Data [394 ] 394
+IS Flush_line [0 ] 0
+
+SS Load [0 ] 0
+SS Ifetch [0 ] 0
+SS Store [0 ] 0
+SS L2_Replacement [0 ] 0
+SS L1_to_L2 [0 ] 0
+SS Ack [0 ] 0
+SS Shared_Ack [0 ] 0
+SS All_acks [0 ] 0
+SS All_acks_no_sharers [0 ] 0
+SS Flush_line [0 ] 0
+
+OI Load [0 ] 0
+OI Ifetch [0 ] 0
+OI Store [0 ] 0
+OI L2_Replacement [0 ] 0
+OI L1_to_L2 [0 ] 0
+OI Other_GETX [0 ] 0
+OI Other_GETS [0 ] 0
+OI Merged_GETS [0 ] 0
+OI Other_GETS_No_Mig [0 ] 0
+OI NC_DMA_GETS [0 ] 0
+OI Invalidate [0 ] 0
+OI Writeback_Ack [0 ] 0
+OI Flush_line [0 ] 0
+
+MI Load [7 ] 7
+MI Ifetch [6 ] 6
+MI Store [4 ] 4
+MI L2_Replacement [0 ] 0
+MI L1_to_L2 [0 ] 0
+MI Other_GETX [0 ] 0
+MI Other_GETS [0 ] 0
+MI Merged_GETS [0 ] 0
+MI Other_GETS_No_Mig [0 ] 0
+MI NC_DMA_GETS [0 ] 0
+MI Invalidate [0 ] 0
+MI Writeback_Ack [425 ] 425
+MI Flush_line [0 ] 0
+
+II Load [0 ] 0
+II Ifetch [0 ] 0
+II Store [0 ] 0
+II L2_Replacement [0 ] 0
+II L1_to_L2 [0 ] 0
+II Other_GETX [0 ] 0
+II Other_GETS [0 ] 0
+II Other_GETS_No_Mig [0 ] 0
+II NC_DMA_GETS [0 ] 0
+II Invalidate [0 ] 0
+II Writeback_Ack [0 ] 0
+II Writeback_Nack [0 ] 0
+II Flush_line [0 ] 0
+
+IT Load [0 ] 0
+IT Ifetch [0 ] 0
+IT Store [0 ] 0
+IT L2_Replacement [0 ] 0
+IT L1_to_L2 [0 ] 0
+IT Complete_L2_to_L1 [0 ] 0
+
+ST Load [0 ] 0
+ST Ifetch [0 ] 0
+ST Store [0 ] 0
+ST L2_Replacement [0 ] 0
+ST L1_to_L2 [0 ] 0
+ST Complete_L2_to_L1 [0 ] 0
+
+OT Load [0 ] 0
+OT Ifetch [0 ] 0
+OT Store [0 ] 0
+OT L2_Replacement [0 ] 0
+OT L1_to_L2 [0 ] 0
+OT Complete_L2_to_L1 [0 ] 0
+
+MT Load [0 ] 0
+MT Ifetch [0 ] 0
+MT Store [0 ] 0
+MT L2_Replacement [0 ] 0
+MT L1_to_L2 [0 ] 0
+MT Complete_L2_to_L1 [45 ] 45
+
+MMT Load [0 ] 0
+MMT Ifetch [0 ] 0
+MMT Store [0 ] 0
+MMT L2_Replacement [0 ] 0
+MMT L1_to_L2 [0 ] 0
+MMT Complete_L2_to_L1 [24 ] 24
+
+MI_F Load [0 ] 0
+MI_F Ifetch [0 ] 0
+MI_F Store [0 ] 0
+MI_F L1_to_L2 [0 ] 0
+MI_F Writeback_Ack [0 ] 0
+MI_F Flush_line [0 ] 0
+
+MM_F Load [0 ] 0
+MM_F Ifetch [0 ] 0
+MM_F Store [0 ] 0
+MM_F L1_to_L2 [0 ] 0
+MM_F Other_GETX [0 ] 0
+MM_F Other_GETS [0 ] 0
+MM_F Merged_GETS [0 ] 0
+MM_F Other_GETS_No_Mig [0 ] 0
+MM_F NC_DMA_GETS [0 ] 0
+MM_F Invalidate [0 ] 0
+MM_F Ack [0 ] 0
+MM_F All_acks [0 ] 0
+MM_F All_acks_no_sharers [0 ] 0
+MM_F Flush_line [0 ] 0
+MM_F Block_Ack [0 ] 0
+
+IM_F Load [0 ] 0
+IM_F Ifetch [0 ] 0
+IM_F Store [0 ] 0
+IM_F L2_Replacement [0 ] 0
+IM_F L1_to_L2 [0 ] 0
+IM_F Other_GETX [0 ] 0
+IM_F Other_GETS [0 ] 0
+IM_F Other_GETS_No_Mig [0 ] 0
+IM_F NC_DMA_GETS [0 ] 0
+IM_F Invalidate [0 ] 0
+IM_F Ack [0 ] 0
+IM_F Data [0 ] 0
+IM_F Exclusive_Data [0 ] 0
+IM_F Flush_line [0 ] 0
+
+ISM_F Load [0 ] 0
+ISM_F Ifetch [0 ] 0
+ISM_F Store [0 ] 0
+ISM_F L2_Replacement [0 ] 0
+ISM_F L1_to_L2 [0 ] 0
+ISM_F Ack [0 ] 0
+ISM_F All_acks_no_sharers [0 ] 0
+ISM_F Flush_line [0 ] 0
+
+SM_F Load [0 ] 0
+SM_F Ifetch [0 ] 0
+SM_F Store [0 ] 0
+SM_F L2_Replacement [0 ] 0
+SM_F L1_to_L2 [0 ] 0
+SM_F Other_GETX [0 ] 0
+SM_F Other_GETS [0 ] 0
+SM_F Other_GETS_No_Mig [0 ] 0
+SM_F NC_DMA_GETS [0 ] 0
+SM_F Invalidate [0 ] 0
+SM_F Ack [0 ] 0
+SM_F Data [0 ] 0
+SM_F Exclusive_Data [0 ] 0
+SM_F Flush_line [0 ] 0
+
+OM_F Load [0 ] 0
+OM_F Ifetch [0 ] 0
+OM_F Store [0 ] 0
+OM_F L2_Replacement [0 ] 0
+OM_F L1_to_L2 [0 ] 0
+OM_F Other_GETX [0 ] 0
+OM_F Other_GETS [0 ] 0
+OM_F Merged_GETS [0 ] 0
+OM_F Other_GETS_No_Mig [0 ] 0
+OM_F NC_DMA_GETS [0 ] 0
+OM_F Invalidate [0 ] 0
+OM_F Ack [0 ] 0
+OM_F All_acks [0 ] 0
+OM_F All_acks_no_sharers [0 ] 0
+OM_F Flush_line [0 ] 0
+
+MM_WF Load [0 ] 0
+MM_WF Ifetch [0 ] 0
+MM_WF Store [0 ] 0
+MM_WF L2_Replacement [0 ] 0
+MM_WF L1_to_L2 [0 ] 0
+MM_WF Ack [0 ] 0
+MM_WF All_acks_no_sharers [0 ] 0
+MM_WF Flush_line [0 ] 0
+
+Cache Stats: system.dir_cntrl0.probeFilter
+ system.dir_cntrl0.probeFilter_total_misses: 0
+ system.dir_cntrl0.probeFilter_total_demand_misses: 0
+ system.dir_cntrl0.probeFilter_total_prefetches: 0
+ system.dir_cntrl0.probeFilter_total_sw_prefetches: 0
+ system.dir_cntrl0.probeFilter_total_hw_prefetches: 0
+
+
+Memory controller: system.dir_cntrl0.memBuffer:
+ memory_total_requests: 522
+ memory_reads: 441
+ memory_writes: 81
+ memory_refreshes: 164
+ memory_total_request_delays: 151
+ memory_delays_per_request: 0.289272
+ memory_delays_in_input_queue: 2
+ memory_delays_behind_head_of_bank_queue: 0
+ memory_delays_stalled_at_head_of_bank_queue: 149
+ memory_stalls_for_bank_busy: 22
+ memory_stalls_for_random_busy: 0
+ memory_stalls_for_anti_starvation: 0
+ memory_stalls_for_arbitration: 7
+ memory_stalls_for_bus: 26
+ memory_stalls_for_tfaw: 0
+ memory_stalls_for_read_write_turnaround: 94
+ memory_stalls_for_read_read_turnaround: 0
+ accesses_per_bank: 18 10 0 36 20 19 31 22 5 4 7 4 22 41 22 3 4 6 7 13 10 18 14 41 16 5 5 12 13 18 14 62
+
+ --- Directory ---
+ - Event Counts -
+GETX [53 ] 53
+GETS [410 ] 410
+PUT [425 ] 425
+Unblock [0 ] 0
+UnblockS [0 ] 0
+UnblockM [440 ] 440
+Writeback_Clean [0 ] 0
+Writeback_Dirty [0 ] 0
+Writeback_Exclusive_Clean [344 ] 344
+Writeback_Exclusive_Dirty [81 ] 81
+Pf_Replacement [0 ] 0
+DMA_READ [0 ] 0
+DMA_WRITE [0 ] 0
+Memory_Data [441 ] 441
+Memory_Ack [81 ] 81
+Ack [0 ] 0
+Shared_Ack [0 ] 0
+Shared_Data [0 ] 0
+Data [0 ] 0
+Exclusive_Data [0 ] 0
+All_acks_and_shared_data [0 ] 0
+All_acks_and_owner_data [0 ] 0
+All_acks_and_data_no_sharers [0 ] 0
+All_Unblocks [0 ] 0
+GETF [0 ] 0
+PUTF [0 ] 0
+
+ - Transitions -
+NX GETX [0 ] 0
+NX GETS [0 ] 0
+NX PUT [0 ] 0
+NX Pf_Replacement [0 ] 0
+NX DMA_READ [0 ] 0
+NX DMA_WRITE [0 ] 0
+NX GETF [0 ] 0
+
+NO GETX [0 ] 0
+NO GETS [0 ] 0
+NO PUT [425 ] 425
+NO Pf_Replacement [0 ] 0
+NO DMA_READ [0 ] 0
+NO DMA_WRITE [0 ] 0
+NO GETF [0 ] 0
+
+S GETX [0 ] 0
+S GETS [0 ] 0
+S PUT [0 ] 0
+S Pf_Replacement [0 ] 0
+S DMA_READ [0 ] 0
+S DMA_WRITE [0 ] 0
+S GETF [0 ] 0
+
+O GETX [0 ] 0
+O GETS [0 ] 0
+O PUT [0 ] 0
+O Pf_Replacement [0 ] 0
+O DMA_READ [0 ] 0
+O DMA_WRITE [0 ] 0
+O GETF [0 ] 0
+
+E GETX [47 ] 47
+E GETS [394 ] 394
+E PUT [0 ] 0
+E DMA_READ [0 ] 0
+E DMA_WRITE [0 ] 0
+E GETF [0 ] 0
+
+O_R GETX [0 ] 0
+O_R GETS [0 ] 0
+O_R PUT [0 ] 0
+O_R Pf_Replacement [0 ] 0
+O_R DMA_READ [0 ] 0
+O_R DMA_WRITE [0 ] 0
+O_R Ack [0 ] 0
+O_R All_acks_and_data_no_sharers [0 ] 0
+O_R GETF [0 ] 0
+
+S_R GETX [0 ] 0
+S_R GETS [0 ] 0
+S_R PUT [0 ] 0
+S_R Pf_Replacement [0 ] 0
+S_R DMA_READ [0 ] 0
+S_R DMA_WRITE [0 ] 0
+S_R Ack [0 ] 0
+S_R Data [0 ] 0
+S_R All_acks_and_data_no_sharers [0 ] 0
+S_R GETF [0 ] 0
+
+NO_R GETX [0 ] 0
+NO_R GETS [0 ] 0
+NO_R PUT [0 ] 0
+NO_R Pf_Replacement [0 ] 0
+NO_R DMA_READ [0 ] 0
+NO_R DMA_WRITE [0 ] 0
+NO_R Ack [0 ] 0
+NO_R Data [0 ] 0
+NO_R Exclusive_Data [0 ] 0
+NO_R All_acks_and_data_no_sharers [0 ] 0
+NO_R GETF [0 ] 0
+
+NO_B GETX [0 ] 0
+NO_B GETS [0 ] 0
+NO_B PUT [0 ] 0
+NO_B UnblockS [0 ] 0
+NO_B UnblockM [440 ] 440
+NO_B Pf_Replacement [0 ] 0
+NO_B DMA_READ [0 ] 0
+NO_B DMA_WRITE [0 ] 0
+NO_B GETF [0 ] 0
+
+NO_B_X GETX [0 ] 0
+NO_B_X GETS [0 ] 0
+NO_B_X PUT [0 ] 0
+NO_B_X UnblockS [0 ] 0
+NO_B_X UnblockM [0 ] 0
+NO_B_X Pf_Replacement [0 ] 0
+NO_B_X DMA_READ [0 ] 0
+NO_B_X DMA_WRITE [0 ] 0
+NO_B_X GETF [0 ] 0
+
+NO_B_S GETX [0 ] 0
+NO_B_S GETS [0 ] 0
+NO_B_S PUT [0 ] 0
+NO_B_S UnblockS [0 ] 0
+NO_B_S UnblockM [0 ] 0
+NO_B_S Pf_Replacement [0 ] 0
+NO_B_S DMA_READ [0 ] 0
+NO_B_S DMA_WRITE [0 ] 0
+NO_B_S GETF [0 ] 0
+
+NO_B_S_W GETX [0 ] 0
+NO_B_S_W GETS [0 ] 0
+NO_B_S_W PUT [0 ] 0
+NO_B_S_W UnblockS [0 ] 0
+NO_B_S_W Pf_Replacement [0 ] 0
+NO_B_S_W DMA_READ [0 ] 0
+NO_B_S_W DMA_WRITE [0 ] 0
+NO_B_S_W All_Unblocks [0 ] 0
+NO_B_S_W GETF [0 ] 0
+
+O_B GETX [0 ] 0
+O_B GETS [0 ] 0
+O_B PUT [0 ] 0
+O_B UnblockS [0 ] 0
+O_B UnblockM [0 ] 0
+O_B Pf_Replacement [0 ] 0
+O_B DMA_READ [0 ] 0
+O_B DMA_WRITE [0 ] 0
+O_B GETF [0 ] 0
+
+NO_B_W GETX [0 ] 0
+NO_B_W GETS [0 ] 0
+NO_B_W PUT [0 ] 0
+NO_B_W UnblockS [0 ] 0
+NO_B_W UnblockM [0 ] 0
+NO_B_W Pf_Replacement [0 ] 0
+NO_B_W DMA_READ [0 ] 0
+NO_B_W DMA_WRITE [0 ] 0
+NO_B_W Memory_Data [441 ] 441
+NO_B_W GETF [0 ] 0
+
+O_B_W GETX [0 ] 0
+O_B_W GETS [0 ] 0
+O_B_W PUT [0 ] 0
+O_B_W UnblockS [0 ] 0
+O_B_W Pf_Replacement [0 ] 0
+O_B_W DMA_READ [0 ] 0
+O_B_W DMA_WRITE [0 ] 0
+O_B_W Memory_Data [0 ] 0
+O_B_W GETF [0 ] 0
+
+NO_W GETX [0 ] 0
+NO_W GETS [0 ] 0
+NO_W PUT [0 ] 0
+NO_W Pf_Replacement [0 ] 0
+NO_W DMA_READ [0 ] 0
+NO_W DMA_WRITE [0 ] 0
+NO_W Memory_Data [0 ] 0
+NO_W GETF [0 ] 0
+
+O_W GETX [0 ] 0
+O_W GETS [0 ] 0
+O_W PUT [0 ] 0
+O_W Pf_Replacement [0 ] 0
+O_W DMA_READ [0 ] 0
+O_W DMA_WRITE [0 ] 0
+O_W Memory_Data [0 ] 0
+O_W GETF [0 ] 0
+
+NO_DW_B_W GETX [0 ] 0
+NO_DW_B_W GETS [0 ] 0
+NO_DW_B_W PUT [0 ] 0
+NO_DW_B_W Pf_Replacement [0 ] 0
+NO_DW_B_W DMA_READ [0 ] 0
+NO_DW_B_W DMA_WRITE [0 ] 0
+NO_DW_B_W Ack [0 ] 0
+NO_DW_B_W Data [0 ] 0
+NO_DW_B_W Exclusive_Data [0 ] 0
+NO_DW_B_W All_acks_and_data_no_sharers [0 ] 0
+NO_DW_B_W GETF [0 ] 0
+
+NO_DR_B_W GETX [0 ] 0
+NO_DR_B_W GETS [0 ] 0
+NO_DR_B_W PUT [0 ] 0
+NO_DR_B_W Pf_Replacement [0 ] 0
+NO_DR_B_W DMA_READ [0 ] 0
+NO_DR_B_W DMA_WRITE [0 ] 0
+NO_DR_B_W Memory_Data [0 ] 0
+NO_DR_B_W Ack [0 ] 0
+NO_DR_B_W Shared_Ack [0 ] 0
+NO_DR_B_W Shared_Data [0 ] 0
+NO_DR_B_W Data [0 ] 0
+NO_DR_B_W Exclusive_Data [0 ] 0
+NO_DR_B_W GETF [0 ] 0
+
+NO_DR_B_D GETX [0 ] 0
+NO_DR_B_D GETS [0 ] 0
+NO_DR_B_D PUT [0 ] 0
+NO_DR_B_D Pf_Replacement [0 ] 0
+NO_DR_B_D DMA_READ [0 ] 0
+NO_DR_B_D DMA_WRITE [0 ] 0
+NO_DR_B_D Ack [0 ] 0
+NO_DR_B_D Shared_Ack [0 ] 0
+NO_DR_B_D Shared_Data [0 ] 0
+NO_DR_B_D Data [0 ] 0
+NO_DR_B_D Exclusive_Data [0 ] 0
+NO_DR_B_D All_acks_and_shared_data [0 ] 0
+NO_DR_B_D All_acks_and_owner_data [0 ] 0
+NO_DR_B_D All_acks_and_data_no_sharers [0 ] 0
+NO_DR_B_D GETF [0 ] 0
+
+NO_DR_B GETX [0 ] 0
+NO_DR_B GETS [0 ] 0
+NO_DR_B PUT [0 ] 0
+NO_DR_B Pf_Replacement [0 ] 0
+NO_DR_B DMA_READ [0 ] 0
+NO_DR_B DMA_WRITE [0 ] 0
+NO_DR_B Ack [0 ] 0
+NO_DR_B Shared_Ack [0 ] 0
+NO_DR_B Shared_Data [0 ] 0
+NO_DR_B Data [0 ] 0
+NO_DR_B Exclusive_Data [0 ] 0
+NO_DR_B All_acks_and_shared_data [0 ] 0
+NO_DR_B All_acks_and_owner_data [0 ] 0
+NO_DR_B All_acks_and_data_no_sharers [0 ] 0
+NO_DR_B GETF [0 ] 0
+
+NO_DW_W GETX [0 ] 0
+NO_DW_W GETS [0 ] 0
+NO_DW_W PUT [0 ] 0
+NO_DW_W Pf_Replacement [0 ] 0
+NO_DW_W DMA_READ [0 ] 0
+NO_DW_W DMA_WRITE [0 ] 0
+NO_DW_W Memory_Ack [0 ] 0
+NO_DW_W GETF [0 ] 0
+
+O_DR_B_W GETX [0 ] 0
+O_DR_B_W GETS [0 ] 0
+O_DR_B_W PUT [0 ] 0
+O_DR_B_W Pf_Replacement [0 ] 0
+O_DR_B_W DMA_READ [0 ] 0
+O_DR_B_W DMA_WRITE [0 ] 0
+O_DR_B_W Memory_Data [0 ] 0
+O_DR_B_W Ack [0 ] 0
+O_DR_B_W Shared_Ack [0 ] 0
+O_DR_B_W GETF [0 ] 0
+
+O_DR_B GETX [0 ] 0
+O_DR_B GETS [0 ] 0
+O_DR_B PUT [0 ] 0
+O_DR_B Pf_Replacement [0 ] 0
+O_DR_B DMA_READ [0 ] 0
+O_DR_B DMA_WRITE [0 ] 0
+O_DR_B Ack [0 ] 0
+O_DR_B Shared_Ack [0 ] 0
+O_DR_B All_acks_and_owner_data [0 ] 0
+O_DR_B All_acks_and_data_no_sharers [0 ] 0
+O_DR_B GETF [0 ] 0
+
+WB GETX [4 ] 4
+WB GETS [14 ] 14
+WB PUT [0 ] 0
+WB Unblock [0 ] 0
+WB Writeback_Clean [0 ] 0
+WB Writeback_Dirty [0 ] 0
+WB Writeback_Exclusive_Clean [344 ] 344
+WB Writeback_Exclusive_Dirty [81 ] 81
+WB Pf_Replacement [0 ] 0
+WB DMA_READ [0 ] 0
+WB DMA_WRITE [0 ] 0
+WB GETF [0 ] 0
+
+WB_O_W GETX [0 ] 0
+WB_O_W GETS [0 ] 0
+WB_O_W PUT [0 ] 0
+WB_O_W Pf_Replacement [0 ] 0
+WB_O_W DMA_READ [0 ] 0
+WB_O_W DMA_WRITE [0 ] 0
+WB_O_W Memory_Ack [0 ] 0
+WB_O_W GETF [0 ] 0
+
+WB_E_W GETX [2 ] 2
+WB_E_W GETS [2 ] 2
+WB_E_W PUT [0 ] 0
+WB_E_W Pf_Replacement [0 ] 0
+WB_E_W DMA_READ [0 ] 0
+WB_E_W DMA_WRITE [0 ] 0
+WB_E_W Memory_Ack [81 ] 81
+WB_E_W GETF [0 ] 0
+
+NO_F GETX [0 ] 0
+NO_F GETS [0 ] 0
+NO_F PUT [0 ] 0
+NO_F UnblockM [0 ] 0
+NO_F Pf_Replacement [0 ] 0
+NO_F GETF [0 ] 0
+NO_F PUTF [0 ] 0
+
+NO_F_W GETX [0 ] 0
+NO_F_W GETS [0 ] 0
+NO_F_W PUT [0 ] 0
+NO_F_W Pf_Replacement [0 ] 0
+NO_F_W DMA_READ [0 ] 0
+NO_F_W DMA_WRITE [0 ] 0
+NO_F_W Memory_Data [0 ] 0
+NO_F_W GETF [0 ] 0
+
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/simerr b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/simerr
new file mode 100755
index 000000000..31ae36f2e
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/simerr
@@ -0,0 +1,3 @@
+warn: Sockets disabled, not accepting gdb connections
+warn: ignoring syscall sigprocmask(18446744073709547831, 1, ...)
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/simout b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/simout
new file mode 100755
index 000000000..20c68eff3
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:42:19
+gem5 started Jan 23 2012 04:21:49
+gem5 executing on zizzer
+command line: build/ALPHA_SE_MOESI_hammer/gem5.opt -d build/ALPHA_SE_MOESI_hammer/tests/opt/quick/00.hello/alpha/tru64/simple-timing-ruby-MOESI_hammer -re tests/run.py build/ALPHA_SE_MOESI_hammer/tests/opt/quick/00.hello/alpha/tru64/simple-timing-ruby-MOESI_hammer
+Global frequency set at 1000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 78448 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/stats.txt b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/stats.txt
new file mode 100644
index 000000000..5c579e1af
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby-MOESI_hammer/stats.txt
@@ -0,0 +1,77 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000078 # Number of seconds simulated
+sim_ticks 78448 # Number of ticks simulated
+final_tick 78448 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000 # Frequency of simulated ticks
+host_inst_rate 29294 # Simulator instruction rate (inst/s)
+host_tick_rate 891567 # Simulator tick rate (ticks/s)
+host_mem_usage 215964 # Number of bytes of host memory used
+host_seconds 0.09 # Real time elapsed on the host
+sim_insts 2577 # Number of instructions simulated
+system.physmem.bytes_read 13356 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 10340 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 2058 # Number of bytes written to this memory
+system.physmem.num_reads 3000 # Number of read requests responded to by this memory
+system.physmem.num_writes 294 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 170252906 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 131807057 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 26233938 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 196486845 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 415 # DTB read hits
+system.cpu.dtb.read_misses 4 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 419 # DTB read accesses
+system.cpu.dtb.write_hits 294 # DTB write hits
+system.cpu.dtb.write_misses 4 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 298 # DTB write accesses
+system.cpu.dtb.data_hits 709 # DTB hits
+system.cpu.dtb.data_misses 8 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 717 # DTB accesses
+system.cpu.itb.fetch_hits 2586 # ITB hits
+system.cpu.itb.fetch_misses 11 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 2597 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 4 # Number of system calls
+system.cpu.numCycles 78448 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 2577 # Number of instructions executed
+system.cpu.num_int_alu_accesses 2375 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 6 # Number of float alu accesses
+system.cpu.num_func_calls 140 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 238 # number of instructions that are conditional controls
+system.cpu.num_int_insts 2375 # number of integer instructions
+system.cpu.num_fp_insts 6 # number of float instructions
+system.cpu.num_int_register_reads 2998 # number of times the integer registers were read
+system.cpu.num_int_register_writes 1768 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 6 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 717 # number of memory refs
+system.cpu.num_load_insts 419 # Number of load instructions
+system.cpu.num_store_insts 298 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 78448 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/config.ini b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/config.ini
new file mode 100644
index 000000000..2d5b16f7e
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/config.ini
@@ -0,0 +1,268 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000
+time_sync_spin_threshold=100000
+
+[system]
+type=System
+children=cpu dir_cntrl0 l1_cntrl0 physmem ruby sys_port_proxy
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=1
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.l1_cntrl0.sequencer.port[1]
+icache_port=system.l1_cntrl0.sequencer.port[0]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/tru64/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer
+buffer_size=0
+cntrl_id=1
+directory=system.dir_cntrl0.directory
+directory_latency=12
+memBuffer=system.dir_cntrl0.memBuffer
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=cacheMemory sequencer
+buffer_size=0
+cacheMemory=system.l1_cntrl0.cacheMemory
+cache_response_latency=12
+cntrl_id=0
+issue_latency=2
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+sequencer=system.l1_cntrl0.sequencer
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.cacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.cacheMemory
+max_outstanding_requests=16
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[0]
+port=system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.l1_cntrl0.sequencer.physMemPort system.sys_port_proxy.physMemPort
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=1
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 int_links0 int_links1 routers0 routers1 routers2
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=2
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=3
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=1
+ruby_system=system.ruby
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[1]
+port=system.system_port
+
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/ruby.stats b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/ruby.stats
new file mode 100644
index 000000000..2c26f3344
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/ruby.stats
@@ -0,0 +1,311 @@
+
+================ Begin RubySystem Configuration Print ================
+
+RubySystem config:
+ random_seed: 1234
+ randomization: 0
+ cycle_period: 1
+ block_size_bytes: 64
+ block_size_bits: 6
+ memory_size_bytes: 134217728
+ memory_size_bits: 27
+
+Network Configuration
+---------------------
+network: SIMPLE_NETWORK
+topology:
+
+virtual_net_0: active, ordered
+virtual_net_1: active, ordered
+virtual_net_2: active, ordered
+virtual_net_3: active, ordered
+virtual_net_4: active, ordered
+virtual_net_5: inactive
+virtual_net_6: inactive
+virtual_net_7: inactive
+virtual_net_8: inactive
+virtual_net_9: inactive
+
+
+Profiler Configuration
+----------------------
+periodic_stats_period: 1000000
+
+================ End RubySystem Configuration Print ================
+
+
+Real time: Jan/23/2012 04:59:27
+
+Profiler Stats
+--------------
+Elapsed_time_in_seconds: 0
+Elapsed_time_in_minutes: 0
+Elapsed_time_in_hours: 0
+Elapsed_time_in_days: 0
+
+Virtual_time_in_seconds: 0.24
+Virtual_time_in_minutes: 0.004
+Virtual_time_in_hours: 6.66667e-05
+Virtual_time_in_days: 2.77778e-06
+
+Ruby_current_time: 123378
+Ruby_start_time: 0
+Ruby_cycles: 123378
+
+mbytes_resident: 42.25
+mbytes_total: 211.328
+resident_ratio: 0.199926
+
+ruby_cycles_executed: [ 123379 ]
+
+Busy Controller Counts:
+L1Cache-0:0
+Directory-0:0
+
+
+Busy Bank Count:0
+
+sequencer_requests_outstanding: [binsize: 1 max: 1 count: 3295 average: 1 | standard deviation: 0 | 0 3295 ]
+
+All Non-Zero Cycle Demand Cache Accesses
+----------------------------------------
+miss_latency: [binsize: 2 max: 375 count: 3294 average: 36.4554 | standard deviation: 69.7725 | 0 2668 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 2 0 0 0 3 1 1 4 2 101 88 63 177 126 0 1 1 8 0 2 1 1 4 4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7 4 5 13 2 0 0 0 1 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 ]
+miss_latency_LD: [binsize: 2 max: 281 count: 415 average: 107.304 | standard deviation: 88.8453 | 0 170 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 1 0 2 0 56 24 27 75 32 0 0 1 3 0 1 0 0 2 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5 0 4 7 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST: [binsize: 2 max: 265 count: 294 average: 53.2585 | standard deviation: 80.456 | 0 210 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 14 11 7 31 12 0 0 0 0 0 0 0 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 0 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH: [binsize: 2 max: 375 count: 2585 average: 23.1702 | standard deviation: 56.4841 | 0 2288 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 2 0 0 2 2 31 53 29 71 82 0 1 0 5 0 1 1 1 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 2 1 3 2 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_L1Cache: [binsize: 1 max: 3 count: 2668 average: 3 | standard deviation: 0 | 0 0 0 2668 ]
+miss_latency_Directory: [binsize: 2 max: 375 count: 626 average: 179.042 | standard deviation: 22.5462 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 2 0 0 0 3 1 1 4 2 101 88 63 177 126 0 1 1 8 0 2 1 1 4 4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 7 4 5 13 2 0 0 0 1 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 ]
+miss_latency_wCC_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_wCC_Times: 0
+miss_latency_dir_issue_to_initial_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_initial_forward_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_forward_to_first_response: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_first_response_to_completion: [binsize: 1 max: 159 count: 1 average: 159 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+imcomplete_dir_Times: 625
+miss_latency_LD_L1Cache: [binsize: 1 max: 3 count: 170 average: 3 | standard deviation: 0 | 0 0 0 170 ]
+miss_latency_LD_Directory: [binsize: 2 max: 281 count: 245 average: 179.678 | standard deviation: 23.5327 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 1 1 0 2 0 56 24 27 75 32 0 0 1 3 0 1 0 0 2 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 5 0 4 7 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST_L1Cache: [binsize: 1 max: 3 count: 210 average: 3 | standard deviation: 0 | 0 0 0 210 ]
+miss_latency_ST_Directory: [binsize: 2 max: 265 count: 84 average: 178.905 | standard deviation: 21.977 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 1 0 0 14 11 7 31 12 0 0 0 0 0 0 0 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 0 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_IFETCH_L1Cache: [binsize: 1 max: 3 count: 2288 average: 3 | standard deviation: 0 | 0 0 0 2288 ]
+miss_latency_IFETCH_Directory: [binsize: 2 max: 375 count: 297 average: 178.556 | standard deviation: 21.9279 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 2 0 0 2 2 31 53 29 71 82 0 1 0 5 0 1 1 1 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 2 1 3 2 0 0 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+
+All Non-Zero Cycle SW Prefetch Requests
+------------------------------------
+prefetch_latency: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+prefetch_latency_L2Miss:[binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Request vs. RubySystem State Profile
+--------------------------------
+
+
+filter_action: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Message Delayed Cycles
+----------------------
+Total_delay_cycles: [binsize: 1 max: 0 count: 1248 average: 0 | standard deviation: 0 | 1248 ]
+Total_nonPF_delay_cycles: [binsize: 1 max: 0 count: 1248 average: 0 | standard deviation: 0 | 1248 ]
+ virtual_network_0_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_1_delay_cycles: [binsize: 1 max: 0 count: 626 average: 0 | standard deviation: 0 | 626 ]
+ virtual_network_2_delay_cycles: [binsize: 1 max: 0 count: 622 average: 0 | standard deviation: 0 | 622 ]
+ virtual_network_3_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_4_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_5_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_6_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_7_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_8_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_9_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Resource Usage
+--------------
+page_size: 4096
+user_time: 0
+system_time: 0
+page_reclaims: 11154
+page_faults: 0
+swaps: 0
+block_inputs: 0
+block_outputs: 88
+
+Network Stats
+-------------
+
+total_msg_count_Control: 1878 15024
+total_msg_count_Data: 1866 134352
+total_msg_count_Response_Data: 1878 135216
+total_msg_count_Writeback_Control: 1866 14928
+total_msgs: 7488 total_bytes: 299520
+
+switch_0_inlinks: 2
+switch_0_outlinks: 2
+links_utilized_percent_switch_0: 2.52881
+ links_utilized_percent_switch_0_link_0: 2.5353 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_0_link_1: 2.52233 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_0_link_0_Response_Data: 626 45072 [ 0 0 0 0 626 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Writeback_Control: 622 4976 [ 0 0 0 622 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Control: 626 5008 [ 0 0 626 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Data: 622 44784 [ 0 0 622 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_1_inlinks: 2
+switch_1_outlinks: 2
+links_utilized_percent_switch_1: 2.52881
+ links_utilized_percent_switch_1_link_0: 2.52233 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_1_link_1: 2.5353 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_1_link_0_Control: 626 5008 [ 0 0 626 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Data: 622 44784 [ 0 0 622 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Data: 626 45072 [ 0 0 0 0 626 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Control: 622 4976 [ 0 0 0 622 0 0 0 0 0 0 ] base_latency: 1
+
+switch_2_inlinks: 2
+switch_2_outlinks: 2
+links_utilized_percent_switch_2: 2.52881
+ links_utilized_percent_switch_2_link_0: 2.5353 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_2_link_1: 2.52233 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_2_link_0_Response_Data: 626 45072 [ 0 0 0 0 626 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Control: 622 4976 [ 0 0 0 622 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Control: 626 5008 [ 0 0 626 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Data: 622 44784 [ 0 0 622 0 0 0 0 0 0 0 ] base_latency: 1
+
+Cache Stats: system.l1_cntrl0.cacheMemory
+ system.l1_cntrl0.cacheMemory_total_misses: 626
+ system.l1_cntrl0.cacheMemory_total_demand_misses: 626
+ system.l1_cntrl0.cacheMemory_total_prefetches: 0
+ system.l1_cntrl0.cacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.cacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.cacheMemory_request_type_LD: 39.1374%
+ system.l1_cntrl0.cacheMemory_request_type_ST: 13.4185%
+ system.l1_cntrl0.cacheMemory_request_type_IFETCH: 47.4441%
+
+ system.l1_cntrl0.cacheMemory_access_mode_type_Supervisor: 626 100%
+
+ --- L1Cache ---
+ - Event Counts -
+Load [415 ] 415
+Ifetch [2585 ] 2585
+Store [294 ] 294
+Data [626 ] 626
+Fwd_GETX [0 ] 0
+Inv [0 ] 0
+Replacement [622 ] 622
+Writeback_Ack [622 ] 622
+Writeback_Nack [0 ] 0
+
+ - Transitions -
+I Load [245 ] 245
+I Ifetch [297 ] 297
+I Store [84 ] 84
+I Inv [0 ] 0
+I Replacement [0 ] 0
+
+II Writeback_Nack [0 ] 0
+
+M Load [170 ] 170
+M Ifetch [2288 ] 2288
+M Store [210 ] 210
+M Fwd_GETX [0 ] 0
+M Inv [0 ] 0
+M Replacement [622 ] 622
+
+MI Fwd_GETX [0 ] 0
+MI Inv [0 ] 0
+MI Writeback_Ack [622 ] 622
+MI Writeback_Nack [0 ] 0
+
+MII Fwd_GETX [0 ] 0
+
+IS Data [542 ] 542
+
+IM Data [84 ] 84
+
+Memory controller: system.dir_cntrl0.memBuffer:
+ memory_total_requests: 1248
+ memory_reads: 626
+ memory_writes: 622
+ memory_refreshes: 258
+ memory_total_request_delays: 1502
+ memory_delays_per_request: 1.20353
+ memory_delays_in_input_queue: 414
+ memory_delays_behind_head_of_bank_queue: 3
+ memory_delays_stalled_at_head_of_bank_queue: 1085
+ memory_stalls_for_bank_busy: 404
+ memory_stalls_for_random_busy: 0
+ memory_stalls_for_anti_starvation: 0
+ memory_stalls_for_arbitration: 39
+ memory_stalls_for_bus: 620
+ memory_stalls_for_tfaw: 0
+ memory_stalls_for_read_write_turnaround: 22
+ memory_stalls_for_read_read_turnaround: 0
+ accesses_per_bank: 55 40 0 100 42 42 88 45 14 10 14 10 46 82 38 6 22 14 14 48 20 52 26 92 34 10 12 24 28 44 38 138
+
+ --- Directory ---
+ - Event Counts -
+GETX [626 ] 626
+GETS [0 ] 0
+PUTX [622 ] 622
+PUTX_NotOwner [0 ] 0
+DMA_READ [0 ] 0
+DMA_WRITE [0 ] 0
+Memory_Data [626 ] 626
+Memory_Ack [622 ] 622
+
+ - Transitions -
+I GETX [626 ] 626
+I PUTX_NotOwner [0 ] 0
+I DMA_READ [0 ] 0
+I DMA_WRITE [0 ] 0
+
+M GETX [0 ] 0
+M PUTX [622 ] 622
+M PUTX_NotOwner [0 ] 0
+M DMA_READ [0 ] 0
+M DMA_WRITE [0 ] 0
+
+M_DRD GETX [0 ] 0
+M_DRD PUTX [0 ] 0
+
+M_DWR GETX [0 ] 0
+M_DWR PUTX [0 ] 0
+
+M_DWRI GETX [0 ] 0
+M_DWRI Memory_Ack [0 ] 0
+
+M_DRDI GETX [0 ] 0
+M_DRDI Memory_Ack [0 ] 0
+
+IM GETX [0 ] 0
+IM GETS [0 ] 0
+IM PUTX [0 ] 0
+IM PUTX_NotOwner [0 ] 0
+IM DMA_READ [0 ] 0
+IM DMA_WRITE [0 ] 0
+IM Memory_Data [626 ] 626
+
+MI GETX [0 ] 0
+MI GETS [0 ] 0
+MI PUTX [0 ] 0
+MI PUTX_NotOwner [0 ] 0
+MI DMA_READ [0 ] 0
+MI DMA_WRITE [0 ] 0
+MI Memory_Ack [622 ] 622
+
+ID GETX [0 ] 0
+ID GETS [0 ] 0
+ID PUTX [0 ] 0
+ID PUTX_NotOwner [0 ] 0
+ID DMA_READ [0 ] 0
+ID DMA_WRITE [0 ] 0
+ID Memory_Data [0 ] 0
+
+ID_W GETX [0 ] 0
+ID_W GETS [0 ] 0
+ID_W PUTX [0 ] 0
+ID_W PUTX_NotOwner [0 ] 0
+ID_W DMA_READ [0 ] 0
+ID_W DMA_WRITE [0 ] 0
+ID_W Memory_Ack [0 ] 0
+
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/simerr b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/simerr
new file mode 100755
index 000000000..31ae36f2e
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/simerr
@@ -0,0 +1,3 @@
+warn: Sockets disabled, not accepting gdb connections
+warn: ignoring syscall sigprocmask(18446744073709547831, 1, ...)
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/simout b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/simout
new file mode 100755
index 000000000..af1c56980
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:48:33
+gem5 started Jan 23 2012 04:59:27
+gem5 executing on zizzer
+command line: build/ALPHA_SE/gem5.opt -d build/ALPHA_SE/tests/opt/quick/00.hello/alpha/tru64/simple-timing-ruby -re tests/run.py build/ALPHA_SE/tests/opt/quick/00.hello/alpha/tru64/simple-timing-ruby
+Global frequency set at 1000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 123378 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/stats.txt b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/stats.txt
new file mode 100644
index 000000000..bcff12bb9
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing-ruby/stats.txt
@@ -0,0 +1,77 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000123 # Number of seconds simulated
+sim_ticks 123378 # Number of ticks simulated
+final_tick 123378 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000 # Frequency of simulated ticks
+host_inst_rate 44691 # Simulator instruction rate (inst/s)
+host_tick_rate 2138947 # Simulator tick rate (ticks/s)
+host_mem_usage 216404 # Number of bytes of host memory used
+host_seconds 0.06 # Real time elapsed on the host
+sim_insts 2577 # Number of instructions simulated
+system.physmem.bytes_read 13356 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 10340 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 2058 # Number of bytes written to this memory
+system.physmem.num_reads 3000 # Number of read requests responded to by this memory
+system.physmem.num_writes 294 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 108252687 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 83807486 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 16680445 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 124933132 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 415 # DTB read hits
+system.cpu.dtb.read_misses 4 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 419 # DTB read accesses
+system.cpu.dtb.write_hits 294 # DTB write hits
+system.cpu.dtb.write_misses 4 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 298 # DTB write accesses
+system.cpu.dtb.data_hits 709 # DTB hits
+system.cpu.dtb.data_misses 8 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 717 # DTB accesses
+system.cpu.itb.fetch_hits 2586 # ITB hits
+system.cpu.itb.fetch_misses 11 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 2597 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 4 # Number of system calls
+system.cpu.numCycles 123378 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 2577 # Number of instructions executed
+system.cpu.num_int_alu_accesses 2375 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 6 # Number of float alu accesses
+system.cpu.num_func_calls 140 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 238 # number of instructions that are conditional controls
+system.cpu.num_int_insts 2375 # number of integer instructions
+system.cpu.num_fp_insts 6 # number of float instructions
+system.cpu.num_int_register_reads 2998 # number of times the integer registers were read
+system.cpu.num_int_register_writes 1768 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 6 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 717 # number of memory refs
+system.cpu.num_load_insts 419 # Number of load instructions
+system.cpu.num_store_insts 298 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 123378 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/config.ini b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/config.ini
new file mode 100644
index 000000000..72df69882
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/config.ini
@@ -0,0 +1,205 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dcache dtb icache itb l2cache toL2Bus tracer workload
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=AlphaTLB
+size=64
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=AlphaTLB
+size=48
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=10000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=100000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/alpha/tru64/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/simerr b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/simerr
new file mode 100755
index 000000000..31ae36f2e
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/simerr
@@ -0,0 +1,3 @@
+warn: Sockets disabled, not accepting gdb connections
+warn: ignoring syscall sigprocmask(18446744073709547831, 1, ...)
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/simout b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/simout
new file mode 100755
index 000000000..6a994fb76
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:48:33
+gem5 started Jan 23 2012 04:59:27
+gem5 executing on zizzer
+command line: build/ALPHA_SE/gem5.opt -d build/ALPHA_SE/tests/opt/quick/00.hello/alpha/tru64/simple-timing -re tests/run.py build/ALPHA_SE/tests/opt/quick/00.hello/alpha/tru64/simple-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 16769000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/stats.txt
new file mode 100644
index 000000000..e3a7a00a0
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/stats.txt
@@ -0,0 +1,259 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000017 # Number of seconds simulated
+sim_ticks 16769000 # Number of ticks simulated
+final_tick 16769000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 297044 # Simulator instruction rate (inst/s)
+host_tick_rate 1928782837 # Simulator tick rate (ticks/s)
+host_mem_usage 206044 # Number of bytes of host memory used
+host_seconds 0.01 # Real time elapsed on the host
+sim_insts 2577 # Number of instructions simulated
+system.physmem.bytes_read 15680 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 10432 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 245 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 935058739 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 622100304 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 935058739 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.fetch_hits 0 # ITB hits
+system.cpu.dtb.fetch_misses 0 # ITB misses
+system.cpu.dtb.fetch_acv 0 # ITB acv
+system.cpu.dtb.fetch_accesses 0 # ITB accesses
+system.cpu.dtb.read_hits 415 # DTB read hits
+system.cpu.dtb.read_misses 4 # DTB read misses
+system.cpu.dtb.read_acv 0 # DTB read access violations
+system.cpu.dtb.read_accesses 419 # DTB read accesses
+system.cpu.dtb.write_hits 294 # DTB write hits
+system.cpu.dtb.write_misses 4 # DTB write misses
+system.cpu.dtb.write_acv 0 # DTB write access violations
+system.cpu.dtb.write_accesses 298 # DTB write accesses
+system.cpu.dtb.data_hits 709 # DTB hits
+system.cpu.dtb.data_misses 8 # DTB misses
+system.cpu.dtb.data_acv 0 # DTB access violations
+system.cpu.dtb.data_accesses 717 # DTB accesses
+system.cpu.itb.fetch_hits 2586 # ITB hits
+system.cpu.itb.fetch_misses 11 # ITB misses
+system.cpu.itb.fetch_acv 0 # ITB acv
+system.cpu.itb.fetch_accesses 2597 # ITB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_acv 0 # DTB read access violations
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_acv 0 # DTB write access violations
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.data_hits 0 # DTB hits
+system.cpu.itb.data_misses 0 # DTB misses
+system.cpu.itb.data_acv 0 # DTB access violations
+system.cpu.itb.data_accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 4 # Number of system calls
+system.cpu.numCycles 33538 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 2577 # Number of instructions executed
+system.cpu.num_int_alu_accesses 2375 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 6 # Number of float alu accesses
+system.cpu.num_func_calls 140 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 238 # number of instructions that are conditional controls
+system.cpu.num_int_insts 2375 # number of integer instructions
+system.cpu.num_fp_insts 6 # number of float instructions
+system.cpu.num_int_register_reads 2998 # number of times the integer registers were read
+system.cpu.num_int_register_writes 1768 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 6 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 717 # number of memory refs
+system.cpu.num_load_insts 419 # Number of load instructions
+system.cpu.num_store_insts 298 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 33538 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+system.cpu.icache.replacements 0 # number of replacements
+system.cpu.icache.tagsinuse 80.003762 # Cycle average of tags in use
+system.cpu.icache.total_refs 2423 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 163 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 14.865031 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 80.003762 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.039064 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 2423 # number of ReadReq hits
+system.cpu.icache.demand_hits 2423 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 2423 # number of overall hits
+system.cpu.icache.ReadReq_misses 163 # number of ReadReq misses
+system.cpu.icache.demand_misses 163 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 163 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 9128000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 9128000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 9128000 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 2586 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 2586 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 2586 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.063032 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.063032 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.063032 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 56000 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 56000 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 56000 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 163 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 163 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 163 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 8639000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 8639000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 8639000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.063032 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.063032 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.063032 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 53000 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 53000 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 53000 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 47.418751 # Cycle average of tags in use
+system.cpu.dcache.total_refs 627 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 82 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 7.646341 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 47.418751 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.011577 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 360 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 267 # number of WriteReq hits
+system.cpu.dcache.demand_hits 627 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 627 # number of overall hits
+system.cpu.dcache.ReadReq_misses 55 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 27 # number of WriteReq misses
+system.cpu.dcache.demand_misses 82 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 82 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 3080000 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 1512000 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 4592000 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 4592000 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 415 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 294 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 709 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 709 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.132530 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.091837 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.115656 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.115656 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 56000 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 56000 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 56000 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 56000 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 55 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 27 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 82 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 82 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 2915000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 1431000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 4346000 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 4346000 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.132530 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.091837 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.115656 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.115656 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 53000 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 53000 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 53000 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 53000 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 107.101205 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 0 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 218 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 107.101205 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.003268 # Average percentage of cache occupancy
+system.cpu.l2cache.demand_hits 0 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 0 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 218 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 27 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 245 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 245 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 11336000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 1404000 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 12740000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 12740000 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 218 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 27 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 245 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 245 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 1 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 1 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 1 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 52000 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 52000 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 52000 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 52000 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 218 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 27 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 245 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 245 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 8720000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 1080000 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 9800000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 9800000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 1 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 1 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 1 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 40000 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 40000 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 40000 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 40000 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/arm/linux/o3-timing/config.ini b/tests/quick/se/00.hello/ref/arm/linux/o3-timing/config.ini
new file mode 100644
index 000000000..21dc694d7
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/arm/linux/o3-timing/config.ini
@@ -0,0 +1,535 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=DerivO3CPU
+children=dcache dtb fuPool icache itb l2cache toL2Bus tracer workload
+BTBEntries=4096
+BTBTagSize=16
+LFSTSize=1024
+LQEntries=32
+LSQCheckLoads=true
+LSQDepCheckShift=4
+RASSize=16
+SQEntries=32
+SSITSize=1024
+activity=0
+backComSize=5
+cachePorts=200
+checker=Null
+choiceCtrBits=2
+choicePredictorSize=8192
+clock=500
+commitToDecodeDelay=1
+commitToFetchDelay=1
+commitToIEWDelay=1
+commitToRenameDelay=1
+commitWidth=8
+cpu_id=0
+decodeToFetchDelay=1
+decodeToRenameDelay=1
+decodeWidth=8
+defer_registration=false
+dispatchWidth=8
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+fetchToDecodeDelay=1
+fetchTrapLatency=1
+fetchWidth=8
+forwardComSize=5
+fuPool=system.cpu.fuPool
+function_trace=false
+function_trace_start=0
+globalCtrBits=2
+globalHistoryBits=13
+globalPredictorSize=8192
+iewToCommitDelay=1
+iewToDecodeDelay=1
+iewToFetchDelay=1
+iewToRenameDelay=1
+instShiftAmt=2
+issueToExecuteDelay=1
+issueWidth=8
+itb=system.cpu.itb
+localCtrBits=2
+localHistoryBits=11
+localHistoryTableSize=2048
+localPredictorSize=2048
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numIQEntries=64
+numPhysFloatRegs=256
+numPhysIntRegs=256
+numROBEntries=192
+numRobs=1
+numThreads=1
+phase=0
+predType=tournament
+progress_interval=0
+renameToDecodeDelay=1
+renameToFetchDelay=1
+renameToIEWDelay=2
+renameToROBDelay=1
+renameWidth=8
+smtCommitPolicy=RoundRobin
+smtFetchPolicy=SingleThread
+smtIQPolicy=Partitioned
+smtIQThreshold=100
+smtLSQPolicy=Partitioned
+smtLSQThreshold=100
+smtNumFetchingThreads=1
+smtROBPolicy=Partitioned
+smtROBThreshold=100
+squashWidth=8
+store_set_clear_period=250000
+system=system
+tracer=system.cpu.tracer
+trapLatency=13
+wbDepth=1
+wbWidth=8
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=20
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=ArmTLB
+size=64
+
+[system.cpu.fuPool]
+type=FUPool
+children=FUList0 FUList1 FUList2 FUList3 FUList4 FUList5 FUList6 FUList7 FUList8
+FUList=system.cpu.fuPool.FUList0 system.cpu.fuPool.FUList1 system.cpu.fuPool.FUList2 system.cpu.fuPool.FUList3 system.cpu.fuPool.FUList4 system.cpu.fuPool.FUList5 system.cpu.fuPool.FUList6 system.cpu.fuPool.FUList7 system.cpu.fuPool.FUList8
+
+[system.cpu.fuPool.FUList0]
+type=FUDesc
+children=opList
+count=6
+opList=system.cpu.fuPool.FUList0.opList
+
+[system.cpu.fuPool.FUList0.opList]
+type=OpDesc
+issueLat=1
+opClass=IntAlu
+opLat=1
+
+[system.cpu.fuPool.FUList1]
+type=FUDesc
+children=opList0 opList1
+count=2
+opList=system.cpu.fuPool.FUList1.opList0 system.cpu.fuPool.FUList1.opList1
+
+[system.cpu.fuPool.FUList1.opList0]
+type=OpDesc
+issueLat=1
+opClass=IntMult
+opLat=3
+
+[system.cpu.fuPool.FUList1.opList1]
+type=OpDesc
+issueLat=19
+opClass=IntDiv
+opLat=20
+
+[system.cpu.fuPool.FUList2]
+type=FUDesc
+children=opList0 opList1 opList2
+count=4
+opList=system.cpu.fuPool.FUList2.opList0 system.cpu.fuPool.FUList2.opList1 system.cpu.fuPool.FUList2.opList2
+
+[system.cpu.fuPool.FUList2.opList0]
+type=OpDesc
+issueLat=1
+opClass=FloatAdd
+opLat=2
+
+[system.cpu.fuPool.FUList2.opList1]
+type=OpDesc
+issueLat=1
+opClass=FloatCmp
+opLat=2
+
+[system.cpu.fuPool.FUList2.opList2]
+type=OpDesc
+issueLat=1
+opClass=FloatCvt
+opLat=2
+
+[system.cpu.fuPool.FUList3]
+type=FUDesc
+children=opList0 opList1 opList2
+count=2
+opList=system.cpu.fuPool.FUList3.opList0 system.cpu.fuPool.FUList3.opList1 system.cpu.fuPool.FUList3.opList2
+
+[system.cpu.fuPool.FUList3.opList0]
+type=OpDesc
+issueLat=1
+opClass=FloatMult
+opLat=4
+
+[system.cpu.fuPool.FUList3.opList1]
+type=OpDesc
+issueLat=12
+opClass=FloatDiv
+opLat=12
+
+[system.cpu.fuPool.FUList3.opList2]
+type=OpDesc
+issueLat=24
+opClass=FloatSqrt
+opLat=24
+
+[system.cpu.fuPool.FUList4]
+type=FUDesc
+children=opList
+count=0
+opList=system.cpu.fuPool.FUList4.opList
+
+[system.cpu.fuPool.FUList4.opList]
+type=OpDesc
+issueLat=1
+opClass=MemRead
+opLat=1
+
+[system.cpu.fuPool.FUList5]
+type=FUDesc
+children=opList00 opList01 opList02 opList03 opList04 opList05 opList06 opList07 opList08 opList09 opList10 opList11 opList12 opList13 opList14 opList15 opList16 opList17 opList18 opList19
+count=4
+opList=system.cpu.fuPool.FUList5.opList00 system.cpu.fuPool.FUList5.opList01 system.cpu.fuPool.FUList5.opList02 system.cpu.fuPool.FUList5.opList03 system.cpu.fuPool.FUList5.opList04 system.cpu.fuPool.FUList5.opList05 system.cpu.fuPool.FUList5.opList06 system.cpu.fuPool.FUList5.opList07 system.cpu.fuPool.FUList5.opList08 system.cpu.fuPool.FUList5.opList09 system.cpu.fuPool.FUList5.opList10 system.cpu.fuPool.FUList5.opList11 system.cpu.fuPool.FUList5.opList12 system.cpu.fuPool.FUList5.opList13 system.cpu.fuPool.FUList5.opList14 system.cpu.fuPool.FUList5.opList15 system.cpu.fuPool.FUList5.opList16 system.cpu.fuPool.FUList5.opList17 system.cpu.fuPool.FUList5.opList18 system.cpu.fuPool.FUList5.opList19
+
+[system.cpu.fuPool.FUList5.opList00]
+type=OpDesc
+issueLat=1
+opClass=SimdAdd
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList01]
+type=OpDesc
+issueLat=1
+opClass=SimdAddAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList02]
+type=OpDesc
+issueLat=1
+opClass=SimdAlu
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList03]
+type=OpDesc
+issueLat=1
+opClass=SimdCmp
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList04]
+type=OpDesc
+issueLat=1
+opClass=SimdCvt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList05]
+type=OpDesc
+issueLat=1
+opClass=SimdMisc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList06]
+type=OpDesc
+issueLat=1
+opClass=SimdMult
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList07]
+type=OpDesc
+issueLat=1
+opClass=SimdMultAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList08]
+type=OpDesc
+issueLat=1
+opClass=SimdShift
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList09]
+type=OpDesc
+issueLat=1
+opClass=SimdShiftAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList10]
+type=OpDesc
+issueLat=1
+opClass=SimdSqrt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList11]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatAdd
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList12]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatAlu
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList13]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatCmp
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList14]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatCvt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList15]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatDiv
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList16]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMisc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList17]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMult
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList18]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMultAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList19]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatSqrt
+opLat=1
+
+[system.cpu.fuPool.FUList6]
+type=FUDesc
+children=opList
+count=0
+opList=system.cpu.fuPool.FUList6.opList
+
+[system.cpu.fuPool.FUList6.opList]
+type=OpDesc
+issueLat=1
+opClass=MemWrite
+opLat=1
+
+[system.cpu.fuPool.FUList7]
+type=FUDesc
+children=opList0 opList1
+count=4
+opList=system.cpu.fuPool.FUList7.opList0 system.cpu.fuPool.FUList7.opList1
+
+[system.cpu.fuPool.FUList7.opList0]
+type=OpDesc
+issueLat=1
+opClass=MemRead
+opLat=1
+
+[system.cpu.fuPool.FUList7.opList1]
+type=OpDesc
+issueLat=1
+opClass=MemWrite
+opLat=1
+
+[system.cpu.fuPool.FUList8]
+type=FUDesc
+children=opList
+count=1
+opList=system.cpu.fuPool.FUList8.opList
+
+[system.cpu.fuPool.FUList8.opList]
+type=OpDesc
+issueLat=3
+opClass=IprAccess
+opLat=3
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=20
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=ArmTLB
+size=64
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/arm/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/arm/linux/o3-timing/simerr b/tests/quick/se/00.hello/ref/arm/linux/o3-timing/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/arm/linux/o3-timing/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/arm/linux/o3-timing/simout b/tests/quick/se/00.hello/ref/arm/linux/o3-timing/simout
new file mode 100755
index 000000000..f402d7e9e
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/arm/linux/o3-timing/simout
@@ -0,0 +1,11 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:16:21
+gem5 started Jan 23 2012 04:24:50
+gem5 executing on zizzer
+command line: build/ARM_SE/gem5.opt -d build/ARM_SE/tests/opt/quick/00.hello/arm/linux/o3-timing -re tests/run.py build/ARM_SE/tests/opt/quick/00.hello/arm/linux/o3-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+Hello world!
+Exiting @ tick 10001500 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/arm/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/arm/linux/o3-timing/stats.txt
new file mode 100644
index 000000000..19b87b225
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/arm/linux/o3-timing/stats.txt
@@ -0,0 +1,526 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000010 # Number of seconds simulated
+sim_ticks 10001500 # Number of ticks simulated
+final_tick 10001500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 15723 # Simulator instruction rate (inst/s)
+host_tick_rate 27400304 # Simulator tick rate (ticks/s)
+host_mem_usage 218472 # Number of bytes of host memory used
+host_seconds 0.37 # Real time elapsed on the host
+sim_insts 5739 # Number of instructions simulated
+system.physmem.bytes_read 25856 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 17856 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 404 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 2585212218 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 1785332200 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 2585212218 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.inst_hits 0 # ITB inst hits
+system.cpu.dtb.inst_misses 0 # ITB inst misses
+system.cpu.dtb.read_hits 0 # DTB read hits
+system.cpu.dtb.read_misses 0 # DTB read misses
+system.cpu.dtb.write_hits 0 # DTB write hits
+system.cpu.dtb.write_misses 0 # DTB write misses
+system.cpu.dtb.flush_tlb 0 # Number of times complete TLB was flushed
+system.cpu.dtb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
+system.cpu.dtb.flush_tlb_mva_asid 0 # Number of times TLB was flushed by MVA & ASID
+system.cpu.dtb.flush_tlb_asid 0 # Number of times TLB was flushed by ASID
+system.cpu.dtb.flush_entries 0 # Number of entries that have been flushed from TLB
+system.cpu.dtb.align_faults 0 # Number of TLB faults due to alignment restrictions
+system.cpu.dtb.prefetch_faults 0 # Number of TLB faults due to prefetch
+system.cpu.dtb.domain_faults 0 # Number of TLB faults due to domain restrictions
+system.cpu.dtb.perms_faults 0 # Number of TLB faults due to permissions restrictions
+system.cpu.dtb.read_accesses 0 # DTB read accesses
+system.cpu.dtb.write_accesses 0 # DTB write accesses
+system.cpu.dtb.inst_accesses 0 # ITB inst accesses
+system.cpu.dtb.hits 0 # DTB hits
+system.cpu.dtb.misses 0 # DTB misses
+system.cpu.dtb.accesses 0 # DTB accesses
+system.cpu.itb.inst_hits 0 # ITB inst hits
+system.cpu.itb.inst_misses 0 # ITB inst misses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.flush_tlb 0 # Number of times complete TLB was flushed
+system.cpu.itb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
+system.cpu.itb.flush_tlb_mva_asid 0 # Number of times TLB was flushed by MVA & ASID
+system.cpu.itb.flush_tlb_asid 0 # Number of times TLB was flushed by ASID
+system.cpu.itb.flush_entries 0 # Number of entries that have been flushed from TLB
+system.cpu.itb.align_faults 0 # Number of TLB faults due to alignment restrictions
+system.cpu.itb.prefetch_faults 0 # Number of TLB faults due to prefetch
+system.cpu.itb.domain_faults 0 # Number of TLB faults due to domain restrictions
+system.cpu.itb.perms_faults 0 # Number of TLB faults due to permissions restrictions
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.inst_accesses 0 # ITB inst accesses
+system.cpu.itb.hits 0 # DTB hits
+system.cpu.itb.misses 0 # DTB misses
+system.cpu.itb.accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 13 # Number of system calls
+system.cpu.numCycles 20004 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.BPredUnit.lookups 2398 # Number of BP lookups
+system.cpu.BPredUnit.condPredicted 1771 # Number of conditional branches predicted
+system.cpu.BPredUnit.condIncorrect 436 # Number of conditional branches incorrect
+system.cpu.BPredUnit.BTBLookups 1789 # Number of BTB lookups
+system.cpu.BPredUnit.BTBHits 703 # Number of BTB hits
+system.cpu.BPredUnit.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
+system.cpu.BPredUnit.usedRAS 246 # Number of times the RAS was used to get a target.
+system.cpu.BPredUnit.RASInCorrect 51 # Number of incorrect RAS predictions.
+system.cpu.fetch.icacheStallCycles 6120 # Number of cycles fetch is stalled on an Icache miss
+system.cpu.fetch.Insts 12134 # Number of instructions fetch has processed
+system.cpu.fetch.Branches 2398 # Number of branches that fetch encountered
+system.cpu.fetch.predictedBranches 949 # Number of branches that fetch has predicted taken
+system.cpu.fetch.Cycles 2694 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu.fetch.SquashCycles 1578 # Number of cycles fetch has spent squashing
+system.cpu.fetch.BlockedCycles 1626 # Number of cycles fetch has spent blocked
+system.cpu.fetch.MiscStallCycles 2 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
+system.cpu.fetch.PendingTrapStallCycles 19 # Number of stall cycles due to pending traps
+system.cpu.fetch.CacheLines 1920 # Number of cache lines fetched
+system.cpu.fetch.IcacheSquashes 303 # Number of outstanding Icache misses that were squashed
+system.cpu.fetch.rateDist::samples 11510 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::mean 1.338054 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::stdev 2.716635 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::0 8816 76.59% 76.59% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::1 262 2.28% 78.87% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::2 169 1.47% 80.34% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::3 225 1.95% 82.29% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::4 227 1.97% 84.27% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::5 313 2.72% 86.99% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::6 109 0.95% 87.93% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::7 113 0.98% 88.91% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::8 1276 11.09% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::total 11510 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.branchRate 0.119876 # Number of branch fetches per cycle
+system.cpu.fetch.rate 0.606579 # Number of inst fetches per cycle
+system.cpu.decode.IdleCycles 6265 # Number of cycles decode is idle
+system.cpu.decode.BlockedCycles 1809 # Number of cycles decode is blocked
+system.cpu.decode.RunCycles 2491 # Number of cycles decode is running
+system.cpu.decode.UnblockCycles 58 # Number of cycles decode is unblocking
+system.cpu.decode.SquashCycles 887 # Number of cycles decode is squashing
+system.cpu.decode.BranchResolved 401 # Number of times decode resolved a branch
+system.cpu.decode.BranchMispred 168 # Number of times decode detected a branch misprediction
+system.cpu.decode.DecodedInsts 13387 # Number of instructions handled by decode
+system.cpu.decode.SquashedInsts 587 # Number of squashed instructions handled by decode
+system.cpu.rename.SquashCycles 887 # Number of cycles rename is squashing
+system.cpu.rename.IdleCycles 6541 # Number of cycles rename is idle
+system.cpu.rename.BlockCycles 230 # Number of cycles rename is blocking
+system.cpu.rename.serializeStallCycles 1411 # count of cycles rename stalled for serializing inst
+system.cpu.rename.RunCycles 2270 # Number of cycles rename is running
+system.cpu.rename.UnblockCycles 171 # Number of cycles rename is unblocking
+system.cpu.rename.RenamedInsts 12504 # Number of instructions processed by rename
+system.cpu.rename.LSQFullEvents 153 # Number of times rename has blocked due to LSQ full
+system.cpu.rename.RenamedOperands 12063 # Number of destination operands rename has renamed
+system.cpu.rename.RenameLookups 57218 # Number of register rename lookups that rename has made
+system.cpu.rename.int_rename_lookups 56026 # Number of integer rename lookups
+system.cpu.rename.fp_rename_lookups 1192 # Number of floating rename lookups
+system.cpu.rename.CommittedMaps 5684 # Number of HB maps that are committed
+system.cpu.rename.UndoneMaps 6379 # Number of HB maps that are undone due to squashing
+system.cpu.rename.serializingInsts 41 # count of serializing insts renamed
+system.cpu.rename.tempSerializingInsts 39 # count of temporary serializing insts renamed
+system.cpu.rename.skidInsts 478 # count of insts added to the skid buffer
+system.cpu.memDep0.insertedLoads 2574 # Number of loads inserted to the mem dependence unit.
+system.cpu.memDep0.insertedStores 1703 # Number of stores inserted to the mem dependence unit.
+system.cpu.memDep0.conflictingLoads 9 # Number of conflicting loads.
+system.cpu.memDep0.conflictingStores 8 # Number of conflicting stores.
+system.cpu.iq.iqInstsAdded 10784 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu.iq.iqNonSpecInstsAdded 49 # Number of non-speculative instructions added to the IQ
+system.cpu.iq.iqInstsIssued 8706 # Number of instructions issued
+system.cpu.iq.iqSquashedInstsIssued 95 # Number of squashed instructions issued
+system.cpu.iq.iqSquashedInstsExamined 4802 # Number of squashed instructions iterated over during squash; mainly for profiling
+system.cpu.iq.iqSquashedOperandsExamined 13397 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu.iq.iqSquashedNonSpecRemoved 12 # Number of squashed non-spec instructions that were removed
+system.cpu.iq.issued_per_cycle::samples 11510 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::mean 0.756386 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::stdev 1.438063 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::0 8025 69.72% 69.72% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::1 1281 11.13% 80.85% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::2 772 6.71% 87.56% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::3 541 4.70% 92.26% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::4 447 3.88% 96.14% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::5 256 2.22% 98.37% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::6 137 1.19% 99.56% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::7 40 0.35% 99.90% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::8 11 0.10% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::total 11510 # Number of insts issued each cycle
+system.cpu.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntAlu 2 0.99% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntMult 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntDiv 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatAdd 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCmp 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCvt 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatMult 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatDiv 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatSqrt 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAdd 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAlu 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCmp 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCvt 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMisc 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMult 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShift 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdSqrt 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 0.99% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemRead 137 67.49% 68.47% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemWrite 64 31.53% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
+system.cpu.iq.FU_type_0::No_OpClass 0 0.00% 0.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IntAlu 5272 60.56% 60.56% # Type of FU issued
+system.cpu.iq.FU_type_0::IntMult 6 0.07% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::IntDiv 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatAdd 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatMult 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMult 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShift 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 60.62% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMisc 3 0.03% 60.66% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 60.66% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 60.66% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 60.66% # Type of FU issued
+system.cpu.iq.FU_type_0::MemRead 2203 25.30% 85.96% # Type of FU issued
+system.cpu.iq.FU_type_0::MemWrite 1222 14.04% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::total 8706 # Type of FU issued
+system.cpu.iq.rate 0.435213 # Inst issue rate
+system.cpu.iq.fu_busy_cnt 203 # FU busy when requested
+system.cpu.iq.fu_busy_rate 0.023317 # FU busy rate (busy events/executed inst)
+system.cpu.iq.int_inst_queue_reads 29184 # Number of integer instruction queue reads
+system.cpu.iq.int_inst_queue_writes 15632 # Number of integer instruction queue writes
+system.cpu.iq.int_inst_queue_wakeup_accesses 7824 # Number of integer instruction queue wakeup accesses
+system.cpu.iq.fp_inst_queue_reads 36 # Number of floating instruction queue reads
+system.cpu.iq.fp_inst_queue_writes 16 # Number of floating instruction queue writes
+system.cpu.iq.fp_inst_queue_wakeup_accesses 16 # Number of floating instruction queue wakeup accesses
+system.cpu.iq.int_alu_accesses 8889 # Number of integer alu accesses
+system.cpu.iq.fp_alu_accesses 20 # Number of floating point alu accesses
+system.cpu.iew.lsq.thread0.forwLoads 51 # Number of loads that had data forwarded from stores
+system.cpu.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
+system.cpu.iew.lsq.thread0.squashedLoads 1373 # Number of loads squashed
+system.cpu.iew.lsq.thread0.ignoredResponses 0 # Number of memory responses ignored because the instruction is squashed
+system.cpu.iew.lsq.thread0.memOrderViolation 14 # Number of memory ordering violations
+system.cpu.iew.lsq.thread0.squashedStores 765 # Number of stores squashed
+system.cpu.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
+system.cpu.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
+system.cpu.iew.lsq.thread0.rescheduledLoads 0 # Number of loads that were rescheduled
+system.cpu.iew.lsq.thread0.cacheBlocked 0 # Number of times an access to memory failed due to the cache being blocked
+system.cpu.iew.iewIdleCycles 0 # Number of cycles IEW is idle
+system.cpu.iew.iewSquashCycles 887 # Number of cycles IEW is squashing
+system.cpu.iew.iewBlockCycles 121 # Number of cycles IEW is blocking
+system.cpu.iew.iewUnblockCycles 8 # Number of cycles IEW is unblocking
+system.cpu.iew.iewDispatchedInsts 10834 # Number of instructions dispatched to IQ
+system.cpu.iew.iewDispSquashedInsts 179 # Number of squashed instructions skipped by dispatch
+system.cpu.iew.iewDispLoadInsts 2574 # Number of dispatched load instructions
+system.cpu.iew.iewDispStoreInsts 1703 # Number of dispatched store instructions
+system.cpu.iew.iewDispNonSpecInsts 37 # Number of dispatched non-speculative instructions
+system.cpu.iew.iewIQFullEvents 0 # Number of times the IQ has become full, causing a stall
+system.cpu.iew.iewLSQFullEvents 0 # Number of times the LSQ has become full, causing a stall
+system.cpu.iew.memOrderViolationEvents 14 # Number of memory order violations
+system.cpu.iew.predictedTakenIncorrect 90 # Number of branches that were predicted taken incorrectly
+system.cpu.iew.predictedNotTakenIncorrect 295 # Number of branches that were predicted not taken incorrectly
+system.cpu.iew.branchMispredicts 385 # Number of branch mispredicts detected at execute
+system.cpu.iew.iewExecutedInsts 8282 # Number of executed instructions
+system.cpu.iew.iewExecLoadInsts 2009 # Number of load instructions executed
+system.cpu.iew.iewExecSquashedInsts 424 # Number of squashed instructions skipped in execute
+system.cpu.iew.exec_swp 0 # number of swp insts executed
+system.cpu.iew.exec_nop 1 # number of nop insts executed
+system.cpu.iew.exec_refs 3178 # number of memory reference insts executed
+system.cpu.iew.exec_branches 1354 # Number of branches executed
+system.cpu.iew.exec_stores 1169 # Number of stores executed
+system.cpu.iew.exec_rate 0.414017 # Inst execution rate
+system.cpu.iew.wb_sent 7957 # cumulative count of insts sent to commit
+system.cpu.iew.wb_count 7840 # cumulative count of insts written-back
+system.cpu.iew.wb_producers 3690 # num instructions producing a value
+system.cpu.iew.wb_consumers 7291 # num instructions consuming a value
+system.cpu.iew.wb_penalized 0 # number of instrctions required to write to 'other' IQ
+system.cpu.iew.wb_rate 0.391922 # insts written-back per cycle
+system.cpu.iew.wb_fanout 0.506103 # average fanout of values written-back
+system.cpu.iew.wb_penalized_rate 0 # fraction of instructions written-back that wrote to 'other' IQ
+system.cpu.commit.commitCommittedInsts 5739 # The number of committed instructions
+system.cpu.commit.commitSquashedInsts 5094 # The number of squashed insts skipped by commit
+system.cpu.commit.commitNonSpecStalls 37 # The number of times commit has been forced to stall to communicate backwards
+system.cpu.commit.branchMispredicts 345 # The number of times a branch was mispredicted
+system.cpu.commit.committed_per_cycle::samples 10624 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::mean 0.540192 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::stdev 1.352731 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::0 8288 78.01% 78.01% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::1 1088 10.24% 88.25% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::2 420 3.95% 92.21% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::3 282 2.65% 94.86% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::4 183 1.72% 96.58% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::5 168 1.58% 98.16% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::6 65 0.61% 98.78% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::7 37 0.35% 99.12% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::8 93 0.88% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::total 10624 # Number of insts commited each cycle
+system.cpu.commit.count 5739 # Number of instructions committed
+system.cpu.commit.swp_count 0 # Number of s/w prefetches committed
+system.cpu.commit.refs 2139 # Number of memory references committed
+system.cpu.commit.loads 1201 # Number of loads committed
+system.cpu.commit.membars 12 # Number of memory barriers committed
+system.cpu.commit.branches 945 # Number of branches committed
+system.cpu.commit.fp_insts 16 # Number of committed floating point instructions.
+system.cpu.commit.int_insts 4985 # Number of committed integer instructions.
+system.cpu.commit.function_calls 82 # Number of function calls committed.
+system.cpu.commit.bw_lim_events 93 # number cycles where commit BW limit reached
+system.cpu.commit.bw_limited 0 # number of insts not committed due to BW limits
+system.cpu.rob.rob_reads 21207 # The number of ROB reads
+system.cpu.rob.rob_writes 22566 # The number of ROB writes
+system.cpu.timesIdled 180 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 8494 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu.committedInsts 5739 # Number of Instructions Simulated
+system.cpu.committedInsts_total 5739 # Number of Instructions Simulated
+system.cpu.cpi 3.485625 # CPI: Cycles Per Instruction
+system.cpu.cpi_total 3.485625 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.286893 # IPC: Instructions Per Cycle
+system.cpu.ipc_total 0.286893 # IPC: Total IPC of All Threads
+system.cpu.int_regfile_reads 37816 # number of integer regfile reads
+system.cpu.int_regfile_writes 7658 # number of integer regfile writes
+system.cpu.fp_regfile_reads 16 # number of floating regfile reads
+system.cpu.misc_regfile_reads 14993 # number of misc regfile reads
+system.cpu.misc_regfile_writes 24 # number of misc regfile writes
+system.cpu.icache.replacements 2 # number of replacements
+system.cpu.icache.tagsinuse 148.864335 # Cycle average of tags in use
+system.cpu.icache.total_refs 1560 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 297 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 5.252525 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 148.864335 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.072688 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 1560 # number of ReadReq hits
+system.cpu.icache.demand_hits 1560 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 1560 # number of overall hits
+system.cpu.icache.ReadReq_misses 360 # number of ReadReq misses
+system.cpu.icache.demand_misses 360 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 360 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 12552000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 12552000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 12552000 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 1920 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 1920 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 1920 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.187500 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.187500 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.187500 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 34866.666667 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 34866.666667 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 34866.666667 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.ReadReq_mshr_hits 63 # number of ReadReq MSHR hits
+system.cpu.icache.demand_mshr_hits 63 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 63 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 297 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 297 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 297 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 9945000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 9945000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 9945000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.154688 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.154688 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.154688 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 33484.848485 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 33484.848485 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 33484.848485 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 89.089443 # Cycle average of tags in use
+system.cpu.dcache.total_refs 2331 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 154 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 15.136364 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 89.089443 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.021750 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 1702 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 609 # number of WriteReq hits
+system.cpu.dcache.LoadLockedReq_hits 9 # number of LoadLockedReq hits
+system.cpu.dcache.StoreCondReq_hits 11 # number of StoreCondReq hits
+system.cpu.dcache.demand_hits 2311 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 2311 # number of overall hits
+system.cpu.dcache.ReadReq_misses 169 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 304 # number of WriteReq misses
+system.cpu.dcache.LoadLockedReq_misses 2 # number of LoadLockedReq misses
+system.cpu.dcache.demand_misses 473 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 473 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 5350500 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 10725000 # number of WriteReq miss cycles
+system.cpu.dcache.LoadLockedReq_miss_latency 76500 # number of LoadLockedReq miss cycles
+system.cpu.dcache.demand_miss_latency 16075500 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 16075500 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 1871 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 913 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.LoadLockedReq_accesses 11 # number of LoadLockedReq accesses(hits+misses)
+system.cpu.dcache.StoreCondReq_accesses 11 # number of StoreCondReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 2784 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 2784 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.090326 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.332968 # miss rate for WriteReq accesses
+system.cpu.dcache.LoadLockedReq_miss_rate 0.181818 # miss rate for LoadLockedReq accesses
+system.cpu.dcache.demand_miss_rate 0.169899 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.169899 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 31659.763314 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 35279.605263 # average WriteReq miss latency
+system.cpu.dcache.LoadLockedReq_avg_miss_latency 38250 # average LoadLockedReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 33986.257928 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 33986.257928 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.ReadReq_mshr_hits 57 # number of ReadReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits 262 # number of WriteReq MSHR hits
+system.cpu.dcache.LoadLockedReq_mshr_hits 2 # number of LoadLockedReq MSHR hits
+system.cpu.dcache.demand_mshr_hits 319 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 319 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 112 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 42 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 154 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 154 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 3230000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 1505000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 4735000 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 4735000 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.059861 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.046002 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.055316 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.055316 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 28839.285714 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 35833.333333 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 30746.753247 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 30746.753247 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 188.120549 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 42 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 362 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0.116022 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 188.120549 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.005741 # Average percentage of cache occupancy
+system.cpu.l2cache.ReadReq_hits 42 # number of ReadReq hits
+system.cpu.l2cache.demand_hits 42 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 42 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 367 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 42 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 409 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 409 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 12613500 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 1452000 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 14065500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 14065500 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 409 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 42 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 451 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 451 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 0.897311 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 0.906874 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 0.906874 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 34369.209809 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 34571.428571 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 34389.975550 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 34389.975550 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.ReadReq_mshr_hits 5 # number of ReadReq MSHR hits
+system.cpu.l2cache.demand_mshr_hits 5 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 5 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 362 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 42 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 404 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 404 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 11304000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 1319000 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 12623000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 12623000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 0.885086 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 0.895787 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 0.895787 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 31226.519337 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 31404.761905 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 31245.049505 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 31245.049505 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/config.ini b/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/config.ini
new file mode 100644
index 000000000..1ee45ad85
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/config.ini
@@ -0,0 +1,102 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=AtomicSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+simulate_data_stalls=false
+simulate_inst_stalls=false
+system=system
+tracer=system.cpu.tracer
+width=1
+workload=system.cpu.workload
+dcache_port=system.membus.port[3]
+icache_port=system.membus.port[2]
+
+[system.cpu.dtb]
+type=ArmTLB
+size=64
+
+[system.cpu.itb]
+type=ArmTLB
+size=64
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/arm/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/simerr b/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/simout b/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/simout
new file mode 100755
index 000000000..13e73ddc3
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/simout
@@ -0,0 +1,11 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:16:21
+gem5 started Jan 23 2012 04:24:50
+gem5 executing on zizzer
+command line: build/ARM_SE/gem5.opt -d build/ARM_SE/tests/opt/quick/00.hello/arm/linux/simple-atomic -re tests/run.py build/ARM_SE/tests/opt/quick/00.hello/arm/linux/simple-atomic
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+Hello world!
+Exiting @ tick 2875500 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/stats.txt
new file mode 100644
index 000000000..8e7751fe7
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/stats.txt
@@ -0,0 +1,87 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000003 # Number of seconds simulated
+sim_ticks 2875500 # Number of ticks simulated
+final_tick 2875500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 25921 # Simulator instruction rate (inst/s)
+host_tick_rate 12986430 # Simulator tick rate (ticks/s)
+host_mem_usage 208728 # Number of bytes of host memory used
+host_seconds 0.22 # Real time elapsed on the host
+sim_insts 5739 # Number of instructions simulated
+system.physmem.bytes_read 22944 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 18452 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 3648 # Number of bytes written to this memory
+system.physmem.num_reads 5771 # Number of read requests responded to by this memory
+system.physmem.num_writes 924 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 7979134064 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 6416970962 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 1268648931 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 9247782994 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.inst_hits 0 # ITB inst hits
+system.cpu.dtb.inst_misses 0 # ITB inst misses
+system.cpu.dtb.read_hits 0 # DTB read hits
+system.cpu.dtb.read_misses 0 # DTB read misses
+system.cpu.dtb.write_hits 0 # DTB write hits
+system.cpu.dtb.write_misses 0 # DTB write misses
+system.cpu.dtb.flush_tlb 0 # Number of times complete TLB was flushed
+system.cpu.dtb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
+system.cpu.dtb.flush_tlb_mva_asid 0 # Number of times TLB was flushed by MVA & ASID
+system.cpu.dtb.flush_tlb_asid 0 # Number of times TLB was flushed by ASID
+system.cpu.dtb.flush_entries 0 # Number of entries that have been flushed from TLB
+system.cpu.dtb.align_faults 0 # Number of TLB faults due to alignment restrictions
+system.cpu.dtb.prefetch_faults 0 # Number of TLB faults due to prefetch
+system.cpu.dtb.domain_faults 0 # Number of TLB faults due to domain restrictions
+system.cpu.dtb.perms_faults 0 # Number of TLB faults due to permissions restrictions
+system.cpu.dtb.read_accesses 0 # DTB read accesses
+system.cpu.dtb.write_accesses 0 # DTB write accesses
+system.cpu.dtb.inst_accesses 0 # ITB inst accesses
+system.cpu.dtb.hits 0 # DTB hits
+system.cpu.dtb.misses 0 # DTB misses
+system.cpu.dtb.accesses 0 # DTB accesses
+system.cpu.itb.inst_hits 0 # ITB inst hits
+system.cpu.itb.inst_misses 0 # ITB inst misses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.flush_tlb 0 # Number of times complete TLB was flushed
+system.cpu.itb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
+system.cpu.itb.flush_tlb_mva_asid 0 # Number of times TLB was flushed by MVA & ASID
+system.cpu.itb.flush_tlb_asid 0 # Number of times TLB was flushed by ASID
+system.cpu.itb.flush_entries 0 # Number of entries that have been flushed from TLB
+system.cpu.itb.align_faults 0 # Number of TLB faults due to alignment restrictions
+system.cpu.itb.prefetch_faults 0 # Number of TLB faults due to prefetch
+system.cpu.itb.domain_faults 0 # Number of TLB faults due to domain restrictions
+system.cpu.itb.perms_faults 0 # Number of TLB faults due to permissions restrictions
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.inst_accesses 0 # ITB inst accesses
+system.cpu.itb.hits 0 # DTB hits
+system.cpu.itb.misses 0 # DTB misses
+system.cpu.itb.accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 13 # Number of system calls
+system.cpu.numCycles 5752 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 5739 # Number of instructions executed
+system.cpu.num_int_alu_accesses 4985 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 16 # Number of float alu accesses
+system.cpu.num_func_calls 185 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 793 # number of instructions that are conditional controls
+system.cpu.num_int_insts 4985 # number of integer instructions
+system.cpu.num_fp_insts 16 # number of float instructions
+system.cpu.num_int_register_reads 25237 # number of times the integer registers were read
+system.cpu.num_int_register_writes 5345 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 16 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 2139 # number of memory refs
+system.cpu.num_load_insts 1201 # Number of load instructions
+system.cpu.num_store_insts 938 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 5752 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/arm/linux/simple-timing/config.ini b/tests/quick/se/00.hello/ref/arm/linux/simple-timing/config.ini
new file mode 100644
index 000000000..d881a3977
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/arm/linux/simple-timing/config.ini
@@ -0,0 +1,205 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dcache dtb icache itb l2cache toL2Bus tracer workload
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=ArmTLB
+size=64
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=ArmTLB
+size=64
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=10000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=100000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/arm/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/arm/linux/simple-timing/simerr b/tests/quick/se/00.hello/ref/arm/linux/simple-timing/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/arm/linux/simple-timing/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/arm/linux/simple-timing/simout b/tests/quick/se/00.hello/ref/arm/linux/simple-timing/simout
new file mode 100755
index 000000000..25474862b
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/arm/linux/simple-timing/simout
@@ -0,0 +1,11 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:16:21
+gem5 started Jan 23 2012 04:24:50
+gem5 executing on zizzer
+command line: build/ARM_SE/gem5.opt -d build/ARM_SE/tests/opt/quick/00.hello/arm/linux/simple-timing -re tests/run.py build/ARM_SE/tests/opt/quick/00.hello/arm/linux/simple-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+Hello world!
+Exiting @ tick 26361000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/arm/linux/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/arm/linux/simple-timing/stats.txt
new file mode 100644
index 000000000..9108e20ee
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/arm/linux/simple-timing/stats.txt
@@ -0,0 +1,274 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000026 # Number of seconds simulated
+sim_ticks 26361000 # Number of ticks simulated
+final_tick 26361000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 20483 # Simulator instruction rate (inst/s)
+host_tick_rate 95024596 # Simulator tick rate (ticks/s)
+host_mem_usage 217432 # Number of bytes of host memory used
+host_seconds 0.28 # Real time elapsed on the host
+sim_insts 5682 # Number of instructions simulated
+system.physmem.bytes_read 22400 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 14400 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 350 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 849740146 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 546261523 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 849740146 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.inst_hits 0 # ITB inst hits
+system.cpu.dtb.inst_misses 0 # ITB inst misses
+system.cpu.dtb.read_hits 0 # DTB read hits
+system.cpu.dtb.read_misses 0 # DTB read misses
+system.cpu.dtb.write_hits 0 # DTB write hits
+system.cpu.dtb.write_misses 0 # DTB write misses
+system.cpu.dtb.flush_tlb 0 # Number of times complete TLB was flushed
+system.cpu.dtb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
+system.cpu.dtb.flush_tlb_mva_asid 0 # Number of times TLB was flushed by MVA & ASID
+system.cpu.dtb.flush_tlb_asid 0 # Number of times TLB was flushed by ASID
+system.cpu.dtb.flush_entries 0 # Number of entries that have been flushed from TLB
+system.cpu.dtb.align_faults 0 # Number of TLB faults due to alignment restrictions
+system.cpu.dtb.prefetch_faults 0 # Number of TLB faults due to prefetch
+system.cpu.dtb.domain_faults 0 # Number of TLB faults due to domain restrictions
+system.cpu.dtb.perms_faults 0 # Number of TLB faults due to permissions restrictions
+system.cpu.dtb.read_accesses 0 # DTB read accesses
+system.cpu.dtb.write_accesses 0 # DTB write accesses
+system.cpu.dtb.inst_accesses 0 # ITB inst accesses
+system.cpu.dtb.hits 0 # DTB hits
+system.cpu.dtb.misses 0 # DTB misses
+system.cpu.dtb.accesses 0 # DTB accesses
+system.cpu.itb.inst_hits 0 # ITB inst hits
+system.cpu.itb.inst_misses 0 # ITB inst misses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.flush_tlb 0 # Number of times complete TLB was flushed
+system.cpu.itb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
+system.cpu.itb.flush_tlb_mva_asid 0 # Number of times TLB was flushed by MVA & ASID
+system.cpu.itb.flush_tlb_asid 0 # Number of times TLB was flushed by ASID
+system.cpu.itb.flush_entries 0 # Number of entries that have been flushed from TLB
+system.cpu.itb.align_faults 0 # Number of TLB faults due to alignment restrictions
+system.cpu.itb.prefetch_faults 0 # Number of TLB faults due to prefetch
+system.cpu.itb.domain_faults 0 # Number of TLB faults due to domain restrictions
+system.cpu.itb.perms_faults 0 # Number of TLB faults due to permissions restrictions
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.inst_accesses 0 # ITB inst accesses
+system.cpu.itb.hits 0 # DTB hits
+system.cpu.itb.misses 0 # DTB misses
+system.cpu.itb.accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 13 # Number of system calls
+system.cpu.numCycles 52722 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 5682 # Number of instructions executed
+system.cpu.num_int_alu_accesses 4985 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 16 # Number of float alu accesses
+system.cpu.num_func_calls 185 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 793 # number of instructions that are conditional controls
+system.cpu.num_int_insts 4985 # number of integer instructions
+system.cpu.num_fp_insts 16 # number of float instructions
+system.cpu.num_int_register_reads 28701 # number of times the integer registers were read
+system.cpu.num_int_register_writes 5345 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 16 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 2139 # number of memory refs
+system.cpu.num_load_insts 1201 # Number of load instructions
+system.cpu.num_store_insts 938 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 52722 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+system.cpu.icache.replacements 1 # number of replacements
+system.cpu.icache.tagsinuse 114.525744 # Cycle average of tags in use
+system.cpu.icache.total_refs 4373 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 241 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 18.145228 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 114.525744 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.055921 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 4373 # number of ReadReq hits
+system.cpu.icache.demand_hits 4373 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 4373 # number of overall hits
+system.cpu.icache.ReadReq_misses 241 # number of ReadReq misses
+system.cpu.icache.demand_misses 241 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 241 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 12824000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 12824000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 12824000 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 4614 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 4614 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 4614 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.052232 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.052232 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.052232 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 53211.618257 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 53211.618257 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 53211.618257 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 241 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 241 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 241 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 12101000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 12101000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 12101000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.052232 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.052232 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.052232 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 50211.618257 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 50211.618257 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 50211.618257 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 82.937979 # Cycle average of tags in use
+system.cpu.dcache.total_refs 1941 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 141 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 13.765957 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 82.937979 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.020249 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 1049 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 870 # number of WriteReq hits
+system.cpu.dcache.LoadLockedReq_hits 11 # number of LoadLockedReq hits
+system.cpu.dcache.StoreCondReq_hits 11 # number of StoreCondReq hits
+system.cpu.dcache.demand_hits 1919 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 1919 # number of overall hits
+system.cpu.dcache.ReadReq_misses 98 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 43 # number of WriteReq misses
+system.cpu.dcache.demand_misses 141 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 141 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 4816000 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 2408000 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 7224000 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 7224000 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 1147 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 913 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.LoadLockedReq_accesses 11 # number of LoadLockedReq accesses(hits+misses)
+system.cpu.dcache.StoreCondReq_accesses 11 # number of StoreCondReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 2060 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 2060 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.085440 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.047097 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.068447 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.068447 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 49142.857143 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 56000 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 51234.042553 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 51234.042553 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 98 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 43 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 141 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 141 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 4522000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 2279000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 6801000 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 6801000 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.085440 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.047097 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.068447 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.068447 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 46142.857143 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 53000 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 48234.042553 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 48234.042553 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 153.954484 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 32 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 307 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0.104235 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 153.954484 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.004698 # Average percentage of cache occupancy
+system.cpu.l2cache.ReadReq_hits 32 # number of ReadReq hits
+system.cpu.l2cache.demand_hits 32 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 32 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 307 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 43 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 350 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 350 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 15964000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 2236000 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 18200000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 18200000 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 339 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 43 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 382 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 382 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 0.905605 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 0.916230 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 0.916230 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 52000 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 52000 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 52000 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 52000 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 307 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 43 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 350 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 350 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 12280000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 1720000 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 14000000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 14000000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 0.905605 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 0.916230 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 0.916230 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 40000 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 40000 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 40000 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 40000 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/config.ini b/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/config.ini
new file mode 100644
index 000000000..1ccb30b9c
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/config.ini
@@ -0,0 +1,240 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=InOrderCPU
+children=dcache dtb icache itb l2cache toL2Bus tracer workload
+BTBEntries=4096
+BTBTagSize=16
+RASSize=16
+activity=0
+cachePorts=2
+checker=Null
+choiceCtrBits=2
+choicePredictorSize=8192
+clock=500
+cpu_id=0
+dataMemPort=dcache_port
+defer_registration=false
+div16Latency=1
+div16RepeatRate=1
+div24Latency=1
+div24RepeatRate=1
+div32Latency=1
+div32RepeatRate=1
+div8Latency=1
+div8RepeatRate=1
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+fetchBuffSize=4
+fetchMemPort=icache_port
+functionTrace=false
+functionTraceStart=0
+function_trace=false
+function_trace_start=0
+globalCtrBits=2
+globalHistoryBits=13
+globalPredictorSize=8192
+instShiftAmt=2
+itb=system.cpu.itb
+localCtrBits=2
+localHistoryBits=11
+localHistoryTableSize=2048
+localPredictorSize=2048
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+memBlockSize=64
+multLatency=1
+multRepeatRate=1
+numThreads=1
+phase=0
+predType=tournament
+progress_interval=0
+stageTracing=false
+stageWidth=4
+system=system
+threadModel=SMT
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=MipsTLB
+size=64
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=MipsTLB
+size=64
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=10000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=100000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/mips/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/simerr b/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/simout b/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/simout
new file mode 100755
index 000000000..677598e87
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:56:13
+gem5 started Jan 23 2012 04:23:29
+gem5 executing on zizzer
+command line: build/MIPS_SE/gem5.opt -d build/MIPS_SE/tests/opt/quick/00.hello/mips/linux/inorder-timing -re tests/run.py build/MIPS_SE/tests/opt/quick/00.hello/mips/linux/inorder-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello World!
+Exiting @ tick 19785000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/stats.txt b/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/stats.txt
new file mode 100644
index 000000000..78172e7b6
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/stats.txt
@@ -0,0 +1,295 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000020 # Number of seconds simulated
+sim_ticks 19785000 # Number of ticks simulated
+final_tick 19785000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 71616 # Simulator instruction rate (inst/s)
+host_tick_rate 243111037 # Simulator tick rate (ticks/s)
+host_mem_usage 208328 # Number of bytes of host memory used
+host_seconds 0.08 # Real time elapsed on the host
+sim_insts 5827 # Number of instructions simulated
+system.physmem.bytes_read 29120 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 20288 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 455 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 1471822087 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 1025423300 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 1471822087 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.read_hits 0 # DTB read hits
+system.cpu.dtb.read_misses 0 # DTB read misses
+system.cpu.dtb.read_accesses 0 # DTB read accesses
+system.cpu.dtb.write_hits 0 # DTB write hits
+system.cpu.dtb.write_misses 0 # DTB write misses
+system.cpu.dtb.write_accesses 0 # DTB write accesses
+system.cpu.dtb.hits 0 # DTB hits
+system.cpu.dtb.misses 0 # DTB misses
+system.cpu.dtb.accesses 0 # DTB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.hits 0 # DTB hits
+system.cpu.itb.misses 0 # DTB misses
+system.cpu.itb.accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 8 # Number of system calls
+system.cpu.numCycles 39571 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.contextSwitches 1 # Number of context switches
+system.cpu.threadCycles 9159 # Total Number of Cycles A Thread Was Active in CPU (Per-Thread)
+system.cpu.smtCycles 0 # Total number of cycles that the CPU was in SMT-mode
+system.cpu.timesIdled 403 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 34166 # Number of cycles cpu's stages were not processed
+system.cpu.runCycles 5405 # Number of cycles cpu stages are processed.
+system.cpu.activity 13.658993 # Percentage of cycles cpu is active
+system.cpu.comLoads 1164 # Number of Load instructions committed
+system.cpu.comStores 925 # Number of Store instructions committed
+system.cpu.comBranches 916 # Number of Branches instructions committed
+system.cpu.comNops 657 # Number of Nop instructions committed
+system.cpu.comNonSpec 10 # Number of Non-Speculative instructions committed
+system.cpu.comInts 2155 # Number of Integer instructions committed
+system.cpu.comFloats 0 # Number of Floating Point instructions committed
+system.cpu.committedInsts 5827 # Number of Instructions Simulated (Per-Thread)
+system.cpu.smtCommittedInsts 0 # Number of SMT Instructions Simulated (Per-Thread)
+system.cpu.committedInsts_total 5827 # Number of Instructions Simulated (Total)
+system.cpu.cpi 6.790973 # CPI: Cycles Per Instruction (Per-Thread)
+system.cpu.smt_cpi no_value # CPI: Total SMT-CPI
+system.cpu.cpi_total 6.790973 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.147254 # IPC: Instructions Per Cycle (Per-Thread)
+system.cpu.smt_ipc no_value # IPC: Total SMT-IPC
+system.cpu.ipc_total 0.147254 # IPC: Total IPC of All Threads
+system.cpu.branch_predictor.lookups 1185 # Number of BP lookups
+system.cpu.branch_predictor.condPredicted 896 # Number of conditional branches predicted
+system.cpu.branch_predictor.condIncorrect 611 # Number of conditional branches incorrect
+system.cpu.branch_predictor.BTBLookups 1035 # Number of BTB lookups
+system.cpu.branch_predictor.BTBHits 443 # Number of BTB hits
+system.cpu.branch_predictor.usedRAS 86 # Number of times the RAS was used to get a target.
+system.cpu.branch_predictor.RASInCorrect 32 # Number of incorrect RAS predictions.
+system.cpu.branch_predictor.BTBHitPct 42.801932 # BTB Hit Percentage
+system.cpu.branch_predictor.predictedTaken 536 # Number of Branches Predicted As Taken (True).
+system.cpu.branch_predictor.predictedNotTaken 649 # Number of Branches Predicted As Not Taken (False).
+system.cpu.regfile_manager.intRegFileReads 5108 # Number of Reads from Int. Register File
+system.cpu.regfile_manager.intRegFileWrites 3408 # Number of Writes to Int. Register File
+system.cpu.regfile_manager.intRegFileAccesses 8516 # Total Accesses (Read+Write) to the Int. Register File
+system.cpu.regfile_manager.floatRegFileReads 3 # Number of Reads from FP Register File
+system.cpu.regfile_manager.floatRegFileWrites 1 # Number of Writes to FP Register File
+system.cpu.regfile_manager.floatRegFileAccesses 4 # Total Accesses (Read+Write) to the FP Register File
+system.cpu.regfile_manager.regForwards 1344 # Number of Registers Read Through Forwarding Logic
+system.cpu.agen_unit.agens 2228 # Number of Address Generations
+system.cpu.execution_unit.predictedTakenIncorrect 317 # Number of Branches Incorrectly Predicted As Taken.
+system.cpu.execution_unit.predictedNotTakenIncorrect 285 # Number of Branches Incorrectly Predicted As Not Taken).
+system.cpu.execution_unit.mispredicted 602 # Number of Branches Incorrectly Predicted
+system.cpu.execution_unit.predicted 314 # Number of Branches Incorrectly Predicted
+system.cpu.execution_unit.mispredictPct 65.720524 # Percentage of Incorrect Branches Predicts
+system.cpu.execution_unit.executions 3132 # Number of Instructions Executed.
+system.cpu.mult_div_unit.multiplies 3 # Number of Multipy Operations Executed
+system.cpu.mult_div_unit.divides 1 # Number of Divide Operations Executed
+system.cpu.stage0.idleCycles 35846 # Number of cycles 0 instructions are processed.
+system.cpu.stage0.runCycles 3725 # Number of cycles 1+ instructions are processed.
+system.cpu.stage0.utilization 9.413459 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage1.idleCycles 36723 # Number of cycles 0 instructions are processed.
+system.cpu.stage1.runCycles 2848 # Number of cycles 1+ instructions are processed.
+system.cpu.stage1.utilization 7.197190 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage2.idleCycles 36778 # Number of cycles 0 instructions are processed.
+system.cpu.stage2.runCycles 2793 # Number of cycles 1+ instructions are processed.
+system.cpu.stage2.utilization 7.058199 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage3.idleCycles 38328 # Number of cycles 0 instructions are processed.
+system.cpu.stage3.runCycles 1243 # Number of cycles 1+ instructions are processed.
+system.cpu.stage3.utilization 3.141189 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage4.idleCycles 36666 # Number of cycles 0 instructions are processed.
+system.cpu.stage4.runCycles 2905 # Number of cycles 1+ instructions are processed.
+system.cpu.stage4.utilization 7.341235 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.icache.replacements 13 # number of replacements
+system.cpu.icache.tagsinuse 148.138598 # Cycle average of tags in use
+system.cpu.icache.total_refs 443 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 319 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 1.388715 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 148.138598 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.072333 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 443 # number of ReadReq hits
+system.cpu.icache.demand_hits 443 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 443 # number of overall hits
+system.cpu.icache.ReadReq_misses 341 # number of ReadReq misses
+system.cpu.icache.demand_misses 341 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 341 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 19027500 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 19027500 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 19027500 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 784 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 784 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 784 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.434949 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.434949 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.434949 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 55799.120235 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 55799.120235 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 55799.120235 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 29000 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 1 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets 29000 # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.ReadReq_mshr_hits 22 # number of ReadReq MSHR hits
+system.cpu.icache.demand_mshr_hits 22 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 22 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 319 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 319 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 319 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 16952500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 16952500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 16952500 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.406888 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.406888 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.406888 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 53142.633229 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 53142.633229 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 53142.633229 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 89.732679 # Cycle average of tags in use
+system.cpu.dcache.total_refs 1838 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 138 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 13.318841 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 89.732679 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.021907 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 1075 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 763 # number of WriteReq hits
+system.cpu.dcache.demand_hits 1838 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 1838 # number of overall hits
+system.cpu.dcache.ReadReq_misses 89 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 162 # number of WriteReq misses
+system.cpu.dcache.demand_misses 251 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 251 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 5072500 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 8912000 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 13984500 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 13984500 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 1164 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 925 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 2089 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 2089 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.076460 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.175135 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.120153 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.120153 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 56994.382022 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 55012.345679 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 55715.139442 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 55715.139442 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 1153500 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 23 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets 50152.173913 # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.ReadReq_mshr_hits 2 # number of ReadReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits 111 # number of WriteReq MSHR hits
+system.cpu.dcache.demand_mshr_hits 113 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 113 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 87 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 51 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 138 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 138 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 4702500 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 2746000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 7448500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 7448500 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.074742 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.055135 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.066060 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.066060 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 54051.724138 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 53843.137255 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 53974.637681 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 53974.637681 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 205.469583 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 2 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 404 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0.004950 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 205.469583 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.006270 # Average percentage of cache occupancy
+system.cpu.l2cache.ReadReq_hits 2 # number of ReadReq hits
+system.cpu.l2cache.demand_hits 2 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 2 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 404 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 51 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 455 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 455 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 21170500 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 2682500 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 23853000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 23853000 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 406 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 51 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 457 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 457 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 0.995074 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 0.995624 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 0.995624 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 52402.227723 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 52598.039216 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 52424.175824 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 52424.175824 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 404 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 51 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 455 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 455 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 16247000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 2058000 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 18305000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 18305000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 0.995074 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 0.995624 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 0.995624 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 40215.346535 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 40352.941176 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 40230.769231 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 40230.769231 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/mips/linux/o3-timing/config.ini b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/config.ini
new file mode 100644
index 000000000..508c3cad4
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/config.ini
@@ -0,0 +1,535 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=DerivO3CPU
+children=dcache dtb fuPool icache itb l2cache toL2Bus tracer workload
+BTBEntries=4096
+BTBTagSize=16
+LFSTSize=1024
+LQEntries=32
+LSQCheckLoads=true
+LSQDepCheckShift=4
+RASSize=16
+SQEntries=32
+SSITSize=1024
+activity=0
+backComSize=5
+cachePorts=200
+checker=Null
+choiceCtrBits=2
+choicePredictorSize=8192
+clock=500
+commitToDecodeDelay=1
+commitToFetchDelay=1
+commitToIEWDelay=1
+commitToRenameDelay=1
+commitWidth=8
+cpu_id=0
+decodeToFetchDelay=1
+decodeToRenameDelay=1
+decodeWidth=8
+defer_registration=false
+dispatchWidth=8
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+fetchToDecodeDelay=1
+fetchTrapLatency=1
+fetchWidth=8
+forwardComSize=5
+fuPool=system.cpu.fuPool
+function_trace=false
+function_trace_start=0
+globalCtrBits=2
+globalHistoryBits=13
+globalPredictorSize=8192
+iewToCommitDelay=1
+iewToDecodeDelay=1
+iewToFetchDelay=1
+iewToRenameDelay=1
+instShiftAmt=2
+issueToExecuteDelay=1
+issueWidth=8
+itb=system.cpu.itb
+localCtrBits=2
+localHistoryBits=11
+localHistoryTableSize=2048
+localPredictorSize=2048
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numIQEntries=64
+numPhysFloatRegs=256
+numPhysIntRegs=256
+numROBEntries=192
+numRobs=1
+numThreads=1
+phase=0
+predType=tournament
+progress_interval=0
+renameToDecodeDelay=1
+renameToFetchDelay=1
+renameToIEWDelay=2
+renameToROBDelay=1
+renameWidth=8
+smtCommitPolicy=RoundRobin
+smtFetchPolicy=SingleThread
+smtIQPolicy=Partitioned
+smtIQThreshold=100
+smtLSQPolicy=Partitioned
+smtLSQThreshold=100
+smtNumFetchingThreads=1
+smtROBPolicy=Partitioned
+smtROBThreshold=100
+squashWidth=8
+store_set_clear_period=250000
+system=system
+tracer=system.cpu.tracer
+trapLatency=13
+wbDepth=1
+wbWidth=8
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=20
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=MipsTLB
+size=64
+
+[system.cpu.fuPool]
+type=FUPool
+children=FUList0 FUList1 FUList2 FUList3 FUList4 FUList5 FUList6 FUList7 FUList8
+FUList=system.cpu.fuPool.FUList0 system.cpu.fuPool.FUList1 system.cpu.fuPool.FUList2 system.cpu.fuPool.FUList3 system.cpu.fuPool.FUList4 system.cpu.fuPool.FUList5 system.cpu.fuPool.FUList6 system.cpu.fuPool.FUList7 system.cpu.fuPool.FUList8
+
+[system.cpu.fuPool.FUList0]
+type=FUDesc
+children=opList
+count=6
+opList=system.cpu.fuPool.FUList0.opList
+
+[system.cpu.fuPool.FUList0.opList]
+type=OpDesc
+issueLat=1
+opClass=IntAlu
+opLat=1
+
+[system.cpu.fuPool.FUList1]
+type=FUDesc
+children=opList0 opList1
+count=2
+opList=system.cpu.fuPool.FUList1.opList0 system.cpu.fuPool.FUList1.opList1
+
+[system.cpu.fuPool.FUList1.opList0]
+type=OpDesc
+issueLat=1
+opClass=IntMult
+opLat=3
+
+[system.cpu.fuPool.FUList1.opList1]
+type=OpDesc
+issueLat=19
+opClass=IntDiv
+opLat=20
+
+[system.cpu.fuPool.FUList2]
+type=FUDesc
+children=opList0 opList1 opList2
+count=4
+opList=system.cpu.fuPool.FUList2.opList0 system.cpu.fuPool.FUList2.opList1 system.cpu.fuPool.FUList2.opList2
+
+[system.cpu.fuPool.FUList2.opList0]
+type=OpDesc
+issueLat=1
+opClass=FloatAdd
+opLat=2
+
+[system.cpu.fuPool.FUList2.opList1]
+type=OpDesc
+issueLat=1
+opClass=FloatCmp
+opLat=2
+
+[system.cpu.fuPool.FUList2.opList2]
+type=OpDesc
+issueLat=1
+opClass=FloatCvt
+opLat=2
+
+[system.cpu.fuPool.FUList3]
+type=FUDesc
+children=opList0 opList1 opList2
+count=2
+opList=system.cpu.fuPool.FUList3.opList0 system.cpu.fuPool.FUList3.opList1 system.cpu.fuPool.FUList3.opList2
+
+[system.cpu.fuPool.FUList3.opList0]
+type=OpDesc
+issueLat=1
+opClass=FloatMult
+opLat=4
+
+[system.cpu.fuPool.FUList3.opList1]
+type=OpDesc
+issueLat=12
+opClass=FloatDiv
+opLat=12
+
+[system.cpu.fuPool.FUList3.opList2]
+type=OpDesc
+issueLat=24
+opClass=FloatSqrt
+opLat=24
+
+[system.cpu.fuPool.FUList4]
+type=FUDesc
+children=opList
+count=0
+opList=system.cpu.fuPool.FUList4.opList
+
+[system.cpu.fuPool.FUList4.opList]
+type=OpDesc
+issueLat=1
+opClass=MemRead
+opLat=1
+
+[system.cpu.fuPool.FUList5]
+type=FUDesc
+children=opList00 opList01 opList02 opList03 opList04 opList05 opList06 opList07 opList08 opList09 opList10 opList11 opList12 opList13 opList14 opList15 opList16 opList17 opList18 opList19
+count=4
+opList=system.cpu.fuPool.FUList5.opList00 system.cpu.fuPool.FUList5.opList01 system.cpu.fuPool.FUList5.opList02 system.cpu.fuPool.FUList5.opList03 system.cpu.fuPool.FUList5.opList04 system.cpu.fuPool.FUList5.opList05 system.cpu.fuPool.FUList5.opList06 system.cpu.fuPool.FUList5.opList07 system.cpu.fuPool.FUList5.opList08 system.cpu.fuPool.FUList5.opList09 system.cpu.fuPool.FUList5.opList10 system.cpu.fuPool.FUList5.opList11 system.cpu.fuPool.FUList5.opList12 system.cpu.fuPool.FUList5.opList13 system.cpu.fuPool.FUList5.opList14 system.cpu.fuPool.FUList5.opList15 system.cpu.fuPool.FUList5.opList16 system.cpu.fuPool.FUList5.opList17 system.cpu.fuPool.FUList5.opList18 system.cpu.fuPool.FUList5.opList19
+
+[system.cpu.fuPool.FUList5.opList00]
+type=OpDesc
+issueLat=1
+opClass=SimdAdd
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList01]
+type=OpDesc
+issueLat=1
+opClass=SimdAddAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList02]
+type=OpDesc
+issueLat=1
+opClass=SimdAlu
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList03]
+type=OpDesc
+issueLat=1
+opClass=SimdCmp
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList04]
+type=OpDesc
+issueLat=1
+opClass=SimdCvt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList05]
+type=OpDesc
+issueLat=1
+opClass=SimdMisc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList06]
+type=OpDesc
+issueLat=1
+opClass=SimdMult
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList07]
+type=OpDesc
+issueLat=1
+opClass=SimdMultAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList08]
+type=OpDesc
+issueLat=1
+opClass=SimdShift
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList09]
+type=OpDesc
+issueLat=1
+opClass=SimdShiftAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList10]
+type=OpDesc
+issueLat=1
+opClass=SimdSqrt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList11]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatAdd
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList12]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatAlu
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList13]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatCmp
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList14]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatCvt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList15]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatDiv
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList16]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMisc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList17]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMult
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList18]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMultAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList19]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatSqrt
+opLat=1
+
+[system.cpu.fuPool.FUList6]
+type=FUDesc
+children=opList
+count=0
+opList=system.cpu.fuPool.FUList6.opList
+
+[system.cpu.fuPool.FUList6.opList]
+type=OpDesc
+issueLat=1
+opClass=MemWrite
+opLat=1
+
+[system.cpu.fuPool.FUList7]
+type=FUDesc
+children=opList0 opList1
+count=4
+opList=system.cpu.fuPool.FUList7.opList0 system.cpu.fuPool.FUList7.opList1
+
+[system.cpu.fuPool.FUList7.opList0]
+type=OpDesc
+issueLat=1
+opClass=MemRead
+opLat=1
+
+[system.cpu.fuPool.FUList7.opList1]
+type=OpDesc
+issueLat=1
+opClass=MemWrite
+opLat=1
+
+[system.cpu.fuPool.FUList8]
+type=FUDesc
+children=opList
+count=1
+opList=system.cpu.fuPool.FUList8.opList
+
+[system.cpu.fuPool.FUList8.opList]
+type=OpDesc
+issueLat=3
+opClass=IprAccess
+opLat=3
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=20
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=MipsTLB
+size=64
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/mips/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/mips/linux/o3-timing/simerr b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/mips/linux/o3-timing/simout b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/simout
new file mode 100755
index 000000000..eb1e6f70f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:56:13
+gem5 started Jan 23 2012 04:23:41
+gem5 executing on zizzer
+command line: build/MIPS_SE/gem5.opt -d build/MIPS_SE/tests/opt/quick/00.hello/mips/linux/o3-timing -re tests/run.py build/MIPS_SE/tests/opt/quick/00.hello/mips/linux/o3-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello World!
+Exiting @ tick 12272500 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt
new file mode 100644
index 000000000..e49d82dd9
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt
@@ -0,0 +1,492 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000012 # Number of seconds simulated
+sim_ticks 12272500 # Number of ticks simulated
+final_tick 12272500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 65845 # Simulator instruction rate (inst/s)
+host_tick_rate 156294886 # Simulator tick rate (ticks/s)
+host_mem_usage 208908 # Number of bytes of host memory used
+host_seconds 0.08 # Real time elapsed on the host
+sim_insts 5169 # Number of instructions simulated
+system.physmem.bytes_read 30400 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 21312 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 475 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 2477082909 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 1736565492 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 2477082909 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.read_hits 0 # DTB read hits
+system.cpu.dtb.read_misses 0 # DTB read misses
+system.cpu.dtb.read_accesses 0 # DTB read accesses
+system.cpu.dtb.write_hits 0 # DTB write hits
+system.cpu.dtb.write_misses 0 # DTB write misses
+system.cpu.dtb.write_accesses 0 # DTB write accesses
+system.cpu.dtb.hits 0 # DTB hits
+system.cpu.dtb.misses 0 # DTB misses
+system.cpu.dtb.accesses 0 # DTB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.hits 0 # DTB hits
+system.cpu.itb.misses 0 # DTB misses
+system.cpu.itb.accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 8 # Number of system calls
+system.cpu.numCycles 24546 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.BPredUnit.lookups 1975 # Number of BP lookups
+system.cpu.BPredUnit.condPredicted 1343 # Number of conditional branches predicted
+system.cpu.BPredUnit.condIncorrect 399 # Number of conditional branches incorrect
+system.cpu.BPredUnit.BTBLookups 1578 # Number of BTB lookups
+system.cpu.BPredUnit.BTBHits 493 # Number of BTB hits
+system.cpu.BPredUnit.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
+system.cpu.BPredUnit.usedRAS 251 # Number of times the RAS was used to get a target.
+system.cpu.BPredUnit.RASInCorrect 71 # Number of incorrect RAS predictions.
+system.cpu.fetch.icacheStallCycles 7903 # Number of cycles fetch is stalled on an Icache miss
+system.cpu.fetch.Insts 12258 # Number of instructions fetch has processed
+system.cpu.fetch.Branches 1975 # Number of branches that fetch encountered
+system.cpu.fetch.predictedBranches 744 # Number of branches that fetch has predicted taken
+system.cpu.fetch.Cycles 3024 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu.fetch.SquashCycles 1186 # Number of cycles fetch has spent squashing
+system.cpu.fetch.BlockedCycles 756 # Number of cycles fetch has spent blocked
+system.cpu.fetch.MiscStallCycles 1 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
+system.cpu.fetch.PendingTrapStallCycles 145 # Number of stall cycles due to pending traps
+system.cpu.fetch.CacheLines 1781 # Number of cache lines fetched
+system.cpu.fetch.IcacheSquashes 229 # Number of outstanding Icache misses that were squashed
+system.cpu.fetch.rateDist::samples 12608 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::mean 0.972240 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::stdev 2.277843 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::0 9584 76.02% 76.02% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::1 1250 9.91% 85.93% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::2 108 0.86% 86.79% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::3 139 1.10% 87.89% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::4 289 2.29% 90.18% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::5 92 0.73% 90.91% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::6 132 1.05% 91.96% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::7 145 1.15% 93.11% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::8 869 6.89% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::total 12608 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.branchRate 0.080461 # Number of branch fetches per cycle
+system.cpu.fetch.rate 0.499389 # Number of inst fetches per cycle
+system.cpu.decode.IdleCycles 8092 # Number of cycles decode is idle
+system.cpu.decode.BlockedCycles 871 # Number of cycles decode is blocked
+system.cpu.decode.RunCycles 2857 # Number of cycles decode is running
+system.cpu.decode.UnblockCycles 51 # Number of cycles decode is unblocking
+system.cpu.decode.SquashCycles 737 # Number of cycles decode is squashing
+system.cpu.decode.BranchResolved 107 # Number of times decode resolved a branch
+system.cpu.decode.BranchMispred 43 # Number of times decode detected a branch misprediction
+system.cpu.decode.DecodedInsts 11425 # Number of instructions handled by decode
+system.cpu.decode.SquashedInsts 162 # Number of squashed instructions handled by decode
+system.cpu.rename.SquashCycles 737 # Number of cycles rename is squashing
+system.cpu.rename.IdleCycles 8263 # Number of cycles rename is idle
+system.cpu.rename.BlockCycles 258 # Number of cycles rename is blocking
+system.cpu.rename.serializeStallCycles 499 # count of cycles rename stalled for serializing inst
+system.cpu.rename.RunCycles 2740 # Number of cycles rename is running
+system.cpu.rename.UnblockCycles 111 # Number of cycles rename is unblocking
+system.cpu.rename.RenamedInsts 11004 # Number of instructions processed by rename
+system.cpu.rename.LSQFullEvents 101 # Number of times rename has blocked due to LSQ full
+system.cpu.rename.RenamedOperands 6697 # Number of destination operands rename has renamed
+system.cpu.rename.RenameLookups 13109 # Number of register rename lookups that rename has made
+system.cpu.rename.int_rename_lookups 13105 # Number of integer rename lookups
+system.cpu.rename.fp_rename_lookups 4 # Number of floating rename lookups
+system.cpu.rename.CommittedMaps 3410 # Number of HB maps that are committed
+system.cpu.rename.UndoneMaps 3287 # Number of HB maps that are undone due to squashing
+system.cpu.rename.serializingInsts 18 # count of serializing insts renamed
+system.cpu.rename.tempSerializingInsts 11 # count of temporary serializing insts renamed
+system.cpu.rename.skidInsts 281 # count of insts added to the skid buffer
+system.cpu.memDep0.insertedLoads 2346 # Number of loads inserted to the mem dependence unit.
+system.cpu.memDep0.insertedStores 1174 # Number of stores inserted to the mem dependence unit.
+system.cpu.memDep0.conflictingLoads 1 # Number of conflicting loads.
+system.cpu.memDep0.conflictingStores 1 # Number of conflicting stores.
+system.cpu.iq.iqInstsAdded 8640 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu.iq.iqNonSpecInstsAdded 13 # Number of non-speculative instructions added to the IQ
+system.cpu.iq.iqInstsIssued 7815 # Number of instructions issued
+system.cpu.iq.iqSquashedInstsIssued 50 # Number of squashed instructions issued
+system.cpu.iq.iqSquashedInstsExamined 2984 # Number of squashed instructions iterated over during squash; mainly for profiling
+system.cpu.iq.iqSquashedOperandsExamined 1806 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu.iq.iqSquashedNonSpecRemoved 3 # Number of squashed non-spec instructions that were removed
+system.cpu.iq.issued_per_cycle::samples 12608 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::mean 0.619845 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::stdev 1.285923 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::0 9257 73.42% 73.42% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::1 1317 10.45% 83.87% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::2 832 6.60% 90.47% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::3 510 4.05% 94.51% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::4 356 2.82% 97.34% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::5 201 1.59% 98.93% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::6 85 0.67% 99.60% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::7 35 0.28% 99.88% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::8 15 0.12% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::total 12608 # Number of insts issued each cycle
+system.cpu.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntAlu 3 2.05% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntMult 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntDiv 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatAdd 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCmp 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCvt 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatMult 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatDiv 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatSqrt 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAdd 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAlu 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCmp 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCvt 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMisc 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMult 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShift 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdSqrt 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 2.05% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemRead 91 62.33% 64.38% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemWrite 52 35.62% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
+system.cpu.iq.FU_type_0::No_OpClass 0 0.00% 0.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IntAlu 4596 58.81% 58.81% # Type of FU issued
+system.cpu.iq.FU_type_0::IntMult 4 0.05% 58.86% # Type of FU issued
+system.cpu.iq.FU_type_0::IntDiv 2 0.03% 58.89% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatAdd 2 0.03% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatMult 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMult 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShift 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 58.91% # Type of FU issued
+system.cpu.iq.FU_type_0::MemRead 2128 27.23% 86.14% # Type of FU issued
+system.cpu.iq.FU_type_0::MemWrite 1083 13.86% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::total 7815 # Type of FU issued
+system.cpu.iq.rate 0.318382 # Inst issue rate
+system.cpu.iq.fu_busy_cnt 146 # FU busy when requested
+system.cpu.iq.fu_busy_rate 0.018682 # FU busy rate (busy events/executed inst)
+system.cpu.iq.int_inst_queue_reads 28430 # Number of integer instruction queue reads
+system.cpu.iq.int_inst_queue_writes 11643 # Number of integer instruction queue writes
+system.cpu.iq.int_inst_queue_wakeup_accesses 7116 # Number of integer instruction queue wakeup accesses
+system.cpu.iq.fp_inst_queue_reads 4 # Number of floating instruction queue reads
+system.cpu.iq.fp_inst_queue_writes 2 # Number of floating instruction queue writes
+system.cpu.iq.fp_inst_queue_wakeup_accesses 2 # Number of floating instruction queue wakeup accesses
+system.cpu.iq.int_alu_accesses 7959 # Number of integer alu accesses
+system.cpu.iq.fp_alu_accesses 2 # Number of floating point alu accesses
+system.cpu.iew.lsq.thread0.forwLoads 65 # Number of loads that had data forwarded from stores
+system.cpu.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
+system.cpu.iew.lsq.thread0.squashedLoads 1182 # Number of loads squashed
+system.cpu.iew.lsq.thread0.ignoredResponses 4 # Number of memory responses ignored because the instruction is squashed
+system.cpu.iew.lsq.thread0.memOrderViolation 8 # Number of memory ordering violations
+system.cpu.iew.lsq.thread0.squashedStores 249 # Number of stores squashed
+system.cpu.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
+system.cpu.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
+system.cpu.iew.lsq.thread0.rescheduledLoads 0 # Number of loads that were rescheduled
+system.cpu.iew.lsq.thread0.cacheBlocked 0 # Number of times an access to memory failed due to the cache being blocked
+system.cpu.iew.iewIdleCycles 0 # Number of cycles IEW is idle
+system.cpu.iew.iewSquashCycles 737 # Number of cycles IEW is squashing
+system.cpu.iew.iewBlockCycles 165 # Number of cycles IEW is blocking
+system.cpu.iew.iewUnblockCycles 13 # Number of cycles IEW is unblocking
+system.cpu.iew.iewDispatchedInsts 10031 # Number of instructions dispatched to IQ
+system.cpu.iew.iewDispSquashedInsts 128 # Number of squashed instructions skipped by dispatch
+system.cpu.iew.iewDispLoadInsts 2346 # Number of dispatched load instructions
+system.cpu.iew.iewDispStoreInsts 1174 # Number of dispatched store instructions
+system.cpu.iew.iewDispNonSpecInsts 13 # Number of dispatched non-speculative instructions
+system.cpu.iew.iewIQFullEvents 6 # Number of times the IQ has become full, causing a stall
+system.cpu.iew.iewLSQFullEvents 0 # Number of times the LSQ has become full, causing a stall
+system.cpu.iew.memOrderViolationEvents 8 # Number of memory order violations
+system.cpu.iew.predictedTakenIncorrect 107 # Number of branches that were predicted taken incorrectly
+system.cpu.iew.predictedNotTakenIncorrect 309 # Number of branches that were predicted not taken incorrectly
+system.cpu.iew.branchMispredicts 416 # Number of branch mispredicts detected at execute
+system.cpu.iew.iewExecutedInsts 7531 # Number of executed instructions
+system.cpu.iew.iewExecLoadInsts 2028 # Number of load instructions executed
+system.cpu.iew.iewExecSquashedInsts 284 # Number of squashed instructions skipped in execute
+system.cpu.iew.exec_swp 0 # number of swp insts executed
+system.cpu.iew.exec_nop 1378 # number of nop insts executed
+system.cpu.iew.exec_refs 3087 # number of memory reference insts executed
+system.cpu.iew.exec_branches 1271 # Number of branches executed
+system.cpu.iew.exec_stores 1059 # Number of stores executed
+system.cpu.iew.exec_rate 0.306812 # Inst execution rate
+system.cpu.iew.wb_sent 7210 # cumulative count of insts sent to commit
+system.cpu.iew.wb_count 7118 # cumulative count of insts written-back
+system.cpu.iew.wb_producers 2758 # num instructions producing a value
+system.cpu.iew.wb_consumers 3946 # num instructions consuming a value
+system.cpu.iew.wb_penalized 0 # number of instrctions required to write to 'other' IQ
+system.cpu.iew.wb_rate 0.289986 # insts written-back per cycle
+system.cpu.iew.wb_fanout 0.698936 # average fanout of values written-back
+system.cpu.iew.wb_penalized_rate 0 # fraction of instructions written-back that wrote to 'other' IQ
+system.cpu.commit.commitCommittedInsts 5826 # The number of committed instructions
+system.cpu.commit.commitSquashedInsts 4197 # The number of squashed insts skipped by commit
+system.cpu.commit.commitNonSpecStalls 10 # The number of times commit has been forced to stall to communicate backwards
+system.cpu.commit.branchMispredicts 357 # The number of times a branch was mispredicted
+system.cpu.commit.committed_per_cycle::samples 11871 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::mean 0.490776 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::stdev 1.277197 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::0 9472 79.79% 79.79% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::1 966 8.14% 87.93% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::2 656 5.53% 93.45% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::3 321 2.70% 96.16% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::4 147 1.24% 97.40% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::5 102 0.86% 98.26% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::6 64 0.54% 98.80% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::7 41 0.35% 99.14% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::8 102 0.86% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::total 11871 # Number of insts commited each cycle
+system.cpu.commit.count 5826 # Number of instructions committed
+system.cpu.commit.swp_count 0 # Number of s/w prefetches committed
+system.cpu.commit.refs 2089 # Number of memory references committed
+system.cpu.commit.loads 1164 # Number of loads committed
+system.cpu.commit.membars 0 # Number of memory barriers committed
+system.cpu.commit.branches 916 # Number of branches committed
+system.cpu.commit.fp_insts 2 # Number of committed floating point instructions.
+system.cpu.commit.int_insts 5124 # Number of committed integer instructions.
+system.cpu.commit.function_calls 87 # Number of function calls committed.
+system.cpu.commit.bw_lim_events 102 # number cycles where commit BW limit reached
+system.cpu.commit.bw_limited 0 # number of insts not committed due to BW limits
+system.cpu.rob.rob_reads 21779 # The number of ROB reads
+system.cpu.rob.rob_writes 20794 # The number of ROB writes
+system.cpu.timesIdled 251 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 11938 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu.committedInsts 5169 # Number of Instructions Simulated
+system.cpu.committedInsts_total 5169 # Number of Instructions Simulated
+system.cpu.cpi 4.748694 # CPI: Cycles Per Instruction
+system.cpu.cpi_total 4.748694 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.210584 # IPC: Instructions Per Cycle
+system.cpu.ipc_total 0.210584 # IPC: Total IPC of All Threads
+system.cpu.int_regfile_reads 10280 # number of integer regfile reads
+system.cpu.int_regfile_writes 4987 # number of integer regfile writes
+system.cpu.fp_regfile_reads 3 # number of floating regfile reads
+system.cpu.fp_regfile_writes 1 # number of floating regfile writes
+system.cpu.misc_regfile_reads 153 # number of misc regfile reads
+system.cpu.icache.replacements 17 # number of replacements
+system.cpu.icache.tagsinuse 161.224498 # Cycle average of tags in use
+system.cpu.icache.total_refs 1363 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 336 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 4.056548 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 161.224498 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.078723 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 1363 # number of ReadReq hits
+system.cpu.icache.demand_hits 1363 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 1363 # number of overall hits
+system.cpu.icache.ReadReq_misses 418 # number of ReadReq misses
+system.cpu.icache.demand_misses 418 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 418 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 15148000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 15148000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 15148000 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 1781 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 1781 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 1781 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.234700 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.234700 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.234700 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 36239.234450 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 36239.234450 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 36239.234450 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.ReadReq_mshr_hits 82 # number of ReadReq MSHR hits
+system.cpu.icache.demand_mshr_hits 82 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 82 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 336 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 336 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 336 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 11784000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 11784000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 11784000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.188658 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.188658 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.188658 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 35071.428571 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 35071.428571 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 35071.428571 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 92.121984 # Cycle average of tags in use
+system.cpu.dcache.total_refs 2380 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 142 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 16.760563 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 92.121984 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.022491 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 1802 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 578 # number of WriteReq hits
+system.cpu.dcache.demand_hits 2380 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 2380 # number of overall hits
+system.cpu.dcache.ReadReq_misses 133 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 347 # number of WriteReq misses
+system.cpu.dcache.demand_misses 480 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 480 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 4767500 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 11508000 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 16275500 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 16275500 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 1935 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 925 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 2860 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 2860 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.068734 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.375135 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.167832 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.167832 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 35845.864662 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 33164.265130 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 33907.291667 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 33907.291667 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.ReadReq_mshr_hits 42 # number of ReadReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits 296 # number of WriteReq MSHR hits
+system.cpu.dcache.demand_mshr_hits 338 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 338 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 91 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 51 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 142 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 142 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 3272000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 1836000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 5108000 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 5108000 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.047028 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.055135 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.049650 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.049650 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 35956.043956 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 36000 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 35971.830986 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 35971.830986 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 221.521956 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 3 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 424 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0.007075 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 221.521956 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.006760 # Average percentage of cache occupancy
+system.cpu.l2cache.ReadReq_hits 3 # number of ReadReq hits
+system.cpu.l2cache.demand_hits 3 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 3 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 424 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 51 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 475 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 475 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 14561000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 1760500 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 16321500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 16321500 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 427 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 51 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 478 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 478 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 0.992974 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 0.993724 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 0.993724 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 34341.981132 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 34519.607843 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 34361.052632 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 34361.052632 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 424 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 51 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 475 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 475 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 13198000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 1598500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 14796500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 14796500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 0.992974 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 0.993724 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 0.993724 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 31127.358491 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 31343.137255 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 31150.526316 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 31150.526316 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/config.ini b/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/config.ini
new file mode 100644
index 000000000..8bad8df13
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/config.ini
@@ -0,0 +1,102 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=AtomicSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+simulate_data_stalls=false
+simulate_inst_stalls=false
+system=system
+tracer=system.cpu.tracer
+width=1
+workload=system.cpu.workload
+dcache_port=system.membus.port[3]
+icache_port=system.membus.port[2]
+
+[system.cpu.dtb]
+type=MipsTLB
+size=64
+
+[system.cpu.itb]
+type=MipsTLB
+size=64
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/mips/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/simerr b/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/simout b/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/simout
new file mode 100755
index 000000000..4b9270f18
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:56:13
+gem5 started Jan 23 2012 04:23:47
+gem5 executing on zizzer
+command line: build/MIPS_SE/gem5.opt -d build/MIPS_SE/tests/opt/quick/00.hello/mips/linux/simple-atomic -re tests/run.py build/MIPS_SE/tests/opt/quick/00.hello/mips/linux/simple-atomic
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello World!
+Exiting @ tick 2913500 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/stats.txt
new file mode 100644
index 000000000..397c3f1f6
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/stats.txt
@@ -0,0 +1,63 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000003 # Number of seconds simulated
+sim_ticks 2913500 # Number of ticks simulated
+final_tick 2913500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 231601 # Simulator instruction rate (inst/s)
+host_tick_rate 115720913 # Simulator tick rate (ticks/s)
+host_mem_usage 199128 # Number of bytes of host memory used
+host_seconds 0.03 # Real time elapsed on the host
+sim_insts 5827 # Number of instructions simulated
+system.physmem.bytes_read 27687 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 23312 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 3658 # Number of bytes written to this memory
+system.physmem.num_reads 6992 # Number of read requests responded to by this memory
+system.physmem.num_writes 925 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 9503003261 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 8001372919 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 1255534580 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 10758537841 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.read_hits 0 # DTB read hits
+system.cpu.dtb.read_misses 0 # DTB read misses
+system.cpu.dtb.read_accesses 0 # DTB read accesses
+system.cpu.dtb.write_hits 0 # DTB write hits
+system.cpu.dtb.write_misses 0 # DTB write misses
+system.cpu.dtb.write_accesses 0 # DTB write accesses
+system.cpu.dtb.hits 0 # DTB hits
+system.cpu.dtb.misses 0 # DTB misses
+system.cpu.dtb.accesses 0 # DTB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.hits 0 # DTB hits
+system.cpu.itb.misses 0 # DTB misses
+system.cpu.itb.accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 8 # Number of system calls
+system.cpu.numCycles 5828 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 5827 # Number of instructions executed
+system.cpu.num_int_alu_accesses 5126 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 2 # Number of float alu accesses
+system.cpu.num_func_calls 194 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 677 # number of instructions that are conditional controls
+system.cpu.num_int_insts 5126 # number of integer instructions
+system.cpu.num_fp_insts 2 # number of float instructions
+system.cpu.num_int_register_reads 7300 # number of times the integer registers were read
+system.cpu.num_int_register_writes 3409 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 3 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 1 # number of times the floating registers were written
+system.cpu.num_mem_refs 2090 # number of memory refs
+system.cpu.num_load_insts 1164 # Number of load instructions
+system.cpu.num_store_insts 926 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 5828 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/config.ini b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/config.ini
new file mode 100644
index 000000000..e5b4b16c8
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/config.ini
@@ -0,0 +1,268 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000
+time_sync_spin_threshold=100000
+
+[system]
+type=System
+children=cpu dir_cntrl0 l1_cntrl0 physmem ruby sys_port_proxy
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=1
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.l1_cntrl0.sequencer.port[1]
+icache_port=system.l1_cntrl0.sequencer.port[0]
+
+[system.cpu.dtb]
+type=MipsTLB
+size=64
+
+[system.cpu.itb]
+type=MipsTLB
+size=64
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/mips/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer
+buffer_size=0
+cntrl_id=1
+directory=system.dir_cntrl0.directory
+directory_latency=12
+memBuffer=system.dir_cntrl0.memBuffer
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=cacheMemory sequencer
+buffer_size=0
+cacheMemory=system.l1_cntrl0.cacheMemory
+cache_response_latency=12
+cntrl_id=0
+issue_latency=2
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+sequencer=system.l1_cntrl0.sequencer
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.cacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.cacheMemory
+max_outstanding_requests=16
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[0]
+port=system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.l1_cntrl0.sequencer.physMemPort system.sys_port_proxy.physMemPort
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=1
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 int_links0 int_links1 routers0 routers1 routers2
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=2
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=3
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=1
+ruby_system=system.ruby
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[1]
+port=system.system_port
+
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simerr b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simout b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simout
new file mode 100755
index 000000000..f6eaf03f7
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:56:13
+gem5 started Jan 23 2012 04:23:56
+gem5 executing on zizzer
+command line: build/MIPS_SE/gem5.opt -d build/MIPS_SE/tests/opt/quick/00.hello/mips/linux/simple-timing-ruby -re tests/run.py build/MIPS_SE/tests/opt/quick/00.hello/mips/linux/simple-timing-ruby
+Global frequency set at 1000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello World!
+Exiting @ tick 292960 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/stats.txt b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/stats.txt
new file mode 100644
index 000000000..65d0aed82
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-timing-ruby/stats.txt
@@ -0,0 +1,63 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000293 # Number of seconds simulated
+sim_ticks 292960 # Number of ticks simulated
+final_tick 292960 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000 # Frequency of simulated ticks
+host_inst_rate 55801 # Simulator instruction rate (inst/s)
+host_tick_rate 2804966 # Simulator tick rate (ticks/s)
+host_mem_usage 220172 # Number of bytes of host memory used
+host_seconds 0.10 # Real time elapsed on the host
+sim_insts 5827 # Number of instructions simulated
+system.physmem.bytes_read 27687 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 23312 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 3658 # Number of bytes written to this memory
+system.physmem.num_reads 6992 # Number of read requests responded to by this memory
+system.physmem.num_writes 925 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 94507783 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 79574003 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 12486346 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 106994129 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.read_hits 0 # DTB read hits
+system.cpu.dtb.read_misses 0 # DTB read misses
+system.cpu.dtb.read_accesses 0 # DTB read accesses
+system.cpu.dtb.write_hits 0 # DTB write hits
+system.cpu.dtb.write_misses 0 # DTB write misses
+system.cpu.dtb.write_accesses 0 # DTB write accesses
+system.cpu.dtb.hits 0 # DTB hits
+system.cpu.dtb.misses 0 # DTB misses
+system.cpu.dtb.accesses 0 # DTB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.hits 0 # DTB hits
+system.cpu.itb.misses 0 # DTB misses
+system.cpu.itb.accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 8 # Number of system calls
+system.cpu.numCycles 292960 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 5827 # Number of instructions executed
+system.cpu.num_int_alu_accesses 5126 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 2 # Number of float alu accesses
+system.cpu.num_func_calls 194 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 677 # number of instructions that are conditional controls
+system.cpu.num_int_insts 5126 # number of integer instructions
+system.cpu.num_fp_insts 2 # number of float instructions
+system.cpu.num_int_register_reads 7300 # number of times the integer registers were read
+system.cpu.num_int_register_writes 3409 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 3 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 1 # number of times the floating registers were written
+system.cpu.num_mem_refs 2090 # number of memory refs
+system.cpu.num_load_insts 1164 # Number of load instructions
+system.cpu.num_store_insts 926 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 292960 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-timing/config.ini b/tests/quick/se/00.hello/ref/mips/linux/simple-timing/config.ini
new file mode 100644
index 000000000..36444e22d
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-timing/config.ini
@@ -0,0 +1,205 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dcache dtb icache itb l2cache toL2Bus tracer workload
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=MipsTLB
+size=64
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=MipsTLB
+size=64
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=10000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=100000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/mips/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-timing/simerr b/tests/quick/se/00.hello/ref/mips/linux/simple-timing/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-timing/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-timing/simout b/tests/quick/se/00.hello/ref/mips/linux/simple-timing/simout
new file mode 100755
index 000000000..7525d1ad5
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-timing/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:56:13
+gem5 started Jan 23 2012 04:23:52
+gem5 executing on zizzer
+command line: build/MIPS_SE/gem5.opt -d build/MIPS_SE/tests/opt/quick/00.hello/mips/linux/simple-timing -re tests/run.py build/MIPS_SE/tests/opt/quick/00.hello/mips/linux/simple-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello World!
+Exiting @ tick 32088000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/mips/linux/simple-timing/stats.txt
new file mode 100644
index 000000000..566ce19a4
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-timing/stats.txt
@@ -0,0 +1,246 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000032 # Number of seconds simulated
+sim_ticks 32088000 # Number of ticks simulated
+final_tick 32088000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 263412 # Simulator instruction rate (inst/s)
+host_tick_rate 1449372115 # Simulator tick rate (ticks/s)
+host_mem_usage 207940 # Number of bytes of host memory used
+host_seconds 0.02 # Real time elapsed on the host
+sim_insts 5827 # Number of instructions simulated
+system.physmem.bytes_read 28096 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 19264 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 439 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 875592122 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 600349040 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 875592122 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.read_hits 0 # DTB read hits
+system.cpu.dtb.read_misses 0 # DTB read misses
+system.cpu.dtb.read_accesses 0 # DTB read accesses
+system.cpu.dtb.write_hits 0 # DTB write hits
+system.cpu.dtb.write_misses 0 # DTB write misses
+system.cpu.dtb.write_accesses 0 # DTB write accesses
+system.cpu.dtb.hits 0 # DTB hits
+system.cpu.dtb.misses 0 # DTB misses
+system.cpu.dtb.accesses 0 # DTB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.hits 0 # DTB hits
+system.cpu.itb.misses 0 # DTB misses
+system.cpu.itb.accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 8 # Number of system calls
+system.cpu.numCycles 64176 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 5827 # Number of instructions executed
+system.cpu.num_int_alu_accesses 5126 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 2 # Number of float alu accesses
+system.cpu.num_func_calls 194 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 677 # number of instructions that are conditional controls
+system.cpu.num_int_insts 5126 # number of integer instructions
+system.cpu.num_fp_insts 2 # number of float instructions
+system.cpu.num_int_register_reads 7300 # number of times the integer registers were read
+system.cpu.num_int_register_writes 3409 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 3 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 1 # number of times the floating registers were written
+system.cpu.num_mem_refs 2090 # number of memory refs
+system.cpu.num_load_insts 1164 # Number of load instructions
+system.cpu.num_store_insts 926 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 64176 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+system.cpu.icache.replacements 13 # number of replacements
+system.cpu.icache.tagsinuse 132.493866 # Cycle average of tags in use
+system.cpu.icache.total_refs 5526 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 303 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 18.237624 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 132.493866 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.064694 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 5526 # number of ReadReq hits
+system.cpu.icache.demand_hits 5526 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 5526 # number of overall hits
+system.cpu.icache.ReadReq_misses 303 # number of ReadReq misses
+system.cpu.icache.demand_misses 303 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 303 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 16884000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 16884000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 16884000 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 5829 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 5829 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 5829 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.051981 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.051981 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.051981 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 55722.772277 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 55722.772277 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 55722.772277 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 303 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 303 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 303 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 15975000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 15975000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 15975000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.051981 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.051981 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.051981 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 52722.772277 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 52722.772277 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 52722.772277 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 87.458397 # Cycle average of tags in use
+system.cpu.dcache.total_refs 1951 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 138 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 14.137681 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 87.458397 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.021352 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 1077 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 874 # number of WriteReq hits
+system.cpu.dcache.demand_hits 1951 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 1951 # number of overall hits
+system.cpu.dcache.ReadReq_misses 87 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 51 # number of WriteReq misses
+system.cpu.dcache.demand_misses 138 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 138 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 4872000 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 2856000 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 7728000 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 7728000 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 1164 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 925 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 2089 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 2089 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.074742 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.055135 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.066060 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.066060 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 56000 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 56000 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 56000 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 56000 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 87 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 51 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 138 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 138 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 4611000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 2703000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 7314000 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 7314000 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.074742 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.055135 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.066060 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.066060 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 53000 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 53000 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 53000 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 53000 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 188.045319 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 2 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 388 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0.005155 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 188.045319 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.005739 # Average percentage of cache occupancy
+system.cpu.l2cache.ReadReq_hits 2 # number of ReadReq hits
+system.cpu.l2cache.demand_hits 2 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 2 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 388 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 51 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 439 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 439 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 20176000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 2652000 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 22828000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 22828000 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 390 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 51 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 441 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 441 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 0.994872 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 0.995465 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 0.995465 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 52000 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 52000 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 52000 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 52000 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 388 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 51 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 439 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 439 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 15520000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 2040000 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 17560000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 17560000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 0.994872 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 0.995465 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 0.995465 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 40000 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 40000 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 40000 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 40000 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/power/linux/o3-timing/config.ini b/tests/quick/se/00.hello/ref/power/linux/o3-timing/config.ini
new file mode 100644
index 000000000..fb36c719f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/power/linux/o3-timing/config.ini
@@ -0,0 +1,536 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=DerivO3CPU
+children=dcache dtb fuPool icache itb l2cache toL2Bus tracer workload
+BTBEntries=4096
+BTBTagSize=16
+LFSTSize=1024
+LQEntries=32
+LSQCheckLoads=true
+LSQDepCheckShift=4
+RASSize=16
+SQEntries=32
+SSITSize=1024
+UnifiedTLB=true
+activity=0
+backComSize=5
+cachePorts=200
+checker=Null
+choiceCtrBits=2
+choicePredictorSize=8192
+clock=500
+commitToDecodeDelay=1
+commitToFetchDelay=1
+commitToIEWDelay=1
+commitToRenameDelay=1
+commitWidth=8
+cpu_id=0
+decodeToFetchDelay=1
+decodeToRenameDelay=1
+decodeWidth=8
+defer_registration=false
+dispatchWidth=8
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+fetchToDecodeDelay=1
+fetchTrapLatency=1
+fetchWidth=8
+forwardComSize=5
+fuPool=system.cpu.fuPool
+function_trace=false
+function_trace_start=0
+globalCtrBits=2
+globalHistoryBits=13
+globalPredictorSize=8192
+iewToCommitDelay=1
+iewToDecodeDelay=1
+iewToFetchDelay=1
+iewToRenameDelay=1
+instShiftAmt=2
+issueToExecuteDelay=1
+issueWidth=8
+itb=system.cpu.itb
+localCtrBits=2
+localHistoryBits=11
+localHistoryTableSize=2048
+localPredictorSize=2048
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numIQEntries=64
+numPhysFloatRegs=256
+numPhysIntRegs=256
+numROBEntries=192
+numRobs=1
+numThreads=1
+phase=0
+predType=tournament
+progress_interval=0
+renameToDecodeDelay=1
+renameToFetchDelay=1
+renameToIEWDelay=2
+renameToROBDelay=1
+renameWidth=8
+smtCommitPolicy=RoundRobin
+smtFetchPolicy=SingleThread
+smtIQPolicy=Partitioned
+smtIQThreshold=100
+smtLSQPolicy=Partitioned
+smtLSQThreshold=100
+smtNumFetchingThreads=1
+smtROBPolicy=Partitioned
+smtROBThreshold=100
+squashWidth=8
+store_set_clear_period=250000
+system=system
+tracer=system.cpu.tracer
+trapLatency=13
+wbDepth=1
+wbWidth=8
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=20
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=PowerTLB
+size=64
+
+[system.cpu.fuPool]
+type=FUPool
+children=FUList0 FUList1 FUList2 FUList3 FUList4 FUList5 FUList6 FUList7 FUList8
+FUList=system.cpu.fuPool.FUList0 system.cpu.fuPool.FUList1 system.cpu.fuPool.FUList2 system.cpu.fuPool.FUList3 system.cpu.fuPool.FUList4 system.cpu.fuPool.FUList5 system.cpu.fuPool.FUList6 system.cpu.fuPool.FUList7 system.cpu.fuPool.FUList8
+
+[system.cpu.fuPool.FUList0]
+type=FUDesc
+children=opList
+count=6
+opList=system.cpu.fuPool.FUList0.opList
+
+[system.cpu.fuPool.FUList0.opList]
+type=OpDesc
+issueLat=1
+opClass=IntAlu
+opLat=1
+
+[system.cpu.fuPool.FUList1]
+type=FUDesc
+children=opList0 opList1
+count=2
+opList=system.cpu.fuPool.FUList1.opList0 system.cpu.fuPool.FUList1.opList1
+
+[system.cpu.fuPool.FUList1.opList0]
+type=OpDesc
+issueLat=1
+opClass=IntMult
+opLat=3
+
+[system.cpu.fuPool.FUList1.opList1]
+type=OpDesc
+issueLat=19
+opClass=IntDiv
+opLat=20
+
+[system.cpu.fuPool.FUList2]
+type=FUDesc
+children=opList0 opList1 opList2
+count=4
+opList=system.cpu.fuPool.FUList2.opList0 system.cpu.fuPool.FUList2.opList1 system.cpu.fuPool.FUList2.opList2
+
+[system.cpu.fuPool.FUList2.opList0]
+type=OpDesc
+issueLat=1
+opClass=FloatAdd
+opLat=2
+
+[system.cpu.fuPool.FUList2.opList1]
+type=OpDesc
+issueLat=1
+opClass=FloatCmp
+opLat=2
+
+[system.cpu.fuPool.FUList2.opList2]
+type=OpDesc
+issueLat=1
+opClass=FloatCvt
+opLat=2
+
+[system.cpu.fuPool.FUList3]
+type=FUDesc
+children=opList0 opList1 opList2
+count=2
+opList=system.cpu.fuPool.FUList3.opList0 system.cpu.fuPool.FUList3.opList1 system.cpu.fuPool.FUList3.opList2
+
+[system.cpu.fuPool.FUList3.opList0]
+type=OpDesc
+issueLat=1
+opClass=FloatMult
+opLat=4
+
+[system.cpu.fuPool.FUList3.opList1]
+type=OpDesc
+issueLat=12
+opClass=FloatDiv
+opLat=12
+
+[system.cpu.fuPool.FUList3.opList2]
+type=OpDesc
+issueLat=24
+opClass=FloatSqrt
+opLat=24
+
+[system.cpu.fuPool.FUList4]
+type=FUDesc
+children=opList
+count=0
+opList=system.cpu.fuPool.FUList4.opList
+
+[system.cpu.fuPool.FUList4.opList]
+type=OpDesc
+issueLat=1
+opClass=MemRead
+opLat=1
+
+[system.cpu.fuPool.FUList5]
+type=FUDesc
+children=opList00 opList01 opList02 opList03 opList04 opList05 opList06 opList07 opList08 opList09 opList10 opList11 opList12 opList13 opList14 opList15 opList16 opList17 opList18 opList19
+count=4
+opList=system.cpu.fuPool.FUList5.opList00 system.cpu.fuPool.FUList5.opList01 system.cpu.fuPool.FUList5.opList02 system.cpu.fuPool.FUList5.opList03 system.cpu.fuPool.FUList5.opList04 system.cpu.fuPool.FUList5.opList05 system.cpu.fuPool.FUList5.opList06 system.cpu.fuPool.FUList5.opList07 system.cpu.fuPool.FUList5.opList08 system.cpu.fuPool.FUList5.opList09 system.cpu.fuPool.FUList5.opList10 system.cpu.fuPool.FUList5.opList11 system.cpu.fuPool.FUList5.opList12 system.cpu.fuPool.FUList5.opList13 system.cpu.fuPool.FUList5.opList14 system.cpu.fuPool.FUList5.opList15 system.cpu.fuPool.FUList5.opList16 system.cpu.fuPool.FUList5.opList17 system.cpu.fuPool.FUList5.opList18 system.cpu.fuPool.FUList5.opList19
+
+[system.cpu.fuPool.FUList5.opList00]
+type=OpDesc
+issueLat=1
+opClass=SimdAdd
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList01]
+type=OpDesc
+issueLat=1
+opClass=SimdAddAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList02]
+type=OpDesc
+issueLat=1
+opClass=SimdAlu
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList03]
+type=OpDesc
+issueLat=1
+opClass=SimdCmp
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList04]
+type=OpDesc
+issueLat=1
+opClass=SimdCvt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList05]
+type=OpDesc
+issueLat=1
+opClass=SimdMisc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList06]
+type=OpDesc
+issueLat=1
+opClass=SimdMult
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList07]
+type=OpDesc
+issueLat=1
+opClass=SimdMultAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList08]
+type=OpDesc
+issueLat=1
+opClass=SimdShift
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList09]
+type=OpDesc
+issueLat=1
+opClass=SimdShiftAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList10]
+type=OpDesc
+issueLat=1
+opClass=SimdSqrt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList11]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatAdd
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList12]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatAlu
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList13]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatCmp
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList14]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatCvt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList15]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatDiv
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList16]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMisc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList17]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMult
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList18]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMultAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList19]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatSqrt
+opLat=1
+
+[system.cpu.fuPool.FUList6]
+type=FUDesc
+children=opList
+count=0
+opList=system.cpu.fuPool.FUList6.opList
+
+[system.cpu.fuPool.FUList6.opList]
+type=OpDesc
+issueLat=1
+opClass=MemWrite
+opLat=1
+
+[system.cpu.fuPool.FUList7]
+type=FUDesc
+children=opList0 opList1
+count=4
+opList=system.cpu.fuPool.FUList7.opList0 system.cpu.fuPool.FUList7.opList1
+
+[system.cpu.fuPool.FUList7.opList0]
+type=OpDesc
+issueLat=1
+opClass=MemRead
+opLat=1
+
+[system.cpu.fuPool.FUList7.opList1]
+type=OpDesc
+issueLat=1
+opClass=MemWrite
+opLat=1
+
+[system.cpu.fuPool.FUList8]
+type=FUDesc
+children=opList
+count=1
+opList=system.cpu.fuPool.FUList8.opList
+
+[system.cpu.fuPool.FUList8.opList]
+type=OpDesc
+issueLat=3
+opClass=IprAccess
+opLat=3
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=20
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=PowerTLB
+size=64
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/power/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/power/linux/o3-timing/simerr b/tests/quick/se/00.hello/ref/power/linux/o3-timing/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/power/linux/o3-timing/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/power/linux/o3-timing/simout b/tests/quick/se/00.hello/ref/power/linux/o3-timing/simout
new file mode 100755
index 000000000..8cb241542
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/power/linux/o3-timing/simout
@@ -0,0 +1,11 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:58:39
+gem5 started Jan 23 2012 04:24:00
+gem5 executing on zizzer
+command line: build/POWER_SE/gem5.opt -d build/POWER_SE/tests/opt/quick/00.hello/power/linux/o3-timing -re tests/run.py build/POWER_SE/tests/opt/quick/00.hello/power/linux/o3-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+Hello world!
+Exiting @ tick 10910500 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/power/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/power/linux/o3-timing/stats.txt
new file mode 100644
index 000000000..5a2ad1a0a
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/power/linux/o3-timing/stats.txt
@@ -0,0 +1,491 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000011 # Number of seconds simulated
+sim_ticks 10910500 # Number of ticks simulated
+final_tick 10910500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 80565 # Simulator instruction rate (inst/s)
+host_tick_rate 151515044 # Simulator tick rate (ticks/s)
+host_mem_usage 205800 # Number of bytes of host memory used
+host_seconds 0.07 # Real time elapsed on the host
+sim_insts 5800 # Number of instructions simulated
+system.physmem.bytes_read 28608 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 22016 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 447 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 2622061317 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 2017872691 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 2622061317 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.read_hits 0 # DTB read hits
+system.cpu.dtb.read_misses 0 # DTB read misses
+system.cpu.dtb.read_accesses 0 # DTB read accesses
+system.cpu.dtb.write_hits 0 # DTB write hits
+system.cpu.dtb.write_misses 0 # DTB write misses
+system.cpu.dtb.write_accesses 0 # DTB write accesses
+system.cpu.dtb.hits 0 # DTB hits
+system.cpu.dtb.misses 0 # DTB misses
+system.cpu.dtb.accesses 0 # DTB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.hits 0 # DTB hits
+system.cpu.itb.misses 0 # DTB misses
+system.cpu.itb.accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 9 # Number of system calls
+system.cpu.numCycles 21822 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.BPredUnit.lookups 2297 # Number of BP lookups
+system.cpu.BPredUnit.condPredicted 1905 # Number of conditional branches predicted
+system.cpu.BPredUnit.condIncorrect 402 # Number of conditional branches incorrect
+system.cpu.BPredUnit.BTBLookups 1853 # Number of BTB lookups
+system.cpu.BPredUnit.BTBHits 666 # Number of BTB hits
+system.cpu.BPredUnit.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
+system.cpu.BPredUnit.usedRAS 189 # Number of times the RAS was used to get a target.
+system.cpu.BPredUnit.RASInCorrect 30 # Number of incorrect RAS predictions.
+system.cpu.fetch.icacheStallCycles 6507 # Number of cycles fetch is stalled on an Icache miss
+system.cpu.fetch.Insts 12976 # Number of instructions fetch has processed
+system.cpu.fetch.Branches 2297 # Number of branches that fetch encountered
+system.cpu.fetch.predictedBranches 855 # Number of branches that fetch has predicted taken
+system.cpu.fetch.Cycles 2210 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu.fetch.SquashCycles 1212 # Number of cycles fetch has spent squashing
+system.cpu.fetch.BlockedCycles 909 # Number of cycles fetch has spent blocked
+system.cpu.fetch.MiscStallCycles 1 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
+system.cpu.fetch.CacheLines 1711 # Number of cache lines fetched
+system.cpu.fetch.IcacheSquashes 280 # Number of outstanding Icache misses that were squashed
+system.cpu.fetch.rateDist::samples 10433 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::mean 1.243746 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::stdev 2.642546 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::0 8223 78.82% 78.82% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::1 152 1.46% 80.27% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::2 173 1.66% 81.93% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::3 127 1.22% 83.15% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::4 217 2.08% 85.23% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::5 137 1.31% 86.54% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::6 283 2.71% 89.26% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::7 122 1.17% 90.42% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::8 999 9.58% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::total 10433 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.branchRate 0.105261 # Number of branch fetches per cycle
+system.cpu.fetch.rate 0.594629 # Number of inst fetches per cycle
+system.cpu.decode.IdleCycles 6670 # Number of cycles decode is idle
+system.cpu.decode.BlockedCycles 983 # Number of cycles decode is blocked
+system.cpu.decode.RunCycles 2045 # Number of cycles decode is running
+system.cpu.decode.UnblockCycles 79 # Number of cycles decode is unblocking
+system.cpu.decode.SquashCycles 656 # Number of cycles decode is squashing
+system.cpu.decode.BranchResolved 304 # Number of times decode resolved a branch
+system.cpu.decode.BranchMispred 152 # Number of times decode detected a branch misprediction
+system.cpu.decode.DecodedInsts 11459 # Number of instructions handled by decode
+system.cpu.decode.SquashedInsts 428 # Number of squashed instructions handled by decode
+system.cpu.rename.SquashCycles 656 # Number of cycles rename is squashing
+system.cpu.rename.IdleCycles 6866 # Number of cycles rename is idle
+system.cpu.rename.BlockCycles 379 # Number of cycles rename is blocking
+system.cpu.rename.serializeStallCycles 350 # count of cycles rename stalled for serializing inst
+system.cpu.rename.RunCycles 1920 # Number of cycles rename is running
+system.cpu.rename.UnblockCycles 262 # Number of cycles rename is unblocking
+system.cpu.rename.RenamedInsts 10928 # Number of instructions processed by rename
+system.cpu.rename.IQFullEvents 7 # Number of times rename has blocked due to IQ full
+system.cpu.rename.LSQFullEvents 207 # Number of times rename has blocked due to LSQ full
+system.cpu.rename.RenamedOperands 9549 # Number of destination operands rename has renamed
+system.cpu.rename.RenameLookups 17852 # Number of register rename lookups that rename has made
+system.cpu.rename.int_rename_lookups 17781 # Number of integer rename lookups
+system.cpu.rename.fp_rename_lookups 71 # Number of floating rename lookups
+system.cpu.rename.CommittedMaps 5007 # Number of HB maps that are committed
+system.cpu.rename.UndoneMaps 4542 # Number of HB maps that are undone due to squashing
+system.cpu.rename.serializingInsts 25 # count of serializing insts renamed
+system.cpu.rename.tempSerializingInsts 25 # count of temporary serializing insts renamed
+system.cpu.rename.skidInsts 544 # count of insts added to the skid buffer
+system.cpu.memDep0.insertedLoads 1864 # Number of loads inserted to the mem dependence unit.
+system.cpu.memDep0.insertedStores 1573 # Number of stores inserted to the mem dependence unit.
+system.cpu.memDep0.conflictingLoads 52 # Number of conflicting loads.
+system.cpu.memDep0.conflictingStores 44 # Number of conflicting stores.
+system.cpu.iq.iqInstsAdded 9933 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu.iq.iqNonSpecInstsAdded 69 # Number of non-speculative instructions added to the IQ
+system.cpu.iq.iqInstsIssued 8536 # Number of instructions issued
+system.cpu.iq.iqSquashedInstsIssued 64 # Number of squashed instructions issued
+system.cpu.iq.iqSquashedInstsExamined 3878 # Number of squashed instructions iterated over during squash; mainly for profiling
+system.cpu.iq.iqSquashedOperandsExamined 3544 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu.iq.iqSquashedNonSpecRemoved 53 # Number of squashed non-spec instructions that were removed
+system.cpu.iq.issued_per_cycle::samples 10433 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::mean 0.818173 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::stdev 1.531685 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::0 7234 69.34% 69.34% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::1 1021 9.79% 79.12% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::2 762 7.30% 86.43% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::3 472 4.52% 90.95% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::4 448 4.29% 95.25% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::5 290 2.78% 98.03% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::6 132 1.27% 99.29% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::7 51 0.49% 99.78% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::8 23 0.22% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::total 10433 # Number of insts issued each cycle
+system.cpu.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntAlu 9 5.84% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntMult 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntDiv 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatAdd 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCmp 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCvt 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatMult 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatDiv 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatSqrt 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAdd 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAlu 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCmp 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCvt 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMisc 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMult 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShift 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdSqrt 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 5.84% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemRead 68 44.16% 50.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemWrite 77 50.00% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
+system.cpu.iq.FU_type_0::No_OpClass 0 0.00% 0.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IntAlu 5388 63.12% 63.12% # Type of FU issued
+system.cpu.iq.FU_type_0::IntMult 0 0.00% 63.12% # Type of FU issued
+system.cpu.iq.FU_type_0::IntDiv 0 0.00% 63.12% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatAdd 2 0.02% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatMult 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMult 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShift 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 63.14% # Type of FU issued
+system.cpu.iq.FU_type_0::MemRead 1717 20.11% 83.26% # Type of FU issued
+system.cpu.iq.FU_type_0::MemWrite 1429 16.74% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::total 8536 # Type of FU issued
+system.cpu.iq.rate 0.391165 # Inst issue rate
+system.cpu.iq.fu_busy_cnt 154 # FU busy when requested
+system.cpu.iq.fu_busy_rate 0.018041 # FU busy rate (busy events/executed inst)
+system.cpu.iq.int_inst_queue_reads 27649 # Number of integer instruction queue reads
+system.cpu.iq.int_inst_queue_writes 13831 # Number of integer instruction queue writes
+system.cpu.iq.int_inst_queue_wakeup_accesses 7849 # Number of integer instruction queue wakeup accesses
+system.cpu.iq.fp_inst_queue_reads 74 # Number of floating instruction queue reads
+system.cpu.iq.fp_inst_queue_writes 52 # Number of floating instruction queue writes
+system.cpu.iq.fp_inst_queue_wakeup_accesses 30 # Number of floating instruction queue wakeup accesses
+system.cpu.iq.int_alu_accesses 8652 # Number of integer alu accesses
+system.cpu.iq.fp_alu_accesses 38 # Number of floating point alu accesses
+system.cpu.iew.lsq.thread0.forwLoads 68 # Number of loads that had data forwarded from stores
+system.cpu.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
+system.cpu.iew.lsq.thread0.squashedLoads 902 # Number of loads squashed
+system.cpu.iew.lsq.thread0.ignoredResponses 1 # Number of memory responses ignored because the instruction is squashed
+system.cpu.iew.lsq.thread0.memOrderViolation 6 # Number of memory ordering violations
+system.cpu.iew.lsq.thread0.squashedStores 527 # Number of stores squashed
+system.cpu.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
+system.cpu.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
+system.cpu.iew.lsq.thread0.rescheduledLoads 1 # Number of loads that were rescheduled
+system.cpu.iew.lsq.thread0.cacheBlocked 0 # Number of times an access to memory failed due to the cache being blocked
+system.cpu.iew.iewIdleCycles 0 # Number of cycles IEW is idle
+system.cpu.iew.iewSquashCycles 656 # Number of cycles IEW is squashing
+system.cpu.iew.iewBlockCycles 186 # Number of cycles IEW is blocking
+system.cpu.iew.iewUnblockCycles 23 # Number of cycles IEW is unblocking
+system.cpu.iew.iewDispatchedInsts 10002 # Number of instructions dispatched to IQ
+system.cpu.iew.iewDispSquashedInsts 43 # Number of squashed instructions skipped by dispatch
+system.cpu.iew.iewDispLoadInsts 1864 # Number of dispatched load instructions
+system.cpu.iew.iewDispStoreInsts 1573 # Number of dispatched store instructions
+system.cpu.iew.iewDispNonSpecInsts 60 # Number of dispatched non-speculative instructions
+system.cpu.iew.iewIQFullEvents 14 # Number of times the IQ has become full, causing a stall
+system.cpu.iew.iewLSQFullEvents 0 # Number of times the LSQ has become full, causing a stall
+system.cpu.iew.memOrderViolationEvents 6 # Number of memory order violations
+system.cpu.iew.predictedTakenIncorrect 62 # Number of branches that were predicted taken incorrectly
+system.cpu.iew.predictedNotTakenIncorrect 238 # Number of branches that were predicted not taken incorrectly
+system.cpu.iew.branchMispredicts 300 # Number of branch mispredicts detected at execute
+system.cpu.iew.iewExecutedInsts 8170 # Number of executed instructions
+system.cpu.iew.iewExecLoadInsts 1611 # Number of load instructions executed
+system.cpu.iew.iewExecSquashedInsts 366 # Number of squashed instructions skipped in execute
+system.cpu.iew.exec_swp 0 # number of swp insts executed
+system.cpu.iew.exec_nop 0 # number of nop insts executed
+system.cpu.iew.exec_refs 2952 # number of memory reference insts executed
+system.cpu.iew.exec_branches 1313 # Number of branches executed
+system.cpu.iew.exec_stores 1341 # Number of stores executed
+system.cpu.iew.exec_rate 0.374393 # Inst execution rate
+system.cpu.iew.wb_sent 7993 # cumulative count of insts sent to commit
+system.cpu.iew.wb_count 7879 # cumulative count of insts written-back
+system.cpu.iew.wb_producers 4173 # num instructions producing a value
+system.cpu.iew.wb_consumers 6691 # num instructions consuming a value
+system.cpu.iew.wb_penalized 0 # number of instrctions required to write to 'other' IQ
+system.cpu.iew.wb_rate 0.361058 # insts written-back per cycle
+system.cpu.iew.wb_fanout 0.623674 # average fanout of values written-back
+system.cpu.iew.wb_penalized_rate 0 # fraction of instructions written-back that wrote to 'other' IQ
+system.cpu.commit.commitCommittedInsts 5800 # The number of committed instructions
+system.cpu.commit.commitSquashedInsts 4208 # The number of squashed insts skipped by commit
+system.cpu.commit.commitNonSpecStalls 16 # The number of times commit has been forced to stall to communicate backwards
+system.cpu.commit.branchMispredicts 252 # The number of times a branch was mispredicted
+system.cpu.commit.committed_per_cycle::samples 9777 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::mean 0.593229 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::stdev 1.375317 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::0 7386 75.54% 75.54% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::1 981 10.03% 85.58% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::2 642 6.57% 92.14% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::3 262 2.68% 94.82% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::4 190 1.94% 96.77% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::5 116 1.19% 97.95% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::6 75 0.77% 98.72% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::7 41 0.42% 99.14% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::8 84 0.86% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::total 9777 # Number of insts commited each cycle
+system.cpu.commit.count 5800 # Number of instructions committed
+system.cpu.commit.swp_count 0 # Number of s/w prefetches committed
+system.cpu.commit.refs 2008 # Number of memory references committed
+system.cpu.commit.loads 962 # Number of loads committed
+system.cpu.commit.membars 7 # Number of memory barriers committed
+system.cpu.commit.branches 1038 # Number of branches committed
+system.cpu.commit.fp_insts 22 # Number of committed floating point instructions.
+system.cpu.commit.int_insts 5706 # Number of committed integer instructions.
+system.cpu.commit.function_calls 103 # Number of function calls committed.
+system.cpu.commit.bw_lim_events 84 # number cycles where commit BW limit reached
+system.cpu.commit.bw_limited 0 # number of insts not committed due to BW limits
+system.cpu.rob.rob_reads 19701 # The number of ROB reads
+system.cpu.rob.rob_writes 20673 # The number of ROB writes
+system.cpu.timesIdled 218 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 11389 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu.committedInsts 5800 # Number of Instructions Simulated
+system.cpu.committedInsts_total 5800 # Number of Instructions Simulated
+system.cpu.cpi 3.762414 # CPI: Cycles Per Instruction
+system.cpu.cpi_total 3.762414 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.265787 # IPC: Instructions Per Cycle
+system.cpu.ipc_total 0.265787 # IPC: Total IPC of All Threads
+system.cpu.int_regfile_reads 12979 # number of integer regfile reads
+system.cpu.int_regfile_writes 6957 # number of integer regfile writes
+system.cpu.fp_regfile_reads 28 # number of floating regfile reads
+system.cpu.fp_regfile_writes 2 # number of floating regfile writes
+system.cpu.icache.replacements 0 # number of replacements
+system.cpu.icache.tagsinuse 169.539680 # Cycle average of tags in use
+system.cpu.icache.total_refs 1291 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 351 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 3.678063 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 169.539680 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.082783 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 1291 # number of ReadReq hits
+system.cpu.icache.demand_hits 1291 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 1291 # number of overall hits
+system.cpu.icache.ReadReq_misses 420 # number of ReadReq misses
+system.cpu.icache.demand_misses 420 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 420 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 15114500 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 15114500 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 15114500 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 1711 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 1711 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 1711 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.245470 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.245470 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.245470 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 35986.904762 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 35986.904762 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 35986.904762 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.ReadReq_mshr_hits 69 # number of ReadReq MSHR hits
+system.cpu.icache.demand_mshr_hits 69 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 69 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 351 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 351 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 351 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 12207500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 12207500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 12207500 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.205143 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.205143 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.205143 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 34779.202279 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 34779.202279 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 34779.202279 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 66.296919 # Cycle average of tags in use
+system.cpu.dcache.total_refs 2156 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 105 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 20.533333 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 66.296919 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.016186 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 1428 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 728 # number of WriteReq hits
+system.cpu.dcache.demand_hits 2156 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 2156 # number of overall hits
+system.cpu.dcache.ReadReq_misses 88 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 318 # number of WriteReq misses
+system.cpu.dcache.demand_misses 406 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 406 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 2947000 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 10802500 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 13749500 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 13749500 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 1516 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 1046 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 2562 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 2562 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.058047 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.304015 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.158470 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.158470 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 33488.636364 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 33970.125786 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 33865.763547 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 33865.763547 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.ReadReq_mshr_hits 31 # number of ReadReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits 270 # number of WriteReq MSHR hits
+system.cpu.dcache.demand_mshr_hits 301 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 301 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 57 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 48 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 105 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 105 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 1963500 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 1751000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 3714500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 3714500 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.037599 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.045889 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.040984 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.040984 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 34447.368421 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 36479.166667 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 35376.190476 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 35376.190476 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 200.613051 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 9 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 399 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0.022556 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 200.613051 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.006122 # Average percentage of cache occupancy
+system.cpu.l2cache.ReadReq_hits 9 # number of ReadReq hits
+system.cpu.l2cache.demand_hits 9 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 9 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 399 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 48 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 447 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 447 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 13714000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 1678500 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 15392500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 15392500 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 408 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 48 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 456 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 456 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 0.977941 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 0.980263 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 0.980263 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 34370.927318 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 34968.750000 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 34435.123043 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 34435.123043 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 399 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 48 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 447 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 447 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 12434000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 1526000 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 13960000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 13960000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 0.977941 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 0.980263 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 0.980263 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 31162.907268 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 31791.666667 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 31230.425056 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 31230.425056 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/power/linux/simple-atomic/config.ini b/tests/quick/se/00.hello/ref/power/linux/simple-atomic/config.ini
new file mode 100644
index 000000000..f4325cdae
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/power/linux/simple-atomic/config.ini
@@ -0,0 +1,103 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=AtomicSimpleCPU
+children=dtb itb tracer workload
+UnifiedTLB=true
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+simulate_data_stalls=false
+simulate_inst_stalls=false
+system=system
+tracer=system.cpu.tracer
+width=1
+workload=system.cpu.workload
+dcache_port=system.membus.port[3]
+icache_port=system.membus.port[2]
+
+[system.cpu.dtb]
+type=PowerTLB
+size=64
+
+[system.cpu.itb]
+type=PowerTLB
+size=64
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/power/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/power/linux/simple-atomic/simerr b/tests/quick/se/00.hello/ref/power/linux/simple-atomic/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/power/linux/simple-atomic/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/power/linux/simple-atomic/simout b/tests/quick/se/00.hello/ref/power/linux/simple-atomic/simout
new file mode 100755
index 000000000..ef2f9ace6
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/power/linux/simple-atomic/simout
@@ -0,0 +1,11 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 03:58:39
+gem5 started Jan 23 2012 04:24:03
+gem5 executing on zizzer
+command line: build/POWER_SE/gem5.opt -d build/POWER_SE/tests/opt/quick/00.hello/power/linux/simple-atomic -re tests/run.py build/POWER_SE/tests/opt/quick/00.hello/power/linux/simple-atomic
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+Hello world!
+Exiting @ tick 2900000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/power/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/power/linux/simple-atomic/stats.txt
new file mode 100644
index 000000000..5070ee2a1
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/power/linux/simple-atomic/stats.txt
@@ -0,0 +1,63 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000003 # Number of seconds simulated
+sim_ticks 2900000 # Number of ticks simulated
+final_tick 2900000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 305071 # Simulator instruction rate (inst/s)
+host_tick_rate 152367478 # Simulator tick rate (ticks/s)
+host_mem_usage 196296 # Number of bytes of host memory used
+host_seconds 0.02 # Real time elapsed on the host
+sim_insts 5801 # Number of instructions simulated
+system.physmem.bytes_read 26925 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 23204 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 4209 # Number of bytes written to this memory
+system.physmem.num_reads 6763 # Number of read requests responded to by this memory
+system.physmem.num_writes 1046 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 9284482759 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 8001379310 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 1451379310 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 10735862069 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.dtb.read_hits 0 # DTB read hits
+system.cpu.dtb.read_misses 0 # DTB read misses
+system.cpu.dtb.read_accesses 0 # DTB read accesses
+system.cpu.dtb.write_hits 0 # DTB write hits
+system.cpu.dtb.write_misses 0 # DTB write misses
+system.cpu.dtb.write_accesses 0 # DTB write accesses
+system.cpu.dtb.hits 0 # DTB hits
+system.cpu.dtb.misses 0 # DTB misses
+system.cpu.dtb.accesses 0 # DTB accesses
+system.cpu.itb.read_hits 0 # DTB read hits
+system.cpu.itb.read_misses 0 # DTB read misses
+system.cpu.itb.read_accesses 0 # DTB read accesses
+system.cpu.itb.write_hits 0 # DTB write hits
+system.cpu.itb.write_misses 0 # DTB write misses
+system.cpu.itb.write_accesses 0 # DTB write accesses
+system.cpu.itb.hits 0 # DTB hits
+system.cpu.itb.misses 0 # DTB misses
+system.cpu.itb.accesses 0 # DTB accesses
+system.cpu.workload.num_syscalls 9 # Number of system calls
+system.cpu.numCycles 5801 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 5801 # Number of instructions executed
+system.cpu.num_int_alu_accesses 5706 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 22 # Number of float alu accesses
+system.cpu.num_func_calls 200 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 896 # number of instructions that are conditional controls
+system.cpu.num_int_insts 5706 # number of integer instructions
+system.cpu.num_fp_insts 22 # number of float instructions
+system.cpu.num_int_register_reads 9541 # number of times the integer registers were read
+system.cpu.num_int_register_writes 5005 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 20 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 2 # number of times the floating registers were written
+system.cpu.num_mem_refs 2008 # number of memory refs
+system.cpu.num_load_insts 962 # Number of load instructions
+system.cpu.num_store_insts 1046 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 5801 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/config.ini b/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/config.ini
new file mode 100644
index 000000000..32a7f4ad9
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/config.ini
@@ -0,0 +1,240 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=InOrderCPU
+children=dcache dtb icache itb l2cache toL2Bus tracer workload
+BTBEntries=4096
+BTBTagSize=16
+RASSize=16
+activity=0
+cachePorts=2
+checker=Null
+choiceCtrBits=2
+choicePredictorSize=8192
+clock=500
+cpu_id=0
+dataMemPort=dcache_port
+defer_registration=false
+div16Latency=1
+div16RepeatRate=1
+div24Latency=1
+div24RepeatRate=1
+div32Latency=1
+div32RepeatRate=1
+div8Latency=1
+div8RepeatRate=1
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+fetchBuffSize=4
+fetchMemPort=icache_port
+functionTrace=false
+functionTraceStart=0
+function_trace=false
+function_trace_start=0
+globalCtrBits=2
+globalHistoryBits=13
+globalPredictorSize=8192
+instShiftAmt=2
+itb=system.cpu.itb
+localCtrBits=2
+localHistoryBits=11
+localHistoryTableSize=2048
+localPredictorSize=2048
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+memBlockSize=64
+multLatency=1
+multRepeatRate=1
+numThreads=1
+phase=0
+predType=tournament
+progress_interval=0
+stageTracing=false
+stageWidth=4
+system=system
+threadModel=SMT
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=SparcTLB
+size=64
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=SparcTLB
+size=64
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=10000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=100000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/sparc/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/simerr b/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/simout b/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/simout
new file mode 100755
index 000000000..024efc4d5
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/simout
@@ -0,0 +1,10 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:02:00
+gem5 started Jan 23 2012 04:24:09
+gem5 executing on zizzer
+command line: build/SPARC_SE/gem5.opt -d build/SPARC_SE/tests/opt/quick/00.hello/sparc/linux/inorder-timing -re tests/run.py build/SPARC_SE/tests/opt/quick/00.hello/sparc/linux/inorder-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+Hello World!Exiting @ tick 18201500 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/stats.txt b/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/stats.txt
new file mode 100644
index 000000000..1ce5039d0
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/stats.txt
@@ -0,0 +1,277 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000018 # Number of seconds simulated
+sim_ticks 18201500 # Number of ticks simulated
+final_tick 18201500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 29731 # Simulator instruction rate (inst/s)
+host_tick_rate 101330259 # Simulator tick rate (ticks/s)
+host_mem_usage 213072 # Number of bytes of host memory used
+host_seconds 0.18 # Real time elapsed on the host
+sim_insts 5340 # Number of instructions simulated
+system.physmem.bytes_read 27072 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 18496 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 423 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 1487349944 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 1016179985 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 1487349944 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.workload.num_syscalls 11 # Number of system calls
+system.cpu.numCycles 36404 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.contextSwitches 1 # Number of context switches
+system.cpu.threadCycles 9720 # Total Number of Cycles A Thread Was Active in CPU (Per-Thread)
+system.cpu.smtCycles 0 # Total number of cycles that the CPU was in SMT-mode
+system.cpu.timesIdled 421 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 30130 # Number of cycles cpu's stages were not processed
+system.cpu.runCycles 6274 # Number of cycles cpu stages are processed.
+system.cpu.activity 17.234370 # Percentage of cycles cpu is active
+system.cpu.comLoads 716 # Number of Load instructions committed
+system.cpu.comStores 673 # Number of Store instructions committed
+system.cpu.comBranches 1116 # Number of Branches instructions committed
+system.cpu.comNops 173 # Number of Nop instructions committed
+system.cpu.comNonSpec 106 # Number of Non-Speculative instructions committed
+system.cpu.comInts 2537 # Number of Integer instructions committed
+system.cpu.comFloats 0 # Number of Floating Point instructions committed
+system.cpu.committedInsts 5340 # Number of Instructions Simulated (Per-Thread)
+system.cpu.smtCommittedInsts 0 # Number of SMT Instructions Simulated (Per-Thread)
+system.cpu.committedInsts_total 5340 # Number of Instructions Simulated (Total)
+system.cpu.cpi 6.817228 # CPI: Cycles Per Instruction (Per-Thread)
+system.cpu.smt_cpi no_value # CPI: Total SMT-CPI
+system.cpu.cpi_total 6.817228 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.146687 # IPC: Instructions Per Cycle (Per-Thread)
+system.cpu.smt_ipc no_value # IPC: Total SMT-IPC
+system.cpu.ipc_total 0.146687 # IPC: Total IPC of All Threads
+system.cpu.branch_predictor.lookups 1662 # Number of BP lookups
+system.cpu.branch_predictor.condPredicted 1123 # Number of conditional branches predicted
+system.cpu.branch_predictor.condIncorrect 899 # Number of conditional branches incorrect
+system.cpu.branch_predictor.BTBLookups 1455 # Number of BTB lookups
+system.cpu.branch_predictor.BTBHits 643 # Number of BTB hits
+system.cpu.branch_predictor.usedRAS 67 # Number of times the RAS was used to get a target.
+system.cpu.branch_predictor.RASInCorrect 4 # Number of incorrect RAS predictions.
+system.cpu.branch_predictor.BTBHitPct 44.192440 # BTB Hit Percentage
+system.cpu.branch_predictor.predictedTaken 710 # Number of Branches Predicted As Taken (True).
+system.cpu.branch_predictor.predictedNotTaken 952 # Number of Branches Predicted As Not Taken (False).
+system.cpu.regfile_manager.intRegFileReads 5612 # Number of Reads from Int. Register File
+system.cpu.regfile_manager.intRegFileWrites 4000 # Number of Writes to Int. Register File
+system.cpu.regfile_manager.intRegFileAccesses 9612 # Total Accesses (Read+Write) to the Int. Register File
+system.cpu.regfile_manager.floatRegFileReads 0 # Number of Reads from FP Register File
+system.cpu.regfile_manager.floatRegFileWrites 0 # Number of Writes to FP Register File
+system.cpu.regfile_manager.floatRegFileAccesses 0 # Total Accesses (Read+Write) to the FP Register File
+system.cpu.regfile_manager.regForwards 1747 # Number of Registers Read Through Forwarding Logic
+system.cpu.agen_unit.agens 1473 # Number of Address Generations
+system.cpu.execution_unit.predictedTakenIncorrect 394 # Number of Branches Incorrectly Predicted As Taken.
+system.cpu.execution_unit.predictedNotTakenIncorrect 442 # Number of Branches Incorrectly Predicted As Not Taken).
+system.cpu.execution_unit.mispredicted 836 # Number of Branches Incorrectly Predicted
+system.cpu.execution_unit.predicted 280 # Number of Branches Incorrectly Predicted
+system.cpu.execution_unit.mispredictPct 74.910394 # Percentage of Incorrect Branches Predicts
+system.cpu.execution_unit.executions 3977 # Number of Instructions Executed.
+system.cpu.mult_div_unit.multiplies 0 # Number of Multipy Operations Executed
+system.cpu.mult_div_unit.divides 0 # Number of Divide Operations Executed
+system.cpu.stage0.idleCycles 31738 # Number of cycles 0 instructions are processed.
+system.cpu.stage0.runCycles 4666 # Number of cycles 1+ instructions are processed.
+system.cpu.stage0.utilization 12.817273 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage1.idleCycles 33193 # Number of cycles 0 instructions are processed.
+system.cpu.stage1.runCycles 3211 # Number of cycles 1+ instructions are processed.
+system.cpu.stage1.utilization 8.820459 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage2.idleCycles 33357 # Number of cycles 0 instructions are processed.
+system.cpu.stage2.runCycles 3047 # Number of cycles 1+ instructions are processed.
+system.cpu.stage2.utilization 8.369959 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage3.idleCycles 35421 # Number of cycles 0 instructions are processed.
+system.cpu.stage3.runCycles 983 # Number of cycles 1+ instructions are processed.
+system.cpu.stage3.utilization 2.700253 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage4.idleCycles 33233 # Number of cycles 0 instructions are processed.
+system.cpu.stage4.runCycles 3171 # Number of cycles 1+ instructions are processed.
+system.cpu.stage4.utilization 8.710581 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.icache.replacements 0 # number of replacements
+system.cpu.icache.tagsinuse 136.669321 # Cycle average of tags in use
+system.cpu.icache.total_refs 791 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 291 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 2.718213 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 136.669321 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.066733 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 791 # number of ReadReq hits
+system.cpu.icache.demand_hits 791 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 791 # number of overall hits
+system.cpu.icache.ReadReq_misses 347 # number of ReadReq misses
+system.cpu.icache.demand_misses 347 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 347 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 19110500 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 19110500 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 19110500 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 1138 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 1138 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 1138 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.304921 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.304921 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.304921 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 55073.487032 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 55073.487032 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 55073.487032 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 104500 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 3 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets 34833.333333 # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.ReadReq_mshr_hits 56 # number of ReadReq MSHR hits
+system.cpu.icache.demand_mshr_hits 56 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 56 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 291 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 291 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 291 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 15470000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 15470000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 15470000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.255712 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.255712 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.255712 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 53161.512027 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 53161.512027 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 53161.512027 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 82.859932 # Cycle average of tags in use
+system.cpu.dcache.total_refs 1049 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 135 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 7.770370 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 82.859932 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.020229 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 657 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 392 # number of WriteReq hits
+system.cpu.dcache.demand_hits 1049 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 1049 # number of overall hits
+system.cpu.dcache.ReadReq_misses 59 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 281 # number of WriteReq misses
+system.cpu.dcache.demand_misses 340 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 340 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 3290500 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 15457500 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 18748000 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 18748000 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 716 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 673 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 1389 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 1389 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.082402 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.417533 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.244780 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.244780 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 55771.186441 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 55008.896797 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 55141.176471 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 55141.176471 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 2259500 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 45 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets 50211.111111 # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.ReadReq_mshr_hits 5 # number of ReadReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits 200 # number of WriteReq MSHR hits
+system.cpu.dcache.demand_mshr_hits 205 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 205 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 54 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 81 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 135 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 135 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 2865500 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 4327000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 7192500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 7192500 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.075419 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.120357 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.097192 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.097192 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 53064.814815 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 53419.753086 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 53277.777778 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 53277.777778 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 162.297266 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 3 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 342 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0.008772 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 162.297266 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.004953 # Average percentage of cache occupancy
+system.cpu.l2cache.ReadReq_hits 3 # number of ReadReq hits
+system.cpu.l2cache.demand_hits 3 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 3 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 342 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 81 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 423 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 423 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 17918500 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 4230500 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 22149000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 22149000 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 345 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 81 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 426 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 426 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 0.991304 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 0.992958 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 0.992958 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 52393.274854 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 52228.395062 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 52361.702128 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 52361.702128 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 342 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 81 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 423 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 423 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 13747000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 3255500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 17002500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 17002500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 0.991304 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 0.992958 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 0.992958 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 40195.906433 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 40191.358025 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 40195.035461 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 40195.035461 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/config.ini b/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/config.ini
new file mode 100644
index 000000000..8aa4dc707
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/config.ini
@@ -0,0 +1,102 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=AtomicSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+simulate_data_stalls=false
+simulate_inst_stalls=false
+system=system
+tracer=system.cpu.tracer
+width=1
+workload=system.cpu.workload
+dcache_port=system.membus.port[3]
+icache_port=system.membus.port[2]
+
+[system.cpu.dtb]
+type=SparcTLB
+size=64
+
+[system.cpu.itb]
+type=SparcTLB
+size=64
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/sparc/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/simerr b/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/simout b/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/simout
new file mode 100755
index 000000000..9cbff76e8
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/simout
@@ -0,0 +1,10 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:02:00
+gem5 started Jan 23 2012 04:24:11
+gem5 executing on zizzer
+command line: build/SPARC_SE/gem5.opt -d build/SPARC_SE/tests/opt/quick/00.hello/sparc/linux/simple-atomic -re tests/run.py build/SPARC_SE/tests/opt/quick/00.hello/sparc/linux/simple-atomic
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+Hello World!Exiting @ tick 2701000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/stats.txt
new file mode 100644
index 000000000..57eaeacb0
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/stats.txt
@@ -0,0 +1,45 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000003 # Number of seconds simulated
+sim_ticks 2701000 # Number of ticks simulated
+final_tick 2701000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 117056 # Simulator instruction rate (inst/s)
+host_tick_rate 59184907 # Simulator tick rate (ticks/s)
+host_mem_usage 203964 # Number of bytes of host memory used
+host_seconds 0.05 # Real time elapsed on the host
+sim_insts 5340 # Number of instructions simulated
+system.physmem.bytes_read 26135 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 21532 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 5065 # Number of bytes written to this memory
+system.physmem.num_reads 6099 # Number of read requests responded to by this memory
+system.physmem.num_writes 673 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 9676045909 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 7971862273 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 1875231396 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 11551277305 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.workload.num_syscalls 11 # Number of system calls
+system.cpu.numCycles 5403 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 5340 # Number of instructions executed
+system.cpu.num_int_alu_accesses 4517 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 0 # Number of float alu accesses
+system.cpu.num_func_calls 146 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 774 # number of instructions that are conditional controls
+system.cpu.num_int_insts 4517 # number of integer instructions
+system.cpu.num_fp_insts 0 # number of float instructions
+system.cpu.num_int_register_reads 10620 # number of times the integer registers were read
+system.cpu.num_int_register_writes 4859 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 0 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 1402 # number of memory refs
+system.cpu.num_load_insts 724 # Number of load instructions
+system.cpu.num_store_insts 678 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 5403 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/config.ini b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/config.ini
new file mode 100644
index 000000000..e13b78d74
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/config.ini
@@ -0,0 +1,268 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000
+time_sync_spin_threshold=100000
+
+[system]
+type=System
+children=cpu dir_cntrl0 l1_cntrl0 physmem ruby sys_port_proxy
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=1
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.l1_cntrl0.sequencer.port[1]
+icache_port=system.l1_cntrl0.sequencer.port[0]
+
+[system.cpu.dtb]
+type=SparcTLB
+size=64
+
+[system.cpu.itb]
+type=SparcTLB
+size=64
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/sparc/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer
+buffer_size=0
+cntrl_id=1
+directory=system.dir_cntrl0.directory
+directory_latency=12
+memBuffer=system.dir_cntrl0.memBuffer
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=cacheMemory sequencer
+buffer_size=0
+cacheMemory=system.l1_cntrl0.cacheMemory
+cache_response_latency=12
+cntrl_id=0
+issue_latency=2
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+sequencer=system.l1_cntrl0.sequencer
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.cacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.cacheMemory
+max_outstanding_requests=16
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[0]
+port=system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.l1_cntrl0.sequencer.physMemPort system.sys_port_proxy.physMemPort
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=1
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 int_links0 int_links1 routers0 routers1 routers2
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=2
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=3
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=1
+ruby_system=system.ruby
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[1]
+port=system.system_port
+
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/ruby.stats b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/ruby.stats
new file mode 100644
index 000000000..d48e9e1d8
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/ruby.stats
@@ -0,0 +1,311 @@
+
+================ Begin RubySystem Configuration Print ================
+
+RubySystem config:
+ random_seed: 1234
+ randomization: 0
+ cycle_period: 1
+ block_size_bytes: 64
+ block_size_bits: 6
+ memory_size_bytes: 134217728
+ memory_size_bits: 27
+
+Network Configuration
+---------------------
+network: SIMPLE_NETWORK
+topology:
+
+virtual_net_0: active, ordered
+virtual_net_1: active, ordered
+virtual_net_2: active, ordered
+virtual_net_3: active, ordered
+virtual_net_4: active, ordered
+virtual_net_5: inactive
+virtual_net_6: inactive
+virtual_net_7: inactive
+virtual_net_8: inactive
+virtual_net_9: inactive
+
+
+Profiler Configuration
+----------------------
+periodic_stats_period: 1000000
+
+================ End RubySystem Configuration Print ================
+
+
+Real time: Jan/23/2012 04:24:20
+
+Profiler Stats
+--------------
+Elapsed_time_in_seconds: 0
+Elapsed_time_in_minutes: 0
+Elapsed_time_in_hours: 0
+Elapsed_time_in_days: 0
+
+Virtual_time_in_seconds: 0.26
+Virtual_time_in_minutes: 0.00433333
+Virtual_time_in_hours: 7.22222e-05
+Virtual_time_in_days: 3.00926e-06
+
+Ruby_current_time: 253364
+Ruby_start_time: 0
+Ruby_cycles: 253364
+
+mbytes_resident: 45.418
+mbytes_total: 219.465
+resident_ratio: 0.206949
+
+ruby_cycles_executed: [ 253365 ]
+
+Busy Controller Counts:
+L1Cache-0:0
+Directory-0:0
+
+
+Busy Bank Count:0
+
+sequencer_requests_outstanding: [binsize: 1 max: 1 count: 6773 average: 1 | standard deviation: 0 | 0 6773 ]
+
+All Non-Zero Cycle Demand Cache Accesses
+----------------------------------------
+miss_latency: [binsize: 2 max: 371 count: 6772 average: 36.4135 | standard deviation: 69.5949 | 0 5483 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 2 4 2 2 10 2 309 224 133 323 144 9 3 1 0 0 11 11 1 16 4 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 1 25 14 6 15 3 1 1 1 0 0 1 1 0 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_LD: [binsize: 2 max: 285 count: 716 average: 98.7235 | standard deviation: 87.4535 | 0 321 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 3 1 2 3 0 110 62 31 116 36 4 1 0 0 0 1 0 1 6 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 5 2 2 3 1 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST: [binsize: 2 max: 371 count: 673 average: 50.584 | standard deviation: 80.4924 | 0 494 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 0 27 44 26 39 17 2 1 0 0 0 2 2 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 9 0 2 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_IFETCH: [binsize: 2 max: 285 count: 5383 average: 26.3539 | standard deviation: 60.2129 | 0 4668 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 1 1 0 5 2 172 118 76 168 91 3 1 1 0 0 8 9 0 10 4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 18 3 4 10 1 0 0 1 0 0 1 1 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_L1Cache: [binsize: 1 max: 3 count: 5483 average: 3 | standard deviation: 0 | 0 0 0 5483 ]
+miss_latency_Directory: [binsize: 2 max: 371 count: 1289 average: 178.544 | standard deviation: 22.1923 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 2 4 2 2 10 2 309 224 133 323 144 9 3 1 0 0 11 11 1 16 4 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 1 25 14 6 15 3 1 1 1 0 0 1 1 0 3 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_wCC_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_wCC_Times: 0
+miss_latency_dir_issue_to_initial_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_initial_forward_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_forward_to_first_response: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_first_response_to_completion: [binsize: 1 max: 159 count: 1 average: 159 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+imcomplete_dir_Times: 1288
+miss_latency_LD_L1Cache: [binsize: 1 max: 3 count: 321 average: 3 | standard deviation: 0 | 0 0 0 321 ]
+miss_latency_LD_Directory: [binsize: 2 max: 285 count: 395 average: 176.514 | standard deviation: 18.6332 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 3 1 2 3 0 110 62 31 116 36 4 1 0 0 0 1 0 1 6 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 5 2 2 3 1 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST_L1Cache: [binsize: 1 max: 3 count: 494 average: 3 | standard deviation: 0 | 0 0 0 494 ]
+miss_latency_ST_Directory: [binsize: 2 max: 371 count: 179 average: 181.905 | standard deviation: 28.882 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 0 27 44 26 39 17 2 1 0 0 0 2 2 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 2 9 0 2 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_IFETCH_L1Cache: [binsize: 1 max: 3 count: 4668 average: 3 | standard deviation: 0 | 0 0 0 4668 ]
+miss_latency_IFETCH_Directory: [binsize: 2 max: 285 count: 715 average: 178.824 | standard deviation: 21.9931 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 1 1 0 5 2 172 118 76 168 91 3 1 1 0 0 8 9 0 10 4 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 0 18 3 4 10 1 0 0 1 0 0 1 1 0 2 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+
+All Non-Zero Cycle SW Prefetch Requests
+------------------------------------
+prefetch_latency: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+prefetch_latency_L2Miss:[binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Request vs. RubySystem State Profile
+--------------------------------
+
+
+filter_action: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Message Delayed Cycles
+----------------------
+Total_delay_cycles: [binsize: 1 max: 0 count: 2574 average: 0 | standard deviation: 0 | 2574 ]
+Total_nonPF_delay_cycles: [binsize: 1 max: 0 count: 2574 average: 0 | standard deviation: 0 | 2574 ]
+ virtual_network_0_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_1_delay_cycles: [binsize: 1 max: 0 count: 1289 average: 0 | standard deviation: 0 | 1289 ]
+ virtual_network_2_delay_cycles: [binsize: 1 max: 0 count: 1285 average: 0 | standard deviation: 0 | 1285 ]
+ virtual_network_3_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_4_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_5_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_6_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_7_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_8_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_9_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Resource Usage
+--------------
+page_size: 4096
+user_time: 0
+system_time: 0
+page_reclaims: 12012
+page_faults: 1
+swaps: 0
+block_inputs: 152
+block_outputs: 88
+
+Network Stats
+-------------
+
+total_msg_count_Control: 3867 30936
+total_msg_count_Data: 3855 277560
+total_msg_count_Response_Data: 3867 278424
+total_msg_count_Writeback_Control: 3855 30840
+total_msgs: 15444 total_bytes: 617760
+
+switch_0_inlinks: 2
+switch_0_outlinks: 2
+links_utilized_percent_switch_0: 2.53982
+ links_utilized_percent_switch_0_link_0: 2.54298 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_0_link_1: 2.53667 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_0_link_0_Response_Data: 1289 92808 [ 0 0 0 0 1289 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Writeback_Control: 1285 10280 [ 0 0 0 1285 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Control: 1289 10312 [ 0 0 1289 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Data: 1285 92520 [ 0 0 1285 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_1_inlinks: 2
+switch_1_outlinks: 2
+links_utilized_percent_switch_1: 2.53982
+ links_utilized_percent_switch_1_link_0: 2.53667 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_1_link_1: 2.54298 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_1_link_0_Control: 1289 10312 [ 0 0 1289 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Data: 1285 92520 [ 0 0 1285 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Data: 1289 92808 [ 0 0 0 0 1289 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Control: 1285 10280 [ 0 0 0 1285 0 0 0 0 0 0 ] base_latency: 1
+
+switch_2_inlinks: 2
+switch_2_outlinks: 2
+links_utilized_percent_switch_2: 2.53982
+ links_utilized_percent_switch_2_link_0: 2.54298 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_2_link_1: 2.53667 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_2_link_0_Response_Data: 1289 92808 [ 0 0 0 0 1289 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Control: 1285 10280 [ 0 0 0 1285 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Control: 1289 10312 [ 0 0 1289 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Data: 1285 92520 [ 0 0 1285 0 0 0 0 0 0 0 ] base_latency: 1
+
+Cache Stats: system.l1_cntrl0.cacheMemory
+ system.l1_cntrl0.cacheMemory_total_misses: 1289
+ system.l1_cntrl0.cacheMemory_total_demand_misses: 1289
+ system.l1_cntrl0.cacheMemory_total_prefetches: 0
+ system.l1_cntrl0.cacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.cacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.cacheMemory_request_type_LD: 30.6439%
+ system.l1_cntrl0.cacheMemory_request_type_ST: 13.8867%
+ system.l1_cntrl0.cacheMemory_request_type_IFETCH: 55.4694%
+
+ system.l1_cntrl0.cacheMemory_access_mode_type_Supervisor: 1289 100%
+
+ --- L1Cache ---
+ - Event Counts -
+Load [716 ] 716
+Ifetch [5383 ] 5383
+Store [673 ] 673
+Data [1289 ] 1289
+Fwd_GETX [0 ] 0
+Inv [0 ] 0
+Replacement [1285 ] 1285
+Writeback_Ack [1285 ] 1285
+Writeback_Nack [0 ] 0
+
+ - Transitions -
+I Load [395 ] 395
+I Ifetch [715 ] 715
+I Store [179 ] 179
+I Inv [0 ] 0
+I Replacement [0 ] 0
+
+II Writeback_Nack [0 ] 0
+
+M Load [321 ] 321
+M Ifetch [4668 ] 4668
+M Store [494 ] 494
+M Fwd_GETX [0 ] 0
+M Inv [0 ] 0
+M Replacement [1285 ] 1285
+
+MI Fwd_GETX [0 ] 0
+MI Inv [0 ] 0
+MI Writeback_Ack [1285 ] 1285
+MI Writeback_Nack [0 ] 0
+
+MII Fwd_GETX [0 ] 0
+
+IS Data [1110 ] 1110
+
+IM Data [179 ] 179
+
+Memory controller: system.dir_cntrl0.memBuffer:
+ memory_total_requests: 2574
+ memory_reads: 1289
+ memory_writes: 1285
+ memory_refreshes: 528
+ memory_total_request_delays: 2936
+ memory_delays_per_request: 1.14064
+ memory_delays_in_input_queue: 668
+ memory_delays_behind_head_of_bank_queue: 3
+ memory_delays_stalled_at_head_of_bank_queue: 2265
+ memory_stalls_for_bank_busy: 847
+ memory_stalls_for_random_busy: 0
+ memory_stalls_for_anti_starvation: 0
+ memory_stalls_for_arbitration: 88
+ memory_stalls_for_bus: 1292
+ memory_stalls_for_tfaw: 0
+ memory_stalls_for_read_write_turnaround: 38
+ memory_stalls_for_read_read_turnaround: 0
+ accesses_per_bank: 166 40 36 48 109 42 63 241 50 34 16 26 60 64 38 46 30 88 202 144 40 58 22 20 60 120 136 125 84 134 166 66
+
+ --- Directory ---
+ - Event Counts -
+GETX [1289 ] 1289
+GETS [0 ] 0
+PUTX [1285 ] 1285
+PUTX_NotOwner [0 ] 0
+DMA_READ [0 ] 0
+DMA_WRITE [0 ] 0
+Memory_Data [1289 ] 1289
+Memory_Ack [1285 ] 1285
+
+ - Transitions -
+I GETX [1289 ] 1289
+I PUTX_NotOwner [0 ] 0
+I DMA_READ [0 ] 0
+I DMA_WRITE [0 ] 0
+
+M GETX [0 ] 0
+M PUTX [1285 ] 1285
+M PUTX_NotOwner [0 ] 0
+M DMA_READ [0 ] 0
+M DMA_WRITE [0 ] 0
+
+M_DRD GETX [0 ] 0
+M_DRD PUTX [0 ] 0
+
+M_DWR GETX [0 ] 0
+M_DWR PUTX [0 ] 0
+
+M_DWRI GETX [0 ] 0
+M_DWRI Memory_Ack [0 ] 0
+
+M_DRDI GETX [0 ] 0
+M_DRDI Memory_Ack [0 ] 0
+
+IM GETX [0 ] 0
+IM GETS [0 ] 0
+IM PUTX [0 ] 0
+IM PUTX_NotOwner [0 ] 0
+IM DMA_READ [0 ] 0
+IM DMA_WRITE [0 ] 0
+IM Memory_Data [1289 ] 1289
+
+MI GETX [0 ] 0
+MI GETS [0 ] 0
+MI PUTX [0 ] 0
+MI PUTX_NotOwner [0 ] 0
+MI DMA_READ [0 ] 0
+MI DMA_WRITE [0 ] 0
+MI Memory_Ack [1285 ] 1285
+
+ID GETX [0 ] 0
+ID GETS [0 ] 0
+ID PUTX [0 ] 0
+ID PUTX_NotOwner [0 ] 0
+ID DMA_READ [0 ] 0
+ID DMA_WRITE [0 ] 0
+ID Memory_Data [0 ] 0
+
+ID_W GETX [0 ] 0
+ID_W GETS [0 ] 0
+ID_W PUTX [0 ] 0
+ID_W PUTX_NotOwner [0 ] 0
+ID_W DMA_READ [0 ] 0
+ID_W DMA_WRITE [0 ] 0
+ID_W Memory_Ack [0 ] 0
+
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/simerr b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/simout b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/simout
new file mode 100755
index 000000000..8b55b99bf
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/simout
@@ -0,0 +1,10 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:02:00
+gem5 started Jan 23 2012 04:24:20
+gem5 executing on zizzer
+command line: build/SPARC_SE/gem5.opt -d build/SPARC_SE/tests/opt/quick/00.hello/sparc/linux/simple-timing-ruby -re tests/run.py build/SPARC_SE/tests/opt/quick/00.hello/sparc/linux/simple-timing-ruby
+Global frequency set at 1000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+Hello World!Exiting @ tick 253364 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/stats.txt b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/stats.txt
new file mode 100644
index 000000000..5fbe4680b
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing-ruby/stats.txt
@@ -0,0 +1,45 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000253 # Number of seconds simulated
+sim_ticks 253364 # Number of ticks simulated
+final_tick 253364 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000 # Frequency of simulated ticks
+host_inst_rate 57666 # Simulator instruction rate (inst/s)
+host_tick_rate 2735530 # Simulator tick rate (ticks/s)
+host_mem_usage 224736 # Number of bytes of host memory used
+host_seconds 0.09 # Real time elapsed on the host
+sim_insts 5340 # Number of instructions simulated
+system.physmem.bytes_read 26135 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 21532 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 5065 # Number of bytes written to this memory
+system.physmem.num_reads 6099 # Number of read requests responded to by this memory
+system.physmem.num_writes 673 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 103151987 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 84984449 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 19991001 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 123142988 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.workload.num_syscalls 11 # Number of system calls
+system.cpu.numCycles 253364 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 5340 # Number of instructions executed
+system.cpu.num_int_alu_accesses 4517 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 0 # Number of float alu accesses
+system.cpu.num_func_calls 146 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 774 # number of instructions that are conditional controls
+system.cpu.num_int_insts 4517 # number of integer instructions
+system.cpu.num_fp_insts 0 # number of float instructions
+system.cpu.num_int_register_reads 10620 # number of times the integer registers were read
+system.cpu.num_int_register_writes 4858 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 0 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 1402 # number of memory refs
+system.cpu.num_load_insts 724 # Number of load instructions
+system.cpu.num_store_insts 678 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 253364 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/config.ini b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/config.ini
new file mode 100644
index 000000000..31f964ca0
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/config.ini
@@ -0,0 +1,205 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dcache dtb icache itb l2cache toL2Bus tracer workload
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=SparcTLB
+size=64
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=SparcTLB
+size=64
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=10000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=100000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/sparc/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/simerr b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/simerr
new file mode 100755
index 000000000..e45cd058f
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/simerr
@@ -0,0 +1,2 @@
+warn: Sockets disabled, not accepting gdb connections
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/simout b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/simout
new file mode 100755
index 000000000..a3d57b80d
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/simout
@@ -0,0 +1,10 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:02:00
+gem5 started Jan 23 2012 04:24:14
+gem5 executing on zizzer
+command line: build/SPARC_SE/gem5.opt -d build/SPARC_SE/tests/opt/quick/00.hello/sparc/linux/simple-timing -re tests/run.py build/SPARC_SE/tests/opt/quick/00.hello/sparc/linux/simple-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+Hello World!Exiting @ tick 28206000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/stats.txt
new file mode 100644
index 000000000..0e1d1294b
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/stats.txt
@@ -0,0 +1,228 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000028 # Number of seconds simulated
+sim_ticks 28206000 # Number of ticks simulated
+final_tick 28206000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 103151 # Simulator instruction rate (inst/s)
+host_tick_rate 544654705 # Simulator tick rate (ticks/s)
+host_mem_usage 212680 # Number of bytes of host memory used
+host_seconds 0.05 # Real time elapsed on the host
+sim_insts 5340 # Number of instructions simulated
+system.physmem.bytes_read 24896 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 16320 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 389 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 882649082 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 578600298 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 882649082 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.workload.num_syscalls 11 # Number of system calls
+system.cpu.numCycles 56412 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 5340 # Number of instructions executed
+system.cpu.num_int_alu_accesses 4517 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 0 # Number of float alu accesses
+system.cpu.num_func_calls 146 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 774 # number of instructions that are conditional controls
+system.cpu.num_int_insts 4517 # number of integer instructions
+system.cpu.num_fp_insts 0 # number of float instructions
+system.cpu.num_int_register_reads 10620 # number of times the integer registers were read
+system.cpu.num_int_register_writes 4858 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 0 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 1402 # number of memory refs
+system.cpu.num_load_insts 724 # Number of load instructions
+system.cpu.num_store_insts 678 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 56412 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+system.cpu.icache.replacements 0 # number of replacements
+system.cpu.icache.tagsinuse 116.975932 # Cycle average of tags in use
+system.cpu.icache.total_refs 5127 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 257 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 19.949416 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 116.975932 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.057117 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 5127 # number of ReadReq hits
+system.cpu.icache.demand_hits 5127 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 5127 # number of overall hits
+system.cpu.icache.ReadReq_misses 257 # number of ReadReq misses
+system.cpu.icache.demand_misses 257 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 257 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 14308000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 14308000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 14308000 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 5384 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 5384 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 5384 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.047734 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.047734 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.047734 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 55673.151751 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 55673.151751 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 55673.151751 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 257 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 257 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 257 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 13537000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 13537000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 13537000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.047734 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.047734 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.047734 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 52673.151751 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 52673.151751 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 52673.151751 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 82.065697 # Cycle average of tags in use
+system.cpu.dcache.total_refs 1254 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 135 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 9.288889 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 82.065697 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.020036 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 662 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 592 # number of WriteReq hits
+system.cpu.dcache.demand_hits 1254 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 1254 # number of overall hits
+system.cpu.dcache.ReadReq_misses 54 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 81 # number of WriteReq misses
+system.cpu.dcache.demand_misses 135 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 135 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 2982000 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 4536000 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 7518000 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 7518000 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 716 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 673 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 1389 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 1389 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.075419 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.120357 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.097192 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.097192 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 55222.222222 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 56000 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 55688.888889 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 55688.888889 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 54 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 81 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 135 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 135 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 2820000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 4293000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 7113000 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 7113000 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.075419 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.120357 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.097192 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.097192 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 52222.222222 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 53000 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 52688.888889 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 52688.888889 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 142.102892 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 3 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 308 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0.009740 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 142.102892 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.004337 # Average percentage of cache occupancy
+system.cpu.l2cache.ReadReq_hits 3 # number of ReadReq hits
+system.cpu.l2cache.demand_hits 3 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 3 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 308 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 81 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 389 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 389 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 16016000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 4212000 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 20228000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 20228000 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 311 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 81 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 392 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 392 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 0.990354 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 0.992347 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 0.992347 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 52000 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 52000 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 52000 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 52000 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 308 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 81 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 389 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 389 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 12320000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 3240000 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 15560000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 15560000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 0.990354 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 0.992347 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 0.992347 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 40000 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 40000 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 40000 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 40000 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini
new file mode 100644
index 000000000..8582c91b4
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/config.ini
@@ -0,0 +1,535 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=DerivO3CPU
+children=dcache dtb fuPool icache itb l2cache toL2Bus tracer workload
+BTBEntries=4096
+BTBTagSize=16
+LFSTSize=1024
+LQEntries=32
+LSQCheckLoads=true
+LSQDepCheckShift=4
+RASSize=16
+SQEntries=32
+SSITSize=1024
+activity=0
+backComSize=5
+cachePorts=200
+checker=Null
+choiceCtrBits=2
+choicePredictorSize=8192
+clock=500
+commitToDecodeDelay=1
+commitToFetchDelay=1
+commitToIEWDelay=1
+commitToRenameDelay=1
+commitWidth=8
+cpu_id=0
+decodeToFetchDelay=1
+decodeToRenameDelay=1
+decodeWidth=8
+defer_registration=false
+dispatchWidth=8
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+fetchToDecodeDelay=1
+fetchTrapLatency=1
+fetchWidth=8
+forwardComSize=5
+fuPool=system.cpu.fuPool
+function_trace=false
+function_trace_start=0
+globalCtrBits=2
+globalHistoryBits=13
+globalPredictorSize=8192
+iewToCommitDelay=1
+iewToDecodeDelay=1
+iewToFetchDelay=1
+iewToRenameDelay=1
+instShiftAmt=2
+issueToExecuteDelay=1
+issueWidth=8
+itb=system.cpu.itb
+localCtrBits=2
+localHistoryBits=11
+localHistoryTableSize=2048
+localPredictorSize=2048
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numIQEntries=64
+numPhysFloatRegs=256
+numPhysIntRegs=256
+numROBEntries=192
+numRobs=1
+numThreads=1
+phase=0
+predType=tournament
+progress_interval=0
+renameToDecodeDelay=1
+renameToFetchDelay=1
+renameToIEWDelay=2
+renameToROBDelay=1
+renameWidth=8
+smtCommitPolicy=RoundRobin
+smtFetchPolicy=SingleThread
+smtIQPolicy=Partitioned
+smtIQThreshold=100
+smtLSQPolicy=Partitioned
+smtLSQThreshold=100
+smtNumFetchingThreads=1
+smtROBPolicy=Partitioned
+smtROBThreshold=100
+squashWidth=8
+store_set_clear_period=250000
+system=system
+tracer=system.cpu.tracer
+trapLatency=13
+wbDepth=1
+wbWidth=8
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=20
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=X86TLB
+size=64
+
+[system.cpu.fuPool]
+type=FUPool
+children=FUList0 FUList1 FUList2 FUList3 FUList4 FUList5 FUList6 FUList7 FUList8
+FUList=system.cpu.fuPool.FUList0 system.cpu.fuPool.FUList1 system.cpu.fuPool.FUList2 system.cpu.fuPool.FUList3 system.cpu.fuPool.FUList4 system.cpu.fuPool.FUList5 system.cpu.fuPool.FUList6 system.cpu.fuPool.FUList7 system.cpu.fuPool.FUList8
+
+[system.cpu.fuPool.FUList0]
+type=FUDesc
+children=opList
+count=6
+opList=system.cpu.fuPool.FUList0.opList
+
+[system.cpu.fuPool.FUList0.opList]
+type=OpDesc
+issueLat=1
+opClass=IntAlu
+opLat=1
+
+[system.cpu.fuPool.FUList1]
+type=FUDesc
+children=opList0 opList1
+count=2
+opList=system.cpu.fuPool.FUList1.opList0 system.cpu.fuPool.FUList1.opList1
+
+[system.cpu.fuPool.FUList1.opList0]
+type=OpDesc
+issueLat=1
+opClass=IntMult
+opLat=3
+
+[system.cpu.fuPool.FUList1.opList1]
+type=OpDesc
+issueLat=19
+opClass=IntDiv
+opLat=20
+
+[system.cpu.fuPool.FUList2]
+type=FUDesc
+children=opList0 opList1 opList2
+count=4
+opList=system.cpu.fuPool.FUList2.opList0 system.cpu.fuPool.FUList2.opList1 system.cpu.fuPool.FUList2.opList2
+
+[system.cpu.fuPool.FUList2.opList0]
+type=OpDesc
+issueLat=1
+opClass=FloatAdd
+opLat=2
+
+[system.cpu.fuPool.FUList2.opList1]
+type=OpDesc
+issueLat=1
+opClass=FloatCmp
+opLat=2
+
+[system.cpu.fuPool.FUList2.opList2]
+type=OpDesc
+issueLat=1
+opClass=FloatCvt
+opLat=2
+
+[system.cpu.fuPool.FUList3]
+type=FUDesc
+children=opList0 opList1 opList2
+count=2
+opList=system.cpu.fuPool.FUList3.opList0 system.cpu.fuPool.FUList3.opList1 system.cpu.fuPool.FUList3.opList2
+
+[system.cpu.fuPool.FUList3.opList0]
+type=OpDesc
+issueLat=1
+opClass=FloatMult
+opLat=4
+
+[system.cpu.fuPool.FUList3.opList1]
+type=OpDesc
+issueLat=12
+opClass=FloatDiv
+opLat=12
+
+[system.cpu.fuPool.FUList3.opList2]
+type=OpDesc
+issueLat=24
+opClass=FloatSqrt
+opLat=24
+
+[system.cpu.fuPool.FUList4]
+type=FUDesc
+children=opList
+count=0
+opList=system.cpu.fuPool.FUList4.opList
+
+[system.cpu.fuPool.FUList4.opList]
+type=OpDesc
+issueLat=1
+opClass=MemRead
+opLat=1
+
+[system.cpu.fuPool.FUList5]
+type=FUDesc
+children=opList00 opList01 opList02 opList03 opList04 opList05 opList06 opList07 opList08 opList09 opList10 opList11 opList12 opList13 opList14 opList15 opList16 opList17 opList18 opList19
+count=4
+opList=system.cpu.fuPool.FUList5.opList00 system.cpu.fuPool.FUList5.opList01 system.cpu.fuPool.FUList5.opList02 system.cpu.fuPool.FUList5.opList03 system.cpu.fuPool.FUList5.opList04 system.cpu.fuPool.FUList5.opList05 system.cpu.fuPool.FUList5.opList06 system.cpu.fuPool.FUList5.opList07 system.cpu.fuPool.FUList5.opList08 system.cpu.fuPool.FUList5.opList09 system.cpu.fuPool.FUList5.opList10 system.cpu.fuPool.FUList5.opList11 system.cpu.fuPool.FUList5.opList12 system.cpu.fuPool.FUList5.opList13 system.cpu.fuPool.FUList5.opList14 system.cpu.fuPool.FUList5.opList15 system.cpu.fuPool.FUList5.opList16 system.cpu.fuPool.FUList5.opList17 system.cpu.fuPool.FUList5.opList18 system.cpu.fuPool.FUList5.opList19
+
+[system.cpu.fuPool.FUList5.opList00]
+type=OpDesc
+issueLat=1
+opClass=SimdAdd
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList01]
+type=OpDesc
+issueLat=1
+opClass=SimdAddAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList02]
+type=OpDesc
+issueLat=1
+opClass=SimdAlu
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList03]
+type=OpDesc
+issueLat=1
+opClass=SimdCmp
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList04]
+type=OpDesc
+issueLat=1
+opClass=SimdCvt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList05]
+type=OpDesc
+issueLat=1
+opClass=SimdMisc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList06]
+type=OpDesc
+issueLat=1
+opClass=SimdMult
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList07]
+type=OpDesc
+issueLat=1
+opClass=SimdMultAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList08]
+type=OpDesc
+issueLat=1
+opClass=SimdShift
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList09]
+type=OpDesc
+issueLat=1
+opClass=SimdShiftAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList10]
+type=OpDesc
+issueLat=1
+opClass=SimdSqrt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList11]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatAdd
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList12]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatAlu
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList13]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatCmp
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList14]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatCvt
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList15]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatDiv
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList16]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMisc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList17]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMult
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList18]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatMultAcc
+opLat=1
+
+[system.cpu.fuPool.FUList5.opList19]
+type=OpDesc
+issueLat=1
+opClass=SimdFloatSqrt
+opLat=1
+
+[system.cpu.fuPool.FUList6]
+type=FUDesc
+children=opList
+count=0
+opList=system.cpu.fuPool.FUList6.opList
+
+[system.cpu.fuPool.FUList6.opList]
+type=OpDesc
+issueLat=1
+opClass=MemWrite
+opLat=1
+
+[system.cpu.fuPool.FUList7]
+type=FUDesc
+children=opList0 opList1
+count=4
+opList=system.cpu.fuPool.FUList7.opList0 system.cpu.fuPool.FUList7.opList1
+
+[system.cpu.fuPool.FUList7.opList0]
+type=OpDesc
+issueLat=1
+opClass=MemRead
+opLat=1
+
+[system.cpu.fuPool.FUList7.opList1]
+type=OpDesc
+issueLat=1
+opClass=MemWrite
+opLat=1
+
+[system.cpu.fuPool.FUList8]
+type=FUDesc
+children=opList
+count=1
+opList=system.cpu.fuPool.FUList8.opList
+
+[system.cpu.fuPool.FUList8.opList]
+type=OpDesc
+issueLat=3
+opClass=IprAccess
+opLat=3
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=20
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=X86TLB
+size=64
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/x86/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simerr b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simerr
new file mode 100755
index 000000000..ac4ad20a5
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simerr
@@ -0,0 +1,4 @@
+warn: Sockets disabled, not accepting gdb connections
+warn: instruction 'fnstcw_Mw' unimplemented
+warn: instruction 'fldcw_Mw' unimplemented
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simout b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simout
new file mode 100755
index 000000000..4c371922e
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/simout
@@ -0,0 +1,12 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:08:34
+gem5 started Jan 23 2012 04:24:37
+gem5 executing on zizzer
+command line: build/X86_SE/gem5.opt -d build/X86_SE/tests/opt/quick/00.hello/x86/linux/o3-timing -re tests/run.py build/X86_SE/tests/opt/quick/00.hello/x86/linux/o3-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+info: Increasing stack size by one page.
+Hello world!
+Exiting @ tick 11087000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt
new file mode 100644
index 000000000..e2df7b059
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt
@@ -0,0 +1,472 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000011 # Number of seconds simulated
+sim_ticks 11087000 # Number of ticks simulated
+final_tick 11087000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 31087 # Simulator instruction rate (inst/s)
+host_tick_rate 35135175 # Simulator tick rate (ticks/s)
+host_mem_usage 212404 # Number of bytes of host memory used
+host_seconds 0.32 # Real time elapsed on the host
+sim_insts 9809 # Number of instructions simulated
+system.physmem.bytes_read 28288 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 18944 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 442 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 2551456661 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 1708667809 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 2551456661 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.workload.num_syscalls 11 # Number of system calls
+system.cpu.numCycles 22175 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.BPredUnit.lookups 3056 # Number of BP lookups
+system.cpu.BPredUnit.condPredicted 3056 # Number of conditional branches predicted
+system.cpu.BPredUnit.condIncorrect 497 # Number of conditional branches incorrect
+system.cpu.BPredUnit.BTBLookups 2731 # Number of BTB lookups
+system.cpu.BPredUnit.BTBHits 995 # Number of BTB hits
+system.cpu.BPredUnit.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
+system.cpu.BPredUnit.usedRAS 0 # Number of times the RAS was used to get a target.
+system.cpu.BPredUnit.RASInCorrect 0 # Number of incorrect RAS predictions.
+system.cpu.fetch.icacheStallCycles 5895 # Number of cycles fetch is stalled on an Icache miss
+system.cpu.fetch.Insts 13997 # Number of instructions fetch has processed
+system.cpu.fetch.Branches 3056 # Number of branches that fetch encountered
+system.cpu.fetch.predictedBranches 995 # Number of branches that fetch has predicted taken
+system.cpu.fetch.Cycles 3968 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu.fetch.SquashCycles 2221 # Number of cycles fetch has spent squashing
+system.cpu.fetch.BlockedCycles 1500 # Number of cycles fetch has spent blocked
+system.cpu.fetch.MiscStallCycles 4 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
+system.cpu.fetch.PendingTrapStallCycles 9 # Number of stall cycles due to pending traps
+system.cpu.fetch.CacheLines 1891 # Number of cache lines fetched
+system.cpu.fetch.IcacheSquashes 271 # Number of outstanding Icache misses that were squashed
+system.cpu.fetch.rateDist::samples 13088 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::mean 1.930776 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::stdev 3.218766 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::0 9227 70.50% 70.50% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::1 167 1.28% 71.78% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::2 175 1.34% 73.11% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::3 239 1.83% 74.94% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::4 232 1.77% 76.71% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::5 193 1.47% 78.19% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::6 279 2.13% 80.32% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::7 139 1.06% 81.38% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::8 2437 18.62% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::total 13088 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.branchRate 0.137813 # Number of branch fetches per cycle
+system.cpu.fetch.rate 0.631206 # Number of inst fetches per cycle
+system.cpu.decode.IdleCycles 6247 # Number of cycles decode is idle
+system.cpu.decode.BlockedCycles 1453 # Number of cycles decode is blocked
+system.cpu.decode.RunCycles 3565 # Number of cycles decode is running
+system.cpu.decode.UnblockCycles 111 # Number of cycles decode is unblocking
+system.cpu.decode.SquashCycles 1712 # Number of cycles decode is squashing
+system.cpu.decode.DecodedInsts 24090 # Number of instructions handled by decode
+system.cpu.rename.SquashCycles 1712 # Number of cycles rename is squashing
+system.cpu.rename.IdleCycles 6535 # Number of cycles rename is idle
+system.cpu.rename.BlockCycles 523 # Number of cycles rename is blocking
+system.cpu.rename.serializeStallCycles 524 # count of cycles rename stalled for serializing inst
+system.cpu.rename.RunCycles 3365 # Number of cycles rename is running
+system.cpu.rename.UnblockCycles 429 # Number of cycles rename is unblocking
+system.cpu.rename.RenamedInsts 22712 # Number of instructions processed by rename
+system.cpu.rename.ROBFullEvents 2 # Number of times rename has blocked due to ROB full
+system.cpu.rename.IQFullEvents 68 # Number of times rename has blocked due to IQ full
+system.cpu.rename.LSQFullEvents 272 # Number of times rename has blocked due to LSQ full
+system.cpu.rename.RenamedOperands 21246 # Number of destination operands rename has renamed
+system.cpu.rename.RenameLookups 47645 # Number of register rename lookups that rename has made
+system.cpu.rename.int_rename_lookups 47629 # Number of integer rename lookups
+system.cpu.rename.fp_rename_lookups 16 # Number of floating rename lookups
+system.cpu.rename.CommittedMaps 9368 # Number of HB maps that are committed
+system.cpu.rename.UndoneMaps 11878 # Number of HB maps that are undone due to squashing
+system.cpu.rename.serializingInsts 33 # count of serializing insts renamed
+system.cpu.rename.tempSerializingInsts 33 # count of temporary serializing insts renamed
+system.cpu.rename.skidInsts 1613 # count of insts added to the skid buffer
+system.cpu.memDep0.insertedLoads 2238 # Number of loads inserted to the mem dependence unit.
+system.cpu.memDep0.insertedStores 1782 # Number of stores inserted to the mem dependence unit.
+system.cpu.memDep0.conflictingLoads 13 # Number of conflicting loads.
+system.cpu.memDep0.conflictingStores 8 # Number of conflicting stores.
+system.cpu.iq.iqInstsAdded 20539 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu.iq.iqNonSpecInstsAdded 37 # Number of non-speculative instructions added to the IQ
+system.cpu.iq.iqInstsIssued 16958 # Number of instructions issued
+system.cpu.iq.iqSquashedInstsIssued 63 # Number of squashed instructions issued
+system.cpu.iq.iqSquashedInstsExamined 10220 # Number of squashed instructions iterated over during squash; mainly for profiling
+system.cpu.iq.iqSquashedOperandsExamined 12992 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu.iq.iqSquashedNonSpecRemoved 24 # Number of squashed non-spec instructions that were removed
+system.cpu.iq.issued_per_cycle::samples 13088 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::mean 1.295691 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::stdev 2.003315 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::0 8001 61.13% 61.13% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::1 1108 8.47% 69.60% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::2 1006 7.69% 77.28% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::3 733 5.60% 82.89% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::4 670 5.12% 88.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::5 725 5.54% 93.54% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::6 615 4.70% 98.24% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::7 196 1.50% 99.74% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::8 34 0.26% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::total 13088 # Number of insts issued each cycle
+system.cpu.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntAlu 94 66.67% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntMult 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntDiv 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatAdd 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCmp 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCvt 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatMult 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatDiv 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatSqrt 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAdd 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAlu 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCmp 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCvt 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMisc 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMult 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShift 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdSqrt 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 66.67% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemRead 24 17.02% 83.69% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemWrite 23 16.31% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
+system.cpu.iq.FU_type_0::No_OpClass 4 0.02% 0.02% # Type of FU issued
+system.cpu.iq.FU_type_0::IntAlu 13641 80.44% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::IntMult 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::IntDiv 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatAdd 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatMult 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMult 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShift 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 80.46% # Type of FU issued
+system.cpu.iq.FU_type_0::MemRead 1843 10.87% 91.33% # Type of FU issued
+system.cpu.iq.FU_type_0::MemWrite 1470 8.67% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::total 16958 # Type of FU issued
+system.cpu.iq.rate 0.764735 # Inst issue rate
+system.cpu.iq.fu_busy_cnt 141 # FU busy when requested
+system.cpu.iq.fu_busy_rate 0.008315 # FU busy rate (busy events/executed inst)
+system.cpu.iq.int_inst_queue_reads 47200 # Number of integer instruction queue reads
+system.cpu.iq.int_inst_queue_writes 30804 # Number of integer instruction queue writes
+system.cpu.iq.int_inst_queue_wakeup_accesses 15755 # Number of integer instruction queue wakeup accesses
+system.cpu.iq.fp_inst_queue_reads 8 # Number of floating instruction queue reads
+system.cpu.iq.fp_inst_queue_writes 4 # Number of floating instruction queue writes
+system.cpu.iq.fp_inst_queue_wakeup_accesses 4 # Number of floating instruction queue wakeup accesses
+system.cpu.iq.int_alu_accesses 17091 # Number of integer alu accesses
+system.cpu.iq.fp_alu_accesses 4 # Number of floating point alu accesses
+system.cpu.iew.lsq.thread0.forwLoads 80 # Number of loads that had data forwarded from stores
+system.cpu.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
+system.cpu.iew.lsq.thread0.squashedLoads 1182 # Number of loads squashed
+system.cpu.iew.lsq.thread0.ignoredResponses 12 # Number of memory responses ignored because the instruction is squashed
+system.cpu.iew.lsq.thread0.memOrderViolation 12 # Number of memory ordering violations
+system.cpu.iew.lsq.thread0.squashedStores 848 # Number of stores squashed
+system.cpu.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
+system.cpu.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
+system.cpu.iew.lsq.thread0.rescheduledLoads 0 # Number of loads that were rescheduled
+system.cpu.iew.lsq.thread0.cacheBlocked 0 # Number of times an access to memory failed due to the cache being blocked
+system.cpu.iew.iewIdleCycles 0 # Number of cycles IEW is idle
+system.cpu.iew.iewSquashCycles 1712 # Number of cycles IEW is squashing
+system.cpu.iew.iewBlockCycles 144 # Number of cycles IEW is blocking
+system.cpu.iew.iewUnblockCycles 16 # Number of cycles IEW is unblocking
+system.cpu.iew.iewDispatchedInsts 20576 # Number of instructions dispatched to IQ
+system.cpu.iew.iewDispSquashedInsts 23 # Number of squashed instructions skipped by dispatch
+system.cpu.iew.iewDispLoadInsts 2238 # Number of dispatched load instructions
+system.cpu.iew.iewDispStoreInsts 1782 # Number of dispatched store instructions
+system.cpu.iew.iewDispNonSpecInsts 33 # Number of dispatched non-speculative instructions
+system.cpu.iew.iewIQFullEvents 6 # Number of times the IQ has become full, causing a stall
+system.cpu.iew.iewLSQFullEvents 0 # Number of times the LSQ has become full, causing a stall
+system.cpu.iew.memOrderViolationEvents 12 # Number of memory order violations
+system.cpu.iew.predictedTakenIncorrect 65 # Number of branches that were predicted taken incorrectly
+system.cpu.iew.predictedNotTakenIncorrect 523 # Number of branches that were predicted not taken incorrectly
+system.cpu.iew.branchMispredicts 588 # Number of branch mispredicts detected at execute
+system.cpu.iew.iewExecutedInsts 16100 # Number of executed instructions
+system.cpu.iew.iewExecLoadInsts 1742 # Number of load instructions executed
+system.cpu.iew.iewExecSquashedInsts 858 # Number of squashed instructions skipped in execute
+system.cpu.iew.exec_swp 0 # number of swp insts executed
+system.cpu.iew.exec_nop 0 # number of nop insts executed
+system.cpu.iew.exec_refs 3105 # number of memory reference insts executed
+system.cpu.iew.exec_branches 1601 # Number of branches executed
+system.cpu.iew.exec_stores 1363 # Number of stores executed
+system.cpu.iew.exec_rate 0.726043 # Inst execution rate
+system.cpu.iew.wb_sent 15918 # cumulative count of insts sent to commit
+system.cpu.iew.wb_count 15759 # cumulative count of insts written-back
+system.cpu.iew.wb_producers 10538 # num instructions producing a value
+system.cpu.iew.wb_consumers 15699 # num instructions consuming a value
+system.cpu.iew.wb_penalized 0 # number of instrctions required to write to 'other' IQ
+system.cpu.iew.wb_rate 0.710665 # insts written-back per cycle
+system.cpu.iew.wb_fanout 0.671253 # average fanout of values written-back
+system.cpu.iew.wb_penalized_rate 0 # fraction of instructions written-back that wrote to 'other' IQ
+system.cpu.commit.commitCommittedInsts 9809 # The number of committed instructions
+system.cpu.commit.commitSquashedInsts 10766 # The number of squashed insts skipped by commit
+system.cpu.commit.commitNonSpecStalls 13 # The number of times commit has been forced to stall to communicate backwards
+system.cpu.commit.branchMispredicts 497 # The number of times a branch was mispredicted
+system.cpu.commit.committed_per_cycle::samples 11376 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::mean 0.862254 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::stdev 1.686850 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::0 7944 69.83% 69.83% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::1 1088 9.56% 79.40% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::2 574 5.05% 84.44% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::3 883 7.76% 92.20% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::4 343 3.02% 95.22% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::5 152 1.34% 96.55% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::6 139 1.22% 97.78% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::7 66 0.58% 98.36% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::8 187 1.64% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::total 11376 # Number of insts commited each cycle
+system.cpu.commit.count 9809 # Number of instructions committed
+system.cpu.commit.swp_count 0 # Number of s/w prefetches committed
+system.cpu.commit.refs 1990 # Number of memory references committed
+system.cpu.commit.loads 1056 # Number of loads committed
+system.cpu.commit.membars 0 # Number of memory barriers committed
+system.cpu.commit.branches 1214 # Number of branches committed
+system.cpu.commit.fp_insts 0 # Number of committed floating point instructions.
+system.cpu.commit.int_insts 9714 # Number of committed integer instructions.
+system.cpu.commit.function_calls 0 # Number of function calls committed.
+system.cpu.commit.bw_lim_events 187 # number cycles where commit BW limit reached
+system.cpu.commit.bw_limited 0 # number of insts not committed due to BW limits
+system.cpu.rob.rob_reads 31764 # The number of ROB reads
+system.cpu.rob.rob_writes 42896 # The number of ROB writes
+system.cpu.timesIdled 182 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 9087 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu.committedInsts 9809 # Number of Instructions Simulated
+system.cpu.committedInsts_total 9809 # Number of Instructions Simulated
+system.cpu.cpi 2.260679 # CPI: Cycles Per Instruction
+system.cpu.cpi_total 2.260679 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.442345 # IPC: Instructions Per Cycle
+system.cpu.ipc_total 0.442345 # IPC: Total IPC of All Threads
+system.cpu.int_regfile_reads 23665 # number of integer regfile reads
+system.cpu.int_regfile_writes 14645 # number of integer regfile writes
+system.cpu.fp_regfile_reads 4 # number of floating regfile reads
+system.cpu.misc_regfile_reads 7211 # number of misc regfile reads
+system.cpu.icache.replacements 0 # number of replacements
+system.cpu.icache.tagsinuse 145.144237 # Cycle average of tags in use
+system.cpu.icache.total_refs 1527 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 298 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 5.124161 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 145.144237 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.070871 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 1527 # number of ReadReq hits
+system.cpu.icache.demand_hits 1527 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 1527 # number of overall hits
+system.cpu.icache.ReadReq_misses 364 # number of ReadReq misses
+system.cpu.icache.demand_misses 364 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 364 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 13314500 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 13314500 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 13314500 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 1891 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 1891 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 1891 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.192491 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.192491 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.192491 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 36578.296703 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 36578.296703 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 36578.296703 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.ReadReq_mshr_hits 66 # number of ReadReq MSHR hits
+system.cpu.icache.demand_mshr_hits 66 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 66 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 298 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 298 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 298 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 10466500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 10466500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 10466500 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.157589 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.157589 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.157589 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 35122.483221 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 35122.483221 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 35122.483221 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 85.499149 # Cycle average of tags in use
+system.cpu.dcache.total_refs 2112 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 145 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 14.565517 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 85.499149 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.020874 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 1494 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 618 # number of WriteReq hits
+system.cpu.dcache.demand_hits 2112 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 2112 # number of overall hits
+system.cpu.dcache.ReadReq_misses 113 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 316 # number of WriteReq misses
+system.cpu.dcache.demand_misses 429 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 429 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 3938500 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 10708500 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 14647000 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 14647000 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 1607 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 934 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 2541 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 2541 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.070317 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.338330 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.168831 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.168831 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 34853.982301 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 33887.658228 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 34142.191142 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 34142.191142 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.ReadReq_mshr_hits 44 # number of ReadReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits 239 # number of WriteReq MSHR hits
+system.cpu.dcache.demand_mshr_hits 283 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 283 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 69 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 77 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 146 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 146 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 2422500 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 2761000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 5183500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 5183500 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.042937 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.082441 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.057458 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.057458 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 35108.695652 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 35857.142857 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 35503.424658 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 35503.424658 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 178.614114 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 2 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 364 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0.005495 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 178.614114 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.005451 # Average percentage of cache occupancy
+system.cpu.l2cache.ReadReq_hits 2 # number of ReadReq hits
+system.cpu.l2cache.demand_hits 2 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 2 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 365 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 77 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 442 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 442 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 12494500 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 2654000 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 15148500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 15148500 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 367 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 77 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 444 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 444 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 0.994550 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 0.995495 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 0.995495 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 34231.506849 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 34467.532468 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 34272.624434 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 34272.624434 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 365 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 77 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 442 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 442 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 11330000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 2409500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 13739500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 13739500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 0.994550 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 0.995495 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 0.995495 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 31041.095890 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 31292.207792 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 31084.841629 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 31084.841629 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/config.ini b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/config.ini
new file mode 100644
index 000000000..e5a1ce348
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/config.ini
@@ -0,0 +1,102 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=AtomicSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+simulate_data_stalls=false
+simulate_inst_stalls=false
+system=system
+tracer=system.cpu.tracer
+width=1
+workload=system.cpu.workload
+dcache_port=system.membus.port[3]
+icache_port=system.membus.port[2]
+
+[system.cpu.dtb]
+type=X86TLB
+size=64
+
+[system.cpu.itb]
+type=X86TLB
+size=64
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/x86/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simerr b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simerr
new file mode 100755
index 000000000..ac4ad20a5
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simerr
@@ -0,0 +1,4 @@
+warn: Sockets disabled, not accepting gdb connections
+warn: instruction 'fnstcw_Mw' unimplemented
+warn: instruction 'fldcw_Mw' unimplemented
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simout b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simout
new file mode 100755
index 000000000..de652c174
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/simout
@@ -0,0 +1,11 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:08:34
+gem5 started Jan 23 2012 04:24:38
+gem5 executing on zizzer
+command line: build/X86_SE/gem5.opt -d build/X86_SE/tests/opt/quick/00.hello/x86/linux/simple-atomic -re tests/run.py build/X86_SE/tests/opt/quick/00.hello/x86/linux/simple-atomic
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+Hello world!
+Exiting @ tick 5651000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt
new file mode 100644
index 000000000..e2f539833
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt
@@ -0,0 +1,45 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000006 # Number of seconds simulated
+sim_ticks 5651000 # Number of ticks simulated
+final_tick 5651000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 225004 # Simulator instruction rate (inst/s)
+host_tick_rate 129531520 # Simulator tick rate (ticks/s)
+host_mem_usage 202604 # Number of bytes of host memory used
+host_seconds 0.04 # Real time elapsed on the host
+sim_insts 9810 # Number of instructions simulated
+system.physmem.bytes_read 62348 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 55280 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 7110 # Number of bytes written to this memory
+system.physmem.num_reads 7966 # Number of read requests responded to by this memory
+system.physmem.num_writes 934 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 11033091488 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 9782339409 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 1258184392 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 12291275880 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.workload.num_syscalls 11 # Number of system calls
+system.cpu.numCycles 11303 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 9810 # Number of instructions executed
+system.cpu.num_int_alu_accesses 9715 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 0 # Number of float alu accesses
+system.cpu.num_func_calls 0 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 904 # number of instructions that are conditional controls
+system.cpu.num_int_insts 9715 # number of integer instructions
+system.cpu.num_fp_insts 0 # number of float instructions
+system.cpu.num_int_register_reads 21313 # number of times the integer registers were read
+system.cpu.num_int_register_writes 9368 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 0 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 1990 # number of memory refs
+system.cpu.num_load_insts 1056 # Number of load instructions
+system.cpu.num_store_insts 934 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 11303 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini
new file mode 100644
index 000000000..3ef5774b9
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/config.ini
@@ -0,0 +1,268 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000
+time_sync_spin_threshold=100000
+
+[system]
+type=System
+children=cpu dir_cntrl0 l1_cntrl0 physmem ruby sys_port_proxy
+mem_mode=timing
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.sys_port_proxy.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dtb itb tracer workload
+checker=Null
+clock=1
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.l1_cntrl0.sequencer.port[1]
+icache_port=system.l1_cntrl0.sequencer.port[0]
+
+[system.cpu.dtb]
+type=X86TLB
+size=64
+
+[system.cpu.itb]
+type=X86TLB
+size=64
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/x86/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.dir_cntrl0]
+type=Directory_Controller
+children=directory memBuffer
+buffer_size=0
+cntrl_id=1
+directory=system.dir_cntrl0.directory
+directory_latency=12
+memBuffer=system.dir_cntrl0.memBuffer
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+transitions_per_cycle=32
+version=0
+
+[system.dir_cntrl0.directory]
+type=RubyDirectoryMemory
+map_levels=4
+numa_high_bit=6
+size=134217728
+use_map=false
+version=0
+
+[system.dir_cntrl0.memBuffer]
+type=RubyMemoryControl
+bank_bit_0=8
+bank_busy_time=11
+bank_queue_size=12
+banks_per_rank=8
+basic_bus_busy_time=2
+dimm_bit_0=12
+dimms_per_channel=2
+mem_bus_cycle_multiplier=10
+mem_ctl_latency=12
+mem_fixed_delay=0
+mem_random_arbitrate=0
+rank_bit_0=11
+rank_rank_delay=1
+ranks_per_dimm=2
+read_write_delay=2
+refresh_period=1560
+tFaw=0
+version=0
+
+[system.l1_cntrl0]
+type=L1Cache_Controller
+children=cacheMemory sequencer
+buffer_size=0
+cacheMemory=system.l1_cntrl0.cacheMemory
+cache_response_latency=12
+cntrl_id=0
+issue_latency=2
+number_of_TBEs=256
+recycle_latency=10
+ruby_system=system.ruby
+sequencer=system.l1_cntrl0.sequencer
+transitions_per_cycle=32
+version=0
+
+[system.l1_cntrl0.cacheMemory]
+type=RubyCache
+assoc=2
+is_icache=false
+latency=3
+replacement_policy=PSEUDO_LRU
+size=256
+start_index_bit=6
+
+[system.l1_cntrl0.sequencer]
+type=RubySequencer
+access_phys_mem=true
+dcache=system.l1_cntrl0.cacheMemory
+deadlock_threshold=500000
+icache=system.l1_cntrl0.cacheMemory
+max_outstanding_requests=16
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[0]
+port=system.cpu.icache_port system.cpu.dcache_port
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.l1_cntrl0.sequencer.physMemPort system.sys_port_proxy.physMemPort
+
+[system.ruby]
+type=RubySystem
+children=network profiler
+block_size_bytes=64
+clock=1
+mem_size=134217728
+no_mem_vec=false
+random_seed=1234
+randomization=false
+stats_filename=ruby.stats
+
+[system.ruby.network]
+type=SimpleNetwork
+children=topology
+adaptive_routing=false
+buffer_size=0
+control_msg_size=8
+endpoint_bandwidth=1000
+number_of_virtual_networks=10
+ruby_system=system.ruby
+topology=system.ruby.network.topology
+
+[system.ruby.network.topology]
+type=Topology
+children=ext_links0 ext_links1 int_links0 int_links1 routers0 routers1 routers2
+description=Crossbar
+ext_links=system.ruby.network.topology.ext_links0 system.ruby.network.topology.ext_links1
+int_links=system.ruby.network.topology.int_links0 system.ruby.network.topology.int_links1
+print_config=false
+routers=system.ruby.network.topology.routers0 system.ruby.network.topology.routers1 system.ruby.network.topology.routers2
+
+[system.ruby.network.topology.ext_links0]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.l1_cntrl0
+int_node=system.ruby.network.topology.routers0
+latency=1
+link_id=0
+weight=1
+
+[system.ruby.network.topology.ext_links1]
+type=SimpleExtLink
+bandwidth_factor=16
+ext_node=system.dir_cntrl0
+int_node=system.ruby.network.topology.routers1
+latency=1
+link_id=1
+weight=1
+
+[system.ruby.network.topology.int_links0]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=2
+node_a=system.ruby.network.topology.routers0
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.int_links1]
+type=SimpleIntLink
+bandwidth_factor=16
+latency=1
+link_id=3
+node_a=system.ruby.network.topology.routers1
+node_b=system.ruby.network.topology.routers2
+weight=1
+
+[system.ruby.network.topology.routers0]
+type=BasicRouter
+router_id=0
+
+[system.ruby.network.topology.routers1]
+type=BasicRouter
+router_id=1
+
+[system.ruby.network.topology.routers2]
+type=BasicRouter
+router_id=2
+
+[system.ruby.profiler]
+type=RubyProfiler
+all_instructions=false
+hot_lines=false
+num_of_sequencers=1
+ruby_system=system.ruby
+
+[system.sys_port_proxy]
+type=RubyPortProxy
+access_phys_mem=true
+physmem=system.physmem
+ruby_system=system.ruby
+using_network_tester=false
+using_ruby_tester=false
+version=0
+physMemPort=system.physmem.port[1]
+port=system.system_port
+
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/ruby.stats b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/ruby.stats
new file mode 100644
index 000000000..33342e3e3
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/ruby.stats
@@ -0,0 +1,314 @@
+
+================ Begin RubySystem Configuration Print ================
+
+RubySystem config:
+ random_seed: 1234
+ randomization: 0
+ cycle_period: 1
+ block_size_bytes: 64
+ block_size_bits: 6
+ memory_size_bytes: 134217728
+ memory_size_bits: 27
+
+Network Configuration
+---------------------
+network: SIMPLE_NETWORK
+topology:
+
+virtual_net_0: active, ordered
+virtual_net_1: active, ordered
+virtual_net_2: active, ordered
+virtual_net_3: active, ordered
+virtual_net_4: active, ordered
+virtual_net_5: inactive
+virtual_net_6: inactive
+virtual_net_7: inactive
+virtual_net_8: inactive
+virtual_net_9: inactive
+
+
+Profiler Configuration
+----------------------
+periodic_stats_period: 1000000
+
+================ End RubySystem Configuration Print ================
+
+
+Real time: Jan/23/2012 04:24:44
+
+Profiler Stats
+--------------
+Elapsed_time_in_seconds: 1
+Elapsed_time_in_minutes: 0.0166667
+Elapsed_time_in_hours: 0.000277778
+Elapsed_time_in_days: 1.15741e-05
+
+Virtual_time_in_seconds: 0.27
+Virtual_time_in_minutes: 0.0045
+Virtual_time_in_hours: 7.5e-05
+Virtual_time_in_days: 3.125e-06
+
+Ruby_current_time: 276484
+Ruby_start_time: 0
+Ruby_cycles: 276484
+
+mbytes_resident: 46.1367
+mbytes_total: 218.203
+resident_ratio: 0.211439
+
+ruby_cycles_executed: [ 276485 ]
+
+Busy Controller Counts:
+L1Cache-0:0
+Directory-0:0
+
+
+Busy Bank Count:0
+
+sequencer_requests_outstanding: [binsize: 1 max: 1 count: 8901 average: 1 | standard deviation: 0 | 0 8901 ]
+
+All Non-Zero Cycle Demand Cache Accesses
+----------------------------------------
+miss_latency: [binsize: 2 max: 371 count: 8900 average: 30.0656 | standard deviation: 63.8436 | 0 7523 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 9 4 1 3 2 328 243 178 299 187 7 4 1 3 0 8 6 4 9 5 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 6 11 19 16 9 0 1 0 1 1 0 1 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 ]
+miss_latency_LD: [binsize: 2 max: 293 count: 1048 average: 86.792 | standard deviation: 89.333 | 0 549 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 5 1 1 1 1 103 47 87 151 61 1 0 0 1 0 0 1 0 4 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 3 1 14 8 2 0 0 0 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST: [binsize: 2 max: 371 count: 934 average: 50.6017 | standard deviation: 78.9939 | 0 680 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 2 1 0 1 1 66 71 34 32 24 1 1 1 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 8 2 0 1 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_IFETCH: [binsize: 2 max: 369 count: 6910 average: 18.6938 | standard deviation: 50.1996 | 0 6287 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 2 2 0 1 0 158 125 57 116 102 5 3 0 2 0 8 5 3 4 4 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 2 2 3 8 6 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_RMW_Read: [binsize: 1 max: 169 count: 8 average: 23.75 | standard deviation: 58.6905 | 0 0 0 7 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_L1Cache: [binsize: 1 max: 3 count: 7523 average: 3 | standard deviation: 0 | 0 0 0 7523 ]
+miss_latency_Directory: [binsize: 2 max: 371 count: 1377 average: 177.934 | standard deviation: 21.7881 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 9 4 1 3 2 328 243 178 299 187 7 4 1 3 0 8 6 4 9 5 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 6 11 19 16 9 0 1 0 1 1 0 1 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 ]
+miss_latency_wCC_issue_to_initial_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_initial_forward_request: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_forward_to_first_response: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+miss_latency_wCC_first_response_to_completion: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+imcomplete_wCC_Times: 0
+miss_latency_dir_issue_to_initial_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_initial_forward_request: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_forward_to_first_response: [binsize: 1 max: 0 count: 1 average: 0 | standard deviation: 0 | 1 ]
+miss_latency_dir_first_response_to_completion: [binsize: 1 max: 159 count: 1 average: 159 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+imcomplete_dir_Times: 1376
+miss_latency_LD_L1Cache: [binsize: 1 max: 3 count: 549 average: 3 | standard deviation: 0 | 0 0 0 549 ]
+miss_latency_LD_Directory: [binsize: 2 max: 293 count: 499 average: 178.98 | standard deviation: 22.8519 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 5 1 1 1 1 103 47 87 151 61 1 0 0 1 0 0 1 0 4 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 3 1 14 8 2 0 0 0 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ]
+miss_latency_ST_L1Cache: [binsize: 1 max: 3 count: 680 average: 3 | standard deviation: 0 | 0 0 0 680 ]
+miss_latency_ST_Directory: [binsize: 2 max: 371 count: 254 average: 178.039 | standard deviation: 24.8377 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 2 1 0 1 1 66 71 34 32 24 1 1 1 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 1 8 2 0 1 0 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_IFETCH_L1Cache: [binsize: 1 max: 3 count: 6287 average: 3 | standard deviation: 0 | 0 0 0 6287 ]
+miss_latency_IFETCH_Directory: [binsize: 2 max: 369 count: 623 average: 177.067 | standard deviation: 19.4782 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 2 2 0 1 0 158 125 57 116 102 5 3 0 2 0 8 5 3 4 4 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 2 2 3 8 6 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+miss_latency_RMW_Read_L1Cache: [binsize: 1 max: 3 count: 7 average: 3 | standard deviation: 0 | 0 0 0 7 ]
+miss_latency_RMW_Read_Directory: [binsize: 1 max: 169 count: 1 average: 169 | standard deviation: 0 | 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 ]
+
+All Non-Zero Cycle SW Prefetch Requests
+------------------------------------
+prefetch_latency: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+prefetch_latency_L2Miss:[binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+Request vs. RubySystem State Profile
+--------------------------------
+
+
+filter_action: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Message Delayed Cycles
+----------------------
+Total_delay_cycles: [binsize: 1 max: 0 count: 2750 average: 0 | standard deviation: 0 | 2750 ]
+Total_nonPF_delay_cycles: [binsize: 1 max: 0 count: 2750 average: 0 | standard deviation: 0 | 2750 ]
+ virtual_network_0_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_1_delay_cycles: [binsize: 1 max: 0 count: 1377 average: 0 | standard deviation: 0 | 1377 ]
+ virtual_network_2_delay_cycles: [binsize: 1 max: 0 count: 1373 average: 0 | standard deviation: 0 | 1373 ]
+ virtual_network_3_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_4_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_5_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_6_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_7_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_8_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+ virtual_network_9_delay_cycles: [binsize: 1 max: 0 count: 0 average: NaN |standard deviation: NaN | 0 ]
+
+Resource Usage
+--------------
+page_size: 4096
+user_time: 0
+system_time: 0
+page_reclaims: 12102
+page_faults: 2
+swaps: 0
+block_inputs: 144
+block_outputs: 88
+
+Network Stats
+-------------
+
+total_msg_count_Control: 4131 33048
+total_msg_count_Data: 4119 296568
+total_msg_count_Response_Data: 4131 297432
+total_msg_count_Writeback_Control: 4119 32952
+total_msgs: 16500 total_bytes: 660000
+
+switch_0_inlinks: 2
+switch_0_outlinks: 2
+links_utilized_percent_switch_0: 2.48658
+ links_utilized_percent_switch_0_link_0: 2.48947 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_0_link_1: 2.48369 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_0_link_0_Response_Data: 1377 99144 [ 0 0 0 0 1377 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_0_Writeback_Control: 1373 10984 [ 0 0 0 1373 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Control: 1377 11016 [ 0 0 1377 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_0_link_1_Data: 1373 98856 [ 0 0 1373 0 0 0 0 0 0 0 ] base_latency: 1
+
+switch_1_inlinks: 2
+switch_1_outlinks: 2
+links_utilized_percent_switch_1: 2.48658
+ links_utilized_percent_switch_1_link_0: 2.48369 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_1_link_1: 2.48947 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_1_link_0_Control: 1377 11016 [ 0 0 1377 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_0_Data: 1373 98856 [ 0 0 1373 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Response_Data: 1377 99144 [ 0 0 0 0 1377 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_1_link_1_Writeback_Control: 1373 10984 [ 0 0 0 1373 0 0 0 0 0 0 ] base_latency: 1
+
+switch_2_inlinks: 2
+switch_2_outlinks: 2
+links_utilized_percent_switch_2: 2.48658
+ links_utilized_percent_switch_2_link_0: 2.48947 bw: 16000 base_latency: 1
+ links_utilized_percent_switch_2_link_1: 2.48369 bw: 16000 base_latency: 1
+
+ outgoing_messages_switch_2_link_0_Response_Data: 1377 99144 [ 0 0 0 0 1377 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_0_Writeback_Control: 1373 10984 [ 0 0 0 1373 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Control: 1377 11016 [ 0 0 1377 0 0 0 0 0 0 0 ] base_latency: 1
+ outgoing_messages_switch_2_link_1_Data: 1373 98856 [ 0 0 1373 0 0 0 0 0 0 0 ] base_latency: 1
+
+Cache Stats: system.l1_cntrl0.cacheMemory
+ system.l1_cntrl0.cacheMemory_total_misses: 1377
+ system.l1_cntrl0.cacheMemory_total_demand_misses: 1377
+ system.l1_cntrl0.cacheMemory_total_prefetches: 0
+ system.l1_cntrl0.cacheMemory_total_sw_prefetches: 0
+ system.l1_cntrl0.cacheMemory_total_hw_prefetches: 0
+
+ system.l1_cntrl0.cacheMemory_request_type_LD: 36.2382%
+ system.l1_cntrl0.cacheMemory_request_type_ST: 18.5185%
+ system.l1_cntrl0.cacheMemory_request_type_IFETCH: 45.2433%
+
+ system.l1_cntrl0.cacheMemory_access_mode_type_Supervisor: 1377 100%
+
+ --- L1Cache ---
+ - Event Counts -
+Load [1048 ] 1048
+Ifetch [6910 ] 6910
+Store [942 ] 942
+Data [1377 ] 1377
+Fwd_GETX [0 ] 0
+Inv [0 ] 0
+Replacement [1373 ] 1373
+Writeback_Ack [1373 ] 1373
+Writeback_Nack [0 ] 0
+
+ - Transitions -
+I Load [499 ] 499
+I Ifetch [623 ] 623
+I Store [255 ] 255
+I Inv [0 ] 0
+I Replacement [0 ] 0
+
+II Writeback_Nack [0 ] 0
+
+M Load [549 ] 549
+M Ifetch [6287 ] 6287
+M Store [687 ] 687
+M Fwd_GETX [0 ] 0
+M Inv [0 ] 0
+M Replacement [1373 ] 1373
+
+MI Fwd_GETX [0 ] 0
+MI Inv [0 ] 0
+MI Writeback_Ack [1373 ] 1373
+MI Writeback_Nack [0 ] 0
+
+MII Fwd_GETX [0 ] 0
+
+IS Data [1122 ] 1122
+
+IM Data [255 ] 255
+
+Memory controller: system.dir_cntrl0.memBuffer:
+ memory_total_requests: 2750
+ memory_reads: 1377
+ memory_writes: 1373
+ memory_refreshes: 576
+ memory_total_request_delays: 3035
+ memory_delays_per_request: 1.10364
+ memory_delays_in_input_queue: 743
+ memory_delays_behind_head_of_bank_queue: 6
+ memory_delays_stalled_at_head_of_bank_queue: 2286
+ memory_stalls_for_bank_busy: 791
+ memory_stalls_for_random_busy: 0
+ memory_stalls_for_anti_starvation: 0
+ memory_stalls_for_arbitration: 78
+ memory_stalls_for_bus: 1373
+ memory_stalls_for_tfaw: 0
+ memory_stalls_for_read_write_turnaround: 44
+ memory_stalls_for_read_read_turnaround: 0
+ accesses_per_bank: 160 144 210 146 196 96 66 38 22 20 184 297 71 124 60 18 84 6 8 14 92 56 14 60 34 58 84 66 42 122 104 54
+
+ --- Directory ---
+ - Event Counts -
+GETX [1377 ] 1377
+GETS [0 ] 0
+PUTX [1373 ] 1373
+PUTX_NotOwner [0 ] 0
+DMA_READ [0 ] 0
+DMA_WRITE [0 ] 0
+Memory_Data [1377 ] 1377
+Memory_Ack [1373 ] 1373
+
+ - Transitions -
+I GETX [1377 ] 1377
+I PUTX_NotOwner [0 ] 0
+I DMA_READ [0 ] 0
+I DMA_WRITE [0 ] 0
+
+M GETX [0 ] 0
+M PUTX [1373 ] 1373
+M PUTX_NotOwner [0 ] 0
+M DMA_READ [0 ] 0
+M DMA_WRITE [0 ] 0
+
+M_DRD GETX [0 ] 0
+M_DRD PUTX [0 ] 0
+
+M_DWR GETX [0 ] 0
+M_DWR PUTX [0 ] 0
+
+M_DWRI GETX [0 ] 0
+M_DWRI Memory_Ack [0 ] 0
+
+M_DRDI GETX [0 ] 0
+M_DRDI Memory_Ack [0 ] 0
+
+IM GETX [0 ] 0
+IM GETS [0 ] 0
+IM PUTX [0 ] 0
+IM PUTX_NotOwner [0 ] 0
+IM DMA_READ [0 ] 0
+IM DMA_WRITE [0 ] 0
+IM Memory_Data [1377 ] 1377
+
+MI GETX [0 ] 0
+MI GETS [0 ] 0
+MI PUTX [0 ] 0
+MI PUTX_NotOwner [0 ] 0
+MI DMA_READ [0 ] 0
+MI DMA_WRITE [0 ] 0
+MI Memory_Ack [1373 ] 1373
+
+ID GETX [0 ] 0
+ID GETS [0 ] 0
+ID PUTX [0 ] 0
+ID PUTX_NotOwner [0 ] 0
+ID DMA_READ [0 ] 0
+ID DMA_WRITE [0 ] 0
+ID Memory_Data [0 ] 0
+
+ID_W GETX [0 ] 0
+ID_W GETS [0 ] 0
+ID_W PUTX [0 ] 0
+ID_W PUTX_NotOwner [0 ] 0
+ID_W DMA_READ [0 ] 0
+ID_W DMA_WRITE [0 ] 0
+ID_W Memory_Ack [0 ] 0
+
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr
new file mode 100755
index 000000000..ac4ad20a5
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simerr
@@ -0,0 +1,4 @@
+warn: Sockets disabled, not accepting gdb connections
+warn: instruction 'fnstcw_Mw' unimplemented
+warn: instruction 'fldcw_Mw' unimplemented
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout
new file mode 100755
index 000000000..9c1cf6357
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/simout
@@ -0,0 +1,11 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:08:34
+gem5 started Jan 23 2012 04:24:43
+gem5 executing on zizzer
+command line: build/X86_SE/gem5.opt -d build/X86_SE/tests/opt/quick/00.hello/x86/linux/simple-timing-ruby -re tests/run.py build/X86_SE/tests/opt/quick/00.hello/x86/linux/simple-timing-ruby
+Global frequency set at 1000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+Hello world!
+Exiting @ tick 276484 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt
new file mode 100644
index 000000000..49089d227
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing-ruby/stats.txt
@@ -0,0 +1,45 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000276 # Number of seconds simulated
+sim_ticks 276484 # Number of ticks simulated
+final_tick 276484 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000 # Frequency of simulated ticks
+host_inst_rate 88128 # Simulator instruction rate (inst/s)
+host_tick_rate 2483404 # Simulator tick rate (ticks/s)
+host_mem_usage 223444 # Number of bytes of host memory used
+host_seconds 0.11 # Real time elapsed on the host
+sim_insts 9810 # Number of instructions simulated
+system.physmem.bytes_read 62348 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 55280 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 7110 # Number of bytes written to this memory
+system.physmem.num_reads 7966 # Number of read requests responded to by this memory
+system.physmem.num_writes 934 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 225503103 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 199939237 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write 25715774 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total 251218877 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.workload.num_syscalls 11 # Number of system calls
+system.cpu.numCycles 276484 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 9810 # Number of instructions executed
+system.cpu.num_int_alu_accesses 9715 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 0 # Number of float alu accesses
+system.cpu.num_func_calls 0 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 904 # number of instructions that are conditional controls
+system.cpu.num_int_insts 9715 # number of integer instructions
+system.cpu.num_fp_insts 0 # number of float instructions
+system.cpu.num_int_register_reads 21313 # number of times the integer registers were read
+system.cpu.num_int_register_writes 9368 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 0 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 1990 # number of memory refs
+system.cpu.num_load_insts 1056 # Number of load instructions
+system.cpu.num_store_insts 934 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 276484 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/config.ini b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/config.ini
new file mode 100644
index 000000000..36b722b34
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/config.ini
@@ -0,0 +1,205 @@
+[root]
+type=Root
+children=system
+time_sync_enable=false
+time_sync_period=100000000000
+time_sync_spin_threshold=100000000
+
+[system]
+type=System
+children=cpu membus physmem
+mem_mode=atomic
+memories=system.physmem
+num_work_ids=16
+physmem=system.physmem
+work_begin_ckpt_count=0
+work_begin_cpu_id_exit=-1
+work_begin_exit_count=0
+work_cpus_ckpt_count=0
+work_end_ckpt_count=0
+work_end_exit_count=0
+work_item_id=-1
+system_port=system.membus.port[0]
+
+[system.cpu]
+type=TimingSimpleCPU
+children=dcache dtb icache itb l2cache toL2Bus tracer workload
+checker=Null
+clock=500
+cpu_id=0
+defer_registration=false
+do_checkpoint_insts=true
+do_statistics_insts=true
+dtb=system.cpu.dtb
+function_trace=false
+function_trace_start=0
+itb=system.cpu.itb
+max_insts_all_threads=0
+max_insts_any_thread=0
+max_loads_all_threads=0
+max_loads_any_thread=0
+numThreads=1
+phase=0
+progress_interval=0
+system=system
+tracer=system.cpu.tracer
+workload=system.cpu.workload
+dcache_port=system.cpu.dcache.cpu_side
+icache_port=system.cpu.icache.cpu_side
+
+[system.cpu.dcache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=262144
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.dcache_port
+mem_side=system.cpu.toL2Bus.port[1]
+
+[system.cpu.dtb]
+type=X86TLB
+size=64
+
+[system.cpu.icache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=true
+latency=1000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=10000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=131072
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.icache_port
+mem_side=system.cpu.toL2Bus.port[0]
+
+[system.cpu.itb]
+type=X86TLB
+size=64
+
+[system.cpu.l2cache]
+type=BaseCache
+addr_range=0:18446744073709551615
+assoc=2
+block_size=64
+forward_snoops=true
+hash_delay=1
+is_top_level=false
+latency=10000
+max_miss_count=0
+mshrs=10
+num_cpus=1
+prefetch_data_accesses_only=false
+prefetch_degree=1
+prefetch_latency=100000
+prefetch_on_access=false
+prefetch_past_page=false
+prefetch_policy=none
+prefetch_serial_squash=false
+prefetch_use_cpu_id=true
+prefetcher_size=100
+prioritizeRequests=false
+repl=Null
+size=2097152
+subblock_size=0
+tgts_per_mshr=5
+trace_addr=0
+two_queue=false
+write_buffers=8
+cpu_side=system.cpu.toL2Bus.port[2]
+mem_side=system.membus.port[2]
+
+[system.cpu.toL2Bus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.cpu.icache.mem_side system.cpu.dcache.mem_side system.cpu.l2cache.cpu_side
+
+[system.cpu.tracer]
+type=ExeTracer
+
+[system.cpu.workload]
+type=LiveProcess
+cmd=hello
+cwd=
+egid=100
+env=
+errout=cerr
+euid=100
+executable=/dist/m5/regression/test-progs/hello/bin/x86/linux/hello
+gid=100
+input=cin
+max_stack_size=67108864
+output=cout
+pid=100
+ppid=99
+simpoint=0
+system=system
+uid=100
+
+[system.membus]
+type=Bus
+block_size=64
+bus_id=0
+clock=1000
+header_cycles=1
+use_default_range=false
+width=64
+port=system.system_port system.physmem.port[0] system.cpu.l2cache.mem_side
+
+[system.physmem]
+type=PhysicalMemory
+file=
+latency=30000
+latency_var=0
+null=false
+range=0:134217727
+zero=false
+port=system.membus.port[1]
+
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/simerr b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/simerr
new file mode 100755
index 000000000..ac4ad20a5
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/simerr
@@ -0,0 +1,4 @@
+warn: Sockets disabled, not accepting gdb connections
+warn: instruction 'fnstcw_Mw' unimplemented
+warn: instruction 'fldcw_Mw' unimplemented
+hack: be nice to actually delete the event here
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/simout b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/simout
new file mode 100755
index 000000000..074c5468c
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/simout
@@ -0,0 +1,11 @@
+gem5 Simulator System. http://gem5.org
+gem5 is copyrighted software; use the --copyright option for details.
+
+gem5 compiled Jan 23 2012 04:08:34
+gem5 started Jan 23 2012 04:24:38
+gem5 executing on zizzer
+command line: build/X86_SE/gem5.opt -d build/X86_SE/tests/opt/quick/00.hello/x86/linux/simple-timing -re tests/run.py build/X86_SE/tests/opt/quick/00.hello/x86/linux/simple-timing
+Global frequency set at 1000000000000 ticks per second
+info: Entering event queue @ 0. Starting simulation...
+Hello world!
+Exiting @ tick 28768000 because target called exit()
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt
new file mode 100644
index 000000000..dcf7af574
--- /dev/null
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt
@@ -0,0 +1,228 @@
+
+---------- Begin Simulation Statistics ----------
+sim_seconds 0.000029 # Number of seconds simulated
+sim_ticks 28768000 # Number of ticks simulated
+final_tick 28768000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_freq 1000000000000 # Frequency of simulated ticks
+host_inst_rate 320748 # Simulator instruction rate (inst/s)
+host_tick_rate 940055576 # Simulator tick rate (ticks/s)
+host_mem_usage 211332 # Number of bytes of host memory used
+host_seconds 0.03 # Real time elapsed on the host
+sim_insts 9810 # Number of instructions simulated
+system.physmem.bytes_read 23104 # Number of bytes read from this memory
+system.physmem.bytes_inst_read 14528 # Number of instructions bytes read from this memory
+system.physmem.bytes_written 0 # Number of bytes written to this memory
+system.physmem.num_reads 361 # Number of read requests responded to by this memory
+system.physmem.num_writes 0 # Number of write requests responded to by this memory
+system.physmem.num_other 0 # Number of other requests responded to by this memory
+system.physmem.bw_read 803114572 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read 505005562 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total 803114572 # Total bandwidth to/from this memory (bytes/s)
+system.cpu.workload.num_syscalls 11 # Number of system calls
+system.cpu.numCycles 57536 # number of cpu cycles simulated
+system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
+system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
+system.cpu.num_insts 9810 # Number of instructions executed
+system.cpu.num_int_alu_accesses 9715 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 0 # Number of float alu accesses
+system.cpu.num_func_calls 0 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 904 # number of instructions that are conditional controls
+system.cpu.num_int_insts 9715 # number of integer instructions
+system.cpu.num_fp_insts 0 # number of float instructions
+system.cpu.num_int_register_reads 21313 # number of times the integer registers were read
+system.cpu.num_int_register_writes 9368 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 0 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
+system.cpu.num_mem_refs 1990 # number of memory refs
+system.cpu.num_load_insts 1056 # Number of load instructions
+system.cpu.num_store_insts 934 # Number of store instructions
+system.cpu.num_idle_cycles 0 # Number of idle cycles
+system.cpu.num_busy_cycles 57536 # Number of busy cycles
+system.cpu.not_idle_fraction 1 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0 # Percentage of idle cycles
+system.cpu.icache.replacements 0 # number of replacements
+system.cpu.icache.tagsinuse 105.363985 # Cycle average of tags in use
+system.cpu.icache.total_refs 6683 # Total number of references to valid blocks.
+system.cpu.icache.sampled_refs 228 # Sample count of references to valid blocks.
+system.cpu.icache.avg_refs 29.311404 # Average number of references to valid blocks.
+system.cpu.icache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.icache.occ_blocks::0 105.363985 # Average occupied blocks per context
+system.cpu.icache.occ_percent::0 0.051447 # Average percentage of cache occupancy
+system.cpu.icache.ReadReq_hits 6683 # number of ReadReq hits
+system.cpu.icache.demand_hits 6683 # number of demand (read+write) hits
+system.cpu.icache.overall_hits 6683 # number of overall hits
+system.cpu.icache.ReadReq_misses 228 # number of ReadReq misses
+system.cpu.icache.demand_misses 228 # number of demand (read+write) misses
+system.cpu.icache.overall_misses 228 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency 12726000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency 12726000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency 12726000 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses 6911 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses 6911 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses 6911 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate 0.032991 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate 0.032991 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate 0.032991 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency 55815.789474 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency 55815.789474 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency 55815.789474 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.icache.fast_writes 0 # number of fast writes performed
+system.cpu.icache.cache_copies 0 # number of cache copies performed
+system.cpu.icache.writebacks 0 # number of writebacks
+system.cpu.icache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses 228 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses 228 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses 228 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.icache.ReadReq_mshr_miss_latency 12042000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency 12042000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency 12042000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.icache.ReadReq_mshr_miss_rate 0.032991 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate 0.032991 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate 0.032991 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency 52815.789474 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency 52815.789474 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency 52815.789474 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.icache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.icache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.dcache.replacements 0 # number of replacements
+system.cpu.dcache.tagsinuse 80.668870 # Cycle average of tags in use
+system.cpu.dcache.total_refs 1856 # Total number of references to valid blocks.
+system.cpu.dcache.sampled_refs 134 # Sample count of references to valid blocks.
+system.cpu.dcache.avg_refs 13.850746 # Average number of references to valid blocks.
+system.cpu.dcache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.dcache.occ_blocks::0 80.668870 # Average occupied blocks per context
+system.cpu.dcache.occ_percent::0 0.019695 # Average percentage of cache occupancy
+system.cpu.dcache.ReadReq_hits 1001 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits 855 # number of WriteReq hits
+system.cpu.dcache.demand_hits 1856 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits 1856 # number of overall hits
+system.cpu.dcache.ReadReq_misses 55 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses 79 # number of WriteReq misses
+system.cpu.dcache.demand_misses 134 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses 134 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency 3080000 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency 4424000 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency 7504000 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency 7504000 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses 1056 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses 934 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses 1990 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses 1990 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate 0.052083 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate 0.084582 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate 0.067337 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate 0.067337 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency 56000 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency 56000 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency 56000 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency 56000 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.dcache.fast_writes 0 # number of fast writes performed
+system.cpu.dcache.cache_copies 0 # number of cache copies performed
+system.cpu.dcache.writebacks 0 # number of writebacks
+system.cpu.dcache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses 55 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses 79 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses 134 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses 134 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.dcache.ReadReq_mshr_miss_latency 2915000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency 4187000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency 7102000 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency 7102000 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate 0.052083 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate 0.084582 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate 0.067337 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate 0.067337 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency 53000 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency 53000 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency 53000 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency 53000 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.dcache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.dcache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.replacements 0 # number of replacements
+system.cpu.l2cache.tagsinuse 133.809342 # Cycle average of tags in use
+system.cpu.l2cache.total_refs 1 # Total number of references to valid blocks.
+system.cpu.l2cache.sampled_refs 282 # Sample count of references to valid blocks.
+system.cpu.l2cache.avg_refs 0.003546 # Average number of references to valid blocks.
+system.cpu.l2cache.warmup_cycle 0 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.occ_blocks::0 133.809342 # Average occupied blocks per context
+system.cpu.l2cache.occ_percent::0 0.004084 # Average percentage of cache occupancy
+system.cpu.l2cache.ReadReq_hits 1 # number of ReadReq hits
+system.cpu.l2cache.demand_hits 1 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits 1 # number of overall hits
+system.cpu.l2cache.ReadReq_misses 282 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses 79 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses 361 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses 361 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency 14664000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency 4108000 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency 18772000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency 18772000 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses 283 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses 79 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses 362 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses 362 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate 0.996466 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate 1 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate 0.997238 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate 0.997238 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency 52000 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency 52000 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency 52000 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency 52000 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs no_value # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_targets no_value # average number of cycles each access was blocked
+system.cpu.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu.l2cache.writebacks 0 # number of writebacks
+system.cpu.l2cache.demand_mshr_hits 0 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits 0 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses 282 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses 79 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses 361 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses 361 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_uncacheable_misses 0 # number of overall MSHR uncacheable misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency 11280000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency 3160000 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency 14440000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency 14440000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency 0 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate 0.996466 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate 1 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate 0.997238 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate 0.997238 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency 40000 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency 40000 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency 40000 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency 40000 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_uncacheable_latency no_value # average overall mshr uncacheable latency
+system.cpu.l2cache.mshr_cap_events 0 # number of times MSHR cap was activated
+system.cpu.l2cache.soft_prefetch_mshr_full 0 # number of mshr full events for SW prefetching instrutions
+system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+
+---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/test.py b/tests/quick/se/00.hello/test.py
new file mode 100644
index 000000000..d765e9fc3
--- /dev/null
+++ b/tests/quick/se/00.hello/test.py
@@ -0,0 +1,30 @@
+# Copyright (c) 2006 The Regents of The University of Michigan
+# All rights reserved.
+#
+# Redistribution and use in source and binary forms, with or without
+# modification, are permitted provided that the following conditions are
+# met: redistributions of source code must retain the above copyright
+# notice, this list of conditions and the following disclaimer;
+# redistributions in binary form must reproduce the above copyright
+# notice, this list of conditions and the following disclaimer in the
+# documentation and/or other materials provided with the distribution;
+# neither the name of the copyright holders nor the names of its
+# contributors may be used to endorse or promote products derived from
+# this software without specific prior written permission.
+#
+# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
+# "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
+# LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
+# A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
+# OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
+# SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
+# LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
+# DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
+# THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
+# OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
+#
+# Authors: Steve Reinhardt
+
+root.system.cpu.workload = LiveProcess(cmd = 'hello',
+ executable = binpath('hello'))