summaryrefslogtreecommitdiff
path: root/tests/quick
diff options
context:
space:
mode:
authorAndreas Hansson <andreas.hansson@arm.com>2014-09-20 17:18:53 -0400
committerAndreas Hansson <andreas.hansson@arm.com>2014-09-20 17:18:53 -0400
commitc4e91289ae8806eb051fb1f41ece8be308f0ff85 (patch)
tree6f35a7725cfd4072c8516ee0bb2ae799d48ce896 /tests/quick
parentcc6523e2d686447f90acccac20c0fb2940dc3e3b (diff)
downloadgem5-c4e91289ae8806eb051fb1f41ece8be308f0ff85.tar.xz
stats: Bump stats for filter, crossbar and config changes
This patch bumps the stats to reflect the addition of the snoop filter and snoop stats, the change from bus to crossbar, and the updates to the ARM regressions that are now using a different CPU and cache configuration. Lastly, some minor changes are expected due to the activation cleanup of the CPUs.
Diffstat (limited to 'tests/quick')
-rw-r--r--tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/stats.txt1472
-rw-r--r--tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/stats.txt481
-rw-r--r--tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/stats.txt2605
-rw-r--r--tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/stats.txt1300
-rw-r--r--tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/stats.txt1530
-rw-r--r--tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic/stats.txt462
-rw-r--r--tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/stats.txt3766
-rw-r--r--tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing/stats.txt1336
-rw-r--r--tests/quick/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-atomic/stats.txt1036
-rw-r--r--tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-atomic/stats.txt827
-rw-r--r--tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing/stats.txt1883
-rw-r--r--tests/quick/fs/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/stats.txt468
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/minor-timing/stats.txt253
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt57
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt34
-rw-r--r--tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt47
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/minor-timing/stats.txt57
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/stats.txt165
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/stats.txt32
-rw-r--r--tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/stats.txt47
-rw-r--r--tests/quick/se/00.hello/ref/arm/linux/minor-timing/stats.txt295
-rw-r--r--tests/quick/se/00.hello/ref/arm/linux/o3-timing-checker/stats.txt239
-rw-r--r--tests/quick/se/00.hello/ref/arm/linux/o3-timing/stats.txt1422
-rw-r--r--tests/quick/se/00.hello/ref/arm/linux/simple-atomic-dummychecker/stats.txt41
-rw-r--r--tests/quick/se/00.hello/ref/arm/linux/simple-atomic/stats.txt41
-rw-r--r--tests/quick/se/00.hello/ref/arm/linux/simple-timing/stats.txt53
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/inorder-timing/stats.txt301
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt277
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/simple-atomic/stats.txt34
-rw-r--r--tests/quick/se/00.hello/ref/mips/linux/simple-timing/stats.txt47
-rw-r--r--tests/quick/se/00.hello/ref/power/linux/o3-timing/stats.txt57
-rw-r--r--tests/quick/se/00.hello/ref/power/linux/simple-atomic/stats.txt34
-rw-r--r--tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/stats.txt367
-rw-r--r--tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/stats.txt32
-rw-r--r--tests/quick/se/00.hello/ref/sparc/linux/simple-timing/stats.txt47
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt347
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt38
-rw-r--r--tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt51
-rw-r--r--tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing/stats.txt1231
-rw-r--r--tests/quick/se/02.insttest/ref/sparc/linux/inorder-timing/stats.txt315
-rw-r--r--tests/quick/se/02.insttest/ref/sparc/linux/o3-timing/stats.txt217
-rw-r--r--tests/quick/se/02.insttest/ref/sparc/linux/simple-atomic/stats.txt34
-rw-r--r--tests/quick/se/02.insttest/ref/sparc/linux/simple-timing/stats.txt49
-rw-r--r--tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/o3-timing-mp/stats.txt3729
-rw-r--r--tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-atomic-mp/stats.txt746
-rw-r--r--tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp/stats.txt473
-rw-r--r--tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_Two_Level/stats.txt8
-rw-r--r--tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/stats.txt52
-rw-r--r--tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/stats.txt52
-rw-r--r--tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/stats.txt64
-rw-r--r--tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/stats.txt28
-rw-r--r--tests/quick/se/50.memtest/ref/null/none/memtest-filter/stats.txt3097
-rw-r--r--tests/quick/se/50.memtest/ref/null/none/memtest/stats.txt65
-rw-r--r--tests/quick/se/70.tgen/ref/null/none/tgen-dram-ctrl/stats.txt311
-rw-r--r--tests/quick/se/70.tgen/ref/null/none/tgen-simple-mem/stats.txt12
55 files changed, 17447 insertions, 14617 deletions
diff --git a/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/stats.txt b/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/stats.txt
index 87d1939f2..973b187d4 100644
--- a/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/stats.txt
+++ b/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic-dual/stats.txt
@@ -1,188 +1,221 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 1.870335 # Number of seconds simulated
-sim_ticks 1870335131500 # Number of ticks simulated
-final_tick 1870335131500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 1.869358 # Number of seconds simulated
+sim_ticks 1869357988000 # Number of ticks simulated
+final_tick 1869357988000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 1824221 # Simulator instruction rate (inst/s)
-host_op_rate 1824220 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 54024573563 # Simulator tick rate (ticks/s)
-host_mem_usage 318368 # Number of bytes of host memory used
-host_seconds 34.62 # Real time elapsed on the host
-sim_insts 63154606 # Number of instructions simulated
-sim_ops 63154606 # Number of ops (including micro ops) simulated
+host_inst_rate 2868261 # Simulator instruction rate (inst/s)
+host_op_rate 2868259 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 82489350498 # Simulator tick rate (ticks/s)
+host_mem_usage 370556 # Number of bytes of host memory used
+host_seconds 22.66 # Real time elapsed on the host
+sim_insts 64999904 # Number of instructions simulated
+sim_ops 64999904 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
-system.physmem.bytes_read::cpu0.inst 761088 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu0.data 66705472 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.inst 765760 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.data 66552064 # Number of bytes read from this memory
system.physmem.bytes_read::tsunami.ide 960 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1.inst 110976 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1.data 674112 # Number of bytes read from this memory
-system.physmem.bytes_read::total 68252608 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu0.inst 761088 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::cpu1.inst 110976 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 872064 # Number of instructions bytes read from this memory
-system.physmem.bytes_written::writebacks 5204096 # Number of bytes written to this memory
+system.physmem.bytes_read::cpu1.inst 106560 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu1.data 771648 # Number of bytes read from this memory
+system.physmem.bytes_read::total 68196992 # Number of bytes read from this memory
+system.physmem.bytes_inst_read::cpu0.inst 765760 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::cpu1.inst 106560 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::total 872320 # Number of instructions bytes read from this memory
+system.physmem.bytes_written::writebacks 5174080 # Number of bytes written to this memory
system.physmem.bytes_written::tsunami.ide 2659328 # Number of bytes written to this memory
-system.physmem.bytes_written::total 7863424 # Number of bytes written to this memory
-system.physmem.num_reads::cpu0.inst 11892 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu0.data 1042273 # Number of read requests responded to by this memory
+system.physmem.bytes_written::total 7833408 # Number of bytes written to this memory
+system.physmem.num_reads::cpu0.inst 11965 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu0.data 1039876 # Number of read requests responded to by this memory
system.physmem.num_reads::tsunami.ide 15 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1.inst 1734 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1.data 10533 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 1066447 # Number of read requests responded to by this memory
-system.physmem.num_writes::writebacks 81314 # Number of write requests responded to by this memory
+system.physmem.num_reads::cpu1.inst 1665 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu1.data 12057 # Number of read requests responded to by this memory
+system.physmem.num_reads::total 1065578 # Number of read requests responded to by this memory
+system.physmem.num_writes::writebacks 80845 # Number of write requests responded to by this memory
system.physmem.num_writes::tsunami.ide 41552 # Number of write requests responded to by this memory
-system.physmem.num_writes::total 122866 # Number of write requests responded to by this memory
-system.physmem.bw_read::cpu0.inst 406926 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.data 35664984 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::tsunami.ide 513 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.inst 59335 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.data 360423 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 36492181 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu0.inst 406926 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu1.inst 59335 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 466261 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_write::writebacks 2782440 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::tsunami.ide 1421846 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::total 4204286 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_total::writebacks 2782440 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.inst 406926 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.data 35664984 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::tsunami.ide 1422359 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.inst 59335 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.data 360423 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 40696467 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 40739369 # Throughput (bytes/s)
-system.membus.data_through_bus 76196274 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.physmem.num_writes::total 122397 # Number of write requests responded to by this memory
+system.physmem.bw_read::cpu0.inst 409638 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.data 35601562 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::tsunami.ide 514 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.inst 57004 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.data 412788 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 36481505 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu0.inst 409638 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu1.inst 57004 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 466641 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write::writebacks 2767838 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::tsunami.ide 1422589 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::total 4190427 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total::writebacks 2767838 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.inst 409638 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.data 35601562 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::tsunami.ide 1423102 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.inst 57004 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.data 412788 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 40671931 # Total bandwidth to/from this memory (bytes/s)
+system.membus.trans_dist::ReadReq 948901 # Transaction distribution
+system.membus.trans_dist::ReadResp 948901 # Transaction distribution
+system.membus.trans_dist::WriteReq 14588 # Transaction distribution
+system.membus.trans_dist::WriteResp 14588 # Transaction distribution
+system.membus.trans_dist::Writeback 80845 # Transaction distribution
+system.membus.trans_dist::WriteInvalidateReq 41552 # Transaction distribution
+system.membus.trans_dist::WriteInvalidateResp 41552 # Transaction distribution
+system.membus.trans_dist::UpgradeReq 19618 # Transaction distribution
+system.membus.trans_dist::SCUpgradeReq 14179 # Transaction distribution
+system.membus.trans_dist::UpgradeResp 8160 # Transaction distribution
+system.membus.trans_dist::ReadExReq 126515 # Transaction distribution
+system.membus.trans_dist::ReadExResp 124290 # Transaction distribution
+system.membus.pkt_count_system.l2c.mem_side::system.bridge.slave 44074 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 2256153 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::total 2300227 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 83462 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::total 83462 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 2383689 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.bridge.slave 86162 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.physmem.port 73370112 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::total 73456274 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::system.physmem.port 2670784 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::total 2670784 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 76127058 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 1224161 # Request fanout histogram
+system.membus.snoop_fanout::mean 1 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 1224161 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 1 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 1224161 # Request fanout histogram
system.cpu_clk_domain.clock 500 # Clock period in ticks
-system.l2c.tags.replacements 1000624 # number of replacements
-system.l2c.tags.tagsinuse 65381.923240 # Cycle average of tags in use
-system.l2c.tags.total_refs 2464778 # Total number of references to valid blocks.
-system.l2c.tags.sampled_refs 1065766 # Sample count of references to valid blocks.
-system.l2c.tags.avg_refs 2.312682 # Average number of references to valid blocks.
+system.l2c.tags.replacements 999765 # number of replacements
+system.l2c.tags.tagsinuse 65320.982867 # Cycle average of tags in use
+system.l2c.tags.total_refs 2387620 # Total number of references to valid blocks.
+system.l2c.tags.sampled_refs 1064815 # Sample count of references to valid blocks.
+system.l2c.tags.avg_refs 2.242286 # Average number of references to valid blocks.
system.l2c.tags.warmup_cycle 838081000 # Cycle when the warmup percentage was hit.
-system.l2c.tags.occ_blocks::writebacks 56158.686870 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.inst 4894.230886 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.data 4134.623273 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.inst 174.423683 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.data 19.958527 # Average occupied blocks per requestor
-system.l2c.tags.occ_percent::writebacks 0.856914 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.inst 0.074680 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.data 0.063089 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1.inst 0.002661 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1.data 0.000305 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::total 0.997649 # Average percentage of cache occupancy
-system.l2c.tags.occ_task_id_blocks::1024 65142 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::0 769 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::1 3264 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::2 6912 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::3 6213 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::4 47984 # Occupied blocks per task id
-system.l2c.tags.occ_task_id_percent::1024 0.993988 # Percentage of cache occupancy per task id
-system.l2c.tags.tag_accesses 32109770 # Number of tag accesses
-system.l2c.tags.data_accesses 32109770 # Number of data accesses
-system.l2c.ReadReq_hits::cpu0.inst 873092 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu0.data 763091 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.inst 101902 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.data 36740 # number of ReadReq hits
-system.l2c.ReadReq_hits::total 1774825 # number of ReadReq hits
-system.l2c.Writeback_hits::writebacks 816663 # number of Writeback hits
-system.l2c.Writeback_hits::total 816663 # number of Writeback hits
-system.l2c.UpgradeReq_hits::cpu0.data 135 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::cpu1.data 37 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::total 172 # number of UpgradeReq hits
-system.l2c.SCUpgradeReq_hits::cpu0.data 14 # number of SCUpgradeReq hits
-system.l2c.SCUpgradeReq_hits::cpu1.data 9 # number of SCUpgradeReq hits
-system.l2c.SCUpgradeReq_hits::total 23 # number of SCUpgradeReq hits
-system.l2c.ReadExReq_hits::cpu0.data 166232 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::cpu1.data 14288 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::total 180520 # number of ReadExReq hits
-system.l2c.demand_hits::cpu0.inst 873092 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu0.data 929323 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.inst 101902 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.data 51028 # number of demand (read+write) hits
-system.l2c.demand_hits::total 1955345 # number of demand (read+write) hits
-system.l2c.overall_hits::cpu0.inst 873092 # number of overall hits
-system.l2c.overall_hits::cpu0.data 929323 # number of overall hits
-system.l2c.overall_hits::cpu1.inst 101902 # number of overall hits
-system.l2c.overall_hits::cpu1.data 51028 # number of overall hits
-system.l2c.overall_hits::total 1955345 # number of overall hits
-system.l2c.ReadReq_misses::cpu0.inst 11892 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu0.data 926761 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1.inst 1734 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1.data 908 # number of ReadReq misses
-system.l2c.ReadReq_misses::total 941295 # number of ReadReq misses
-system.l2c.UpgradeReq_misses::cpu0.data 2442 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu1.data 570 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::total 3012 # number of UpgradeReq misses
-system.l2c.SCUpgradeReq_misses::cpu0.data 65 # number of SCUpgradeReq misses
-system.l2c.SCUpgradeReq_misses::cpu1.data 100 # number of SCUpgradeReq misses
-system.l2c.SCUpgradeReq_misses::total 165 # number of SCUpgradeReq misses
-system.l2c.ReadExReq_misses::cpu0.data 115706 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::cpu1.data 9662 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::total 125368 # number of ReadExReq misses
-system.l2c.demand_misses::cpu0.inst 11892 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu0.data 1042467 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1.inst 1734 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1.data 10570 # number of demand (read+write) misses
-system.l2c.demand_misses::total 1066663 # number of demand (read+write) misses
-system.l2c.overall_misses::cpu0.inst 11892 # number of overall misses
-system.l2c.overall_misses::cpu0.data 1042467 # number of overall misses
-system.l2c.overall_misses::cpu1.inst 1734 # number of overall misses
-system.l2c.overall_misses::cpu1.data 10570 # number of overall misses
-system.l2c.overall_misses::total 1066663 # number of overall misses
-system.l2c.ReadReq_accesses::cpu0.inst 884984 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu0.data 1689852 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.inst 103636 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.data 37648 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::total 2716120 # number of ReadReq accesses(hits+misses)
-system.l2c.Writeback_accesses::writebacks 816663 # number of Writeback accesses(hits+misses)
-system.l2c.Writeback_accesses::total 816663 # number of Writeback accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu0.data 2577 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu1.data 607 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::total 3184 # number of UpgradeReq accesses(hits+misses)
-system.l2c.SCUpgradeReq_accesses::cpu0.data 79 # number of SCUpgradeReq accesses(hits+misses)
-system.l2c.SCUpgradeReq_accesses::cpu1.data 109 # number of SCUpgradeReq accesses(hits+misses)
-system.l2c.SCUpgradeReq_accesses::total 188 # number of SCUpgradeReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu0.data 281938 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu1.data 23950 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::total 305888 # number of ReadExReq accesses(hits+misses)
-system.l2c.demand_accesses::cpu0.inst 884984 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu0.data 1971790 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.inst 103636 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.data 61598 # number of demand (read+write) accesses
-system.l2c.demand_accesses::total 3022008 # number of demand (read+write) accesses
-system.l2c.overall_accesses::cpu0.inst 884984 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu0.data 1971790 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.inst 103636 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.data 61598 # number of overall (read+write) accesses
-system.l2c.overall_accesses::total 3022008 # number of overall (read+write) accesses
-system.l2c.ReadReq_miss_rate::cpu0.inst 0.013438 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu0.data 0.548427 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1.inst 0.016732 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1.data 0.024118 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::total 0.346559 # miss rate for ReadReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu0.data 0.947614 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu1.data 0.939044 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::total 0.945980 # miss rate for UpgradeReq accesses
-system.l2c.SCUpgradeReq_miss_rate::cpu0.data 0.822785 # miss rate for SCUpgradeReq accesses
-system.l2c.SCUpgradeReq_miss_rate::cpu1.data 0.917431 # miss rate for SCUpgradeReq accesses
-system.l2c.SCUpgradeReq_miss_rate::total 0.877660 # miss rate for SCUpgradeReq accesses
-system.l2c.ReadExReq_miss_rate::cpu0.data 0.410395 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::cpu1.data 0.403424 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::total 0.409849 # miss rate for ReadExReq accesses
-system.l2c.demand_miss_rate::cpu0.inst 0.013438 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu0.data 0.528691 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1.inst 0.016732 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1.data 0.171596 # miss rate for demand accesses
-system.l2c.demand_miss_rate::total 0.352965 # miss rate for demand accesses
-system.l2c.overall_miss_rate::cpu0.inst 0.013438 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu0.data 0.528691 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1.inst 0.016732 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1.data 0.171596 # miss rate for overall accesses
-system.l2c.overall_miss_rate::total 0.352965 # miss rate for overall accesses
+system.l2c.tags.occ_blocks::writebacks 56016.884833 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.inst 4834.504330 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.data 4176.028554 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.inst 178.991920 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.data 114.573230 # Average occupied blocks per requestor
+system.l2c.tags.occ_percent::writebacks 0.854750 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.inst 0.073769 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.data 0.063721 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1.inst 0.002731 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1.data 0.001748 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::total 0.996719 # Average percentage of cache occupancy
+system.l2c.tags.occ_task_id_blocks::1024 65050 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::0 768 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::1 3271 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::2 6128 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::3 5934 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::4 48949 # Occupied blocks per task id
+system.l2c.tags.occ_task_id_percent::1024 0.992584 # Percentage of cache occupancy per task id
+system.l2c.tags.tag_accesses 31465722 # Number of tag accesses
+system.l2c.tags.data_accesses 31465722 # Number of data accesses
+system.l2c.ReadReq_hits::cpu0.inst 606953 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu0.data 626726 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.inst 379523 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.data 129013 # number of ReadReq hits
+system.l2c.ReadReq_hits::total 1742215 # number of ReadReq hits
+system.l2c.Writeback_hits::writebacks 777631 # number of Writeback hits
+system.l2c.Writeback_hits::total 777631 # number of Writeback hits
+system.l2c.UpgradeReq_hits::cpu0.data 116 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::cpu1.data 577 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::total 693 # number of UpgradeReq hits
+system.l2c.SCUpgradeReq_hits::cpu0.data 37 # number of SCUpgradeReq hits
+system.l2c.SCUpgradeReq_hits::cpu1.data 13 # number of SCUpgradeReq hits
+system.l2c.SCUpgradeReq_hits::total 50 # number of SCUpgradeReq hits
+system.l2c.ReadExReq_hits::cpu0.data 111430 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::cpu1.data 56603 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::total 168033 # number of ReadExReq hits
+system.l2c.demand_hits::cpu0.inst 606953 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu0.data 738156 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.inst 379523 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.data 185616 # number of demand (read+write) hits
+system.l2c.demand_hits::total 1910248 # number of demand (read+write) hits
+system.l2c.overall_hits::cpu0.inst 606953 # number of overall hits
+system.l2c.overall_hits::cpu0.data 738156 # number of overall hits
+system.l2c.overall_hits::cpu1.inst 379523 # number of overall hits
+system.l2c.overall_hits::cpu1.data 185616 # number of overall hits
+system.l2c.overall_hits::total 1910248 # number of overall hits
+system.l2c.ReadReq_misses::cpu0.inst 11965 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu0.data 926610 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1.inst 1665 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1.data 1033 # number of ReadReq misses
+system.l2c.ReadReq_misses::total 941273 # number of ReadReq misses
+system.l2c.UpgradeReq_misses::cpu0.data 3006 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu1.data 2175 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::total 5181 # number of UpgradeReq misses
+system.l2c.SCUpgradeReq_misses::cpu0.data 1175 # number of SCUpgradeReq misses
+system.l2c.SCUpgradeReq_misses::cpu1.data 1110 # number of SCUpgradeReq misses
+system.l2c.SCUpgradeReq_misses::total 2285 # number of SCUpgradeReq misses
+system.l2c.ReadExReq_misses::cpu0.data 113916 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::cpu1.data 11068 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::total 124984 # number of ReadExReq misses
+system.l2c.demand_misses::cpu0.inst 11965 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu0.data 1040526 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1.inst 1665 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1.data 12101 # number of demand (read+write) misses
+system.l2c.demand_misses::total 1066257 # number of demand (read+write) misses
+system.l2c.overall_misses::cpu0.inst 11965 # number of overall misses
+system.l2c.overall_misses::cpu0.data 1040526 # number of overall misses
+system.l2c.overall_misses::cpu1.inst 1665 # number of overall misses
+system.l2c.overall_misses::cpu1.data 12101 # number of overall misses
+system.l2c.overall_misses::total 1066257 # number of overall misses
+system.l2c.ReadReq_accesses::cpu0.inst 618918 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu0.data 1553336 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.inst 381188 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.data 130046 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::total 2683488 # number of ReadReq accesses(hits+misses)
+system.l2c.Writeback_accesses::writebacks 777631 # number of Writeback accesses(hits+misses)
+system.l2c.Writeback_accesses::total 777631 # number of Writeback accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu0.data 3122 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu1.data 2752 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::total 5874 # number of UpgradeReq accesses(hits+misses)
+system.l2c.SCUpgradeReq_accesses::cpu0.data 1212 # number of SCUpgradeReq accesses(hits+misses)
+system.l2c.SCUpgradeReq_accesses::cpu1.data 1123 # number of SCUpgradeReq accesses(hits+misses)
+system.l2c.SCUpgradeReq_accesses::total 2335 # number of SCUpgradeReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu0.data 225346 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu1.data 67671 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::total 293017 # number of ReadExReq accesses(hits+misses)
+system.l2c.demand_accesses::cpu0.inst 618918 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu0.data 1778682 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.inst 381188 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.data 197717 # number of demand (read+write) accesses
+system.l2c.demand_accesses::total 2976505 # number of demand (read+write) accesses
+system.l2c.overall_accesses::cpu0.inst 618918 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu0.data 1778682 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.inst 381188 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.data 197717 # number of overall (read+write) accesses
+system.l2c.overall_accesses::total 2976505 # number of overall (read+write) accesses
+system.l2c.ReadReq_miss_rate::cpu0.inst 0.019332 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu0.data 0.596529 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1.inst 0.004368 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1.data 0.007943 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::total 0.350765 # miss rate for ReadReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu0.data 0.962844 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu1.data 0.790334 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::total 0.882022 # miss rate for UpgradeReq accesses
+system.l2c.SCUpgradeReq_miss_rate::cpu0.data 0.969472 # miss rate for SCUpgradeReq accesses
+system.l2c.SCUpgradeReq_miss_rate::cpu1.data 0.988424 # miss rate for SCUpgradeReq accesses
+system.l2c.SCUpgradeReq_miss_rate::total 0.978587 # miss rate for SCUpgradeReq accesses
+system.l2c.ReadExReq_miss_rate::cpu0.data 0.505516 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::cpu1.data 0.163556 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::total 0.426542 # miss rate for ReadExReq accesses
+system.l2c.demand_miss_rate::cpu0.inst 0.019332 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu0.data 0.584998 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1.inst 0.004368 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1.data 0.061204 # miss rate for demand accesses
+system.l2c.demand_miss_rate::total 0.358224 # miss rate for demand accesses
+system.l2c.overall_miss_rate::cpu0.inst 0.019332 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu0.data 0.584998 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1.inst 0.004368 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1.data 0.061204 # miss rate for overall accesses
+system.l2c.overall_miss_rate::total 0.358224 # miss rate for overall accesses
system.l2c.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.l2c.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.l2c.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -191,39 +224,39 @@ system.l2c.avg_blocked_cycles::no_mshrs nan # av
system.l2c.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.l2c.fast_writes 0 # number of fast writes performed
system.l2c.cache_copies 0 # number of cache copies performed
-system.l2c.writebacks::writebacks 81314 # number of writebacks
-system.l2c.writebacks::total 81314 # number of writebacks
+system.l2c.writebacks::writebacks 80845 # number of writebacks
+system.l2c.writebacks::total 80845 # number of writebacks
system.l2c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.iocache.tags.replacements 41695 # number of replacements
-system.iocache.tags.tagsinuse 0.435433 # Cycle average of tags in use
+system.iocache.tags.replacements 41699 # number of replacements
+system.iocache.tags.tagsinuse 0.434096 # Cycle average of tags in use
system.iocache.tags.total_refs 0 # Total number of references to valid blocks.
-system.iocache.tags.sampled_refs 41711 # Sample count of references to valid blocks.
+system.iocache.tags.sampled_refs 41715 # Sample count of references to valid blocks.
system.iocache.tags.avg_refs 0 # Average number of references to valid blocks.
-system.iocache.tags.warmup_cycle 1685787165017 # Cycle when the warmup percentage was hit.
-system.iocache.tags.occ_blocks::tsunami.ide 0.435433 # Average occupied blocks per requestor
-system.iocache.tags.occ_percent::tsunami.ide 0.027215 # Average percentage of cache occupancy
-system.iocache.tags.occ_percent::total 0.027215 # Average percentage of cache occupancy
+system.iocache.tags.warmup_cycle 1685787163517 # Cycle when the warmup percentage was hit.
+system.iocache.tags.occ_blocks::tsunami.ide 0.434096 # Average occupied blocks per requestor
+system.iocache.tags.occ_percent::tsunami.ide 0.027131 # Average percentage of cache occupancy
+system.iocache.tags.occ_percent::total 0.027131 # Average percentage of cache occupancy
system.iocache.tags.occ_task_id_blocks::1023 16 # Occupied blocks per task id
system.iocache.tags.age_task_id_blocks_1023::2 16 # Occupied blocks per task id
system.iocache.tags.occ_task_id_percent::1023 1 # Percentage of cache occupancy per task id
-system.iocache.tags.tag_accesses 375543 # Number of tag accesses
-system.iocache.tags.data_accesses 375543 # Number of data accesses
+system.iocache.tags.tag_accesses 375579 # Number of tag accesses
+system.iocache.tags.data_accesses 375579 # Number of data accesses
system.iocache.WriteInvalidateReq_hits::tsunami.ide 41552 # number of WriteInvalidateReq hits
system.iocache.WriteInvalidateReq_hits::total 41552 # number of WriteInvalidateReq hits
-system.iocache.ReadReq_misses::tsunami.ide 175 # number of ReadReq misses
-system.iocache.ReadReq_misses::total 175 # number of ReadReq misses
-system.iocache.demand_misses::tsunami.ide 175 # number of demand (read+write) misses
-system.iocache.demand_misses::total 175 # number of demand (read+write) misses
-system.iocache.overall_misses::tsunami.ide 175 # number of overall misses
-system.iocache.overall_misses::total 175 # number of overall misses
-system.iocache.ReadReq_accesses::tsunami.ide 175 # number of ReadReq accesses(hits+misses)
-system.iocache.ReadReq_accesses::total 175 # number of ReadReq accesses(hits+misses)
+system.iocache.ReadReq_misses::tsunami.ide 179 # number of ReadReq misses
+system.iocache.ReadReq_misses::total 179 # number of ReadReq misses
+system.iocache.demand_misses::tsunami.ide 179 # number of demand (read+write) misses
+system.iocache.demand_misses::total 179 # number of demand (read+write) misses
+system.iocache.overall_misses::tsunami.ide 179 # number of overall misses
+system.iocache.overall_misses::total 179 # number of overall misses
+system.iocache.ReadReq_accesses::tsunami.ide 179 # number of ReadReq accesses(hits+misses)
+system.iocache.ReadReq_accesses::total 179 # number of ReadReq accesses(hits+misses)
system.iocache.WriteInvalidateReq_accesses::tsunami.ide 41552 # number of WriteInvalidateReq accesses(hits+misses)
system.iocache.WriteInvalidateReq_accesses::total 41552 # number of WriteInvalidateReq accesses(hits+misses)
-system.iocache.demand_accesses::tsunami.ide 175 # number of demand (read+write) accesses
-system.iocache.demand_accesses::total 175 # number of demand (read+write) accesses
-system.iocache.overall_accesses::tsunami.ide 175 # number of overall (read+write) accesses
-system.iocache.overall_accesses::total 175 # number of overall (read+write) accesses
+system.iocache.demand_accesses::tsunami.ide 179 # number of demand (read+write) accesses
+system.iocache.demand_accesses::total 179 # number of demand (read+write) accesses
+system.iocache.overall_accesses::tsunami.ide 179 # number of overall (read+write) accesses
+system.iocache.overall_accesses::total 179 # number of overall (read+write) accesses
system.iocache.ReadReq_miss_rate::tsunami.ide 1 # miss rate for ReadReq accesses
system.iocache.ReadReq_miss_rate::total 1 # miss rate for ReadReq accesses
system.iocache.demand_miss_rate::tsunami.ide 1 # miss rate for demand accesses
@@ -255,22 +288,22 @@ system.cpu0.dtb.fetch_hits 0 # IT
system.cpu0.dtb.fetch_misses 0 # ITB misses
system.cpu0.dtb.fetch_acv 0 # ITB acv
system.cpu0.dtb.fetch_accesses 0 # ITB accesses
-system.cpu0.dtb.read_hits 9154569 # DTB read hits
-system.cpu0.dtb.read_misses 7079 # DTB read misses
+system.cpu0.dtb.read_hits 7758808 # DTB read hits
+system.cpu0.dtb.read_misses 7155 # DTB read misses
system.cpu0.dtb.read_acv 152 # DTB read access violations
-system.cpu0.dtb.read_accesses 508987 # DTB read accesses
-system.cpu0.dtb.write_hits 5936918 # DTB write hits
-system.cpu0.dtb.write_misses 726 # DTB write misses
-system.cpu0.dtb.write_acv 99 # DTB write access violations
-system.cpu0.dtb.write_accesses 189050 # DTB write accesses
-system.cpu0.dtb.data_hits 15091487 # DTB hits
-system.cpu0.dtb.data_misses 7805 # DTB misses
-system.cpu0.dtb.data_acv 251 # DTB access violations
-system.cpu0.dtb.data_accesses 698037 # DTB accesses
-system.cpu0.itb.fetch_hits 3855534 # ITB hits
-system.cpu0.itb.fetch_misses 3485 # ITB misses
+system.cpu0.dtb.read_accesses 531148 # DTB read accesses
+system.cpu0.dtb.write_hits 4740251 # DTB write hits
+system.cpu0.dtb.write_misses 732 # DTB write misses
+system.cpu0.dtb.write_acv 102 # DTB write access violations
+system.cpu0.dtb.write_accesses 201714 # DTB write accesses
+system.cpu0.dtb.data_hits 12499059 # DTB hits
+system.cpu0.dtb.data_misses 7887 # DTB misses
+system.cpu0.dtb.data_acv 254 # DTB access violations
+system.cpu0.dtb.data_accesses 732862 # DTB accesses
+system.cpu0.itb.fetch_hits 3525726 # ITB hits
+system.cpu0.itb.fetch_misses 3572 # ITB misses
system.cpu0.itb.fetch_acv 127 # ITB acv
-system.cpu0.itb.fetch_accesses 3859019 # ITB accesses
+system.cpu0.itb.fetch_accesses 3529298 # ITB accesses
system.cpu0.itb.read_hits 0 # DTB read hits
system.cpu0.itb.read_misses 0 # DTB read misses
system.cpu0.itb.read_acv 0 # DTB read access violations
@@ -283,154 +316,154 @@ system.cpu0.itb.data_hits 0 # DT
system.cpu0.itb.data_misses 0 # DTB misses
system.cpu0.itb.data_acv 0 # DTB access violations
system.cpu0.itb.data_accesses 0 # DTB accesses
-system.cpu0.numCycles 3740670264 # number of cpu cycles simulated
+system.cpu0.numCycles 3738722771 # number of cpu cycles simulated
system.cpu0.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu0.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu0.committedInsts 57222643 # Number of instructions committed
-system.cpu0.committedOps 57222643 # Number of ops (including micro ops) committed
-system.cpu0.num_int_alu_accesses 53250480 # Number of integer alu accesses
-system.cpu0.num_fp_alu_accesses 299810 # Number of float alu accesses
-system.cpu0.num_func_calls 1399593 # number of times a function call or return occured
-system.cpu0.num_conditional_control_insts 6808341 # number of instructions that are conditional controls
-system.cpu0.num_int_insts 53250480 # number of integer instructions
-system.cpu0.num_fp_insts 299810 # number of float instructions
-system.cpu0.num_int_register_reads 73319539 # number of times the integer registers were read
-system.cpu0.num_int_register_writes 39827957 # number of times the integer registers were written
-system.cpu0.num_fp_register_reads 147724 # number of times the floating registers were read
-system.cpu0.num_fp_register_writes 150835 # number of times the floating registers were written
-system.cpu0.num_mem_refs 15135573 # number of memory refs
-system.cpu0.num_load_insts 9184516 # Number of load instructions
-system.cpu0.num_store_insts 5951057 # Number of store instructions
-system.cpu0.num_idle_cycles 3683435851.584730 # Number of idle cycles
-system.cpu0.num_busy_cycles 57234412.415270 # Number of busy cycles
-system.cpu0.not_idle_fraction 0.015301 # Percentage of non-idle cycles
-system.cpu0.idle_fraction 0.984699 # Percentage of idle cycles
-system.cpu0.Branches 8650822 # Number of branches fetched
-system.cpu0.op_class::No_OpClass 3102524 5.42% 5.42% # Class of executed instruction
-system.cpu0.op_class::IntAlu 37811313 66.07% 71.49% # Class of executed instruction
-system.cpu0.op_class::IntMult 59497 0.10% 71.59% # Class of executed instruction
-system.cpu0.op_class::IntDiv 0 0.00% 71.59% # Class of executed instruction
-system.cpu0.op_class::FloatAdd 30844 0.05% 71.65% # Class of executed instruction
-system.cpu0.op_class::FloatCmp 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::FloatCvt 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::FloatMult 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::FloatDiv 2221 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::FloatSqrt 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdAdd 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdAddAcc 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdAlu 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdCmp 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdCvt 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdMisc 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdMult 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdMultAcc 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdShift 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdShiftAcc 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdSqrt 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdFloatAdd 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdFloatAlu 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdFloatCmp 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdFloatCvt 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdFloatDiv 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdFloatMisc 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdFloatMult 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdFloatMultAcc 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::SimdFloatSqrt 0 0.00% 71.65% # Class of executed instruction
-system.cpu0.op_class::MemRead 9401091 16.43% 88.08% # Class of executed instruction
-system.cpu0.op_class::MemWrite 5957003 10.41% 98.49% # Class of executed instruction
-system.cpu0.op_class::IprAccess 866206 1.51% 100.00% # Class of executed instruction
+system.cpu0.committedInsts 49477745 # Number of instructions committed
+system.cpu0.committedOps 49477745 # Number of ops (including micro ops) committed
+system.cpu0.num_int_alu_accesses 46201705 # Number of integer alu accesses
+system.cpu0.num_fp_alu_accesses 197598 # Number of float alu accesses
+system.cpu0.num_func_calls 1124633 # number of times a function call or return occured
+system.cpu0.num_conditional_control_insts 6043603 # number of instructions that are conditional controls
+system.cpu0.num_int_insts 46201705 # number of integer instructions
+system.cpu0.num_fp_insts 197598 # number of float instructions
+system.cpu0.num_int_register_reads 64003225 # number of times the integer registers were read
+system.cpu0.num_int_register_writes 34834421 # number of times the integer registers were written
+system.cpu0.num_fp_register_reads 97440 # number of times the floating registers were read
+system.cpu0.num_fp_register_writes 98967 # number of times the floating registers were written
+system.cpu0.num_mem_refs 12536107 # number of memory refs
+system.cpu0.num_load_insts 7783754 # Number of load instructions
+system.cpu0.num_store_insts 4752353 # Number of store instructions
+system.cpu0.num_idle_cycles 3689239788.666409 # Number of idle cycles
+system.cpu0.num_busy_cycles 49482982.333591 # Number of busy cycles
+system.cpu0.not_idle_fraction 0.013235 # Percentage of non-idle cycles
+system.cpu0.idle_fraction 0.986765 # Percentage of idle cycles
+system.cpu0.Branches 7530826 # Number of branches fetched
+system.cpu0.op_class::No_OpClass 2589816 5.23% 5.23% # Class of executed instruction
+system.cpu0.op_class::IntAlu 33436017 67.57% 72.80% # Class of executed instruction
+system.cpu0.op_class::IntMult 50540 0.10% 72.90% # Class of executed instruction
+system.cpu0.op_class::IntDiv 0 0.00% 72.90% # Class of executed instruction
+system.cpu0.op_class::FloatAdd 27840 0.06% 72.96% # Class of executed instruction
+system.cpu0.op_class::FloatCmp 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::FloatCvt 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::FloatMult 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::FloatDiv 2233 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::FloatSqrt 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdAdd 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdAddAcc 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdAlu 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdCmp 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdCvt 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdMisc 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdMult 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdMultAcc 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdShift 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdShiftAcc 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdSqrt 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdFloatAdd 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdFloatAlu 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdFloatCmp 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdFloatCvt 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdFloatDiv 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdFloatMisc 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdFloatMult 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdFloatMultAcc 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::SimdFloatSqrt 0 0.00% 72.96% # Class of executed instruction
+system.cpu0.op_class::MemRead 7945590 16.06% 89.02% # Class of executed instruction
+system.cpu0.op_class::MemWrite 4758292 9.62% 98.63% # Class of executed instruction
+system.cpu0.op_class::IprAccess 675558 1.37% 100.00% # Class of executed instruction
system.cpu0.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu0.op_class::total 57230699 # Class of executed instruction
+system.cpu0.op_class::total 49485886 # Class of executed instruction
system.cpu0.kern.inst.arm 0 # number of arm instructions executed
-system.cpu0.kern.inst.quiesce 6283 # number of quiesce instructions executed
-system.cpu0.kern.inst.hwrei 197118 # number of hwrei instructions executed
-system.cpu0.kern.ipl_count::0 71004 40.60% 40.60% # number of times we switched to this ipl
-system.cpu0.kern.ipl_count::21 243 0.14% 40.74% # number of times we switched to this ipl
-system.cpu0.kern.ipl_count::22 1908 1.09% 41.83% # number of times we switched to this ipl
-system.cpu0.kern.ipl_count::30 8 0.00% 41.84% # number of times we switched to this ipl
-system.cpu0.kern.ipl_count::31 101703 58.16% 100.00% # number of times we switched to this ipl
-system.cpu0.kern.ipl_count::total 174866 # number of times we switched to this ipl
-system.cpu0.kern.ipl_good::0 69637 49.24% 49.24% # number of times we switched to this ipl from a different ipl
-system.cpu0.kern.ipl_good::21 243 0.17% 49.41% # number of times we switched to this ipl from a different ipl
-system.cpu0.kern.ipl_good::22 1908 1.35% 50.76% # number of times we switched to this ipl from a different ipl
-system.cpu0.kern.ipl_good::30 8 0.01% 50.77% # number of times we switched to this ipl from a different ipl
-system.cpu0.kern.ipl_good::31 69629 49.23% 100.00% # number of times we switched to this ipl from a different ipl
-system.cpu0.kern.ipl_good::total 141425 # number of times we switched to this ipl from a different ipl
-system.cpu0.kern.ipl_ticks::0 1852989089000 99.07% 99.07% # number of cycles we spent at this ipl
-system.cpu0.kern.ipl_ticks::21 20110000 0.00% 99.07% # number of cycles we spent at this ipl
-system.cpu0.kern.ipl_ticks::22 82044000 0.00% 99.08% # number of cycles we spent at this ipl
-system.cpu0.kern.ipl_ticks::30 949500 0.00% 99.08% # number of cycles we spent at this ipl
-system.cpu0.kern.ipl_ticks::31 17242731500 0.92% 100.00% # number of cycles we spent at this ipl
-system.cpu0.kern.ipl_ticks::total 1870334924000 # number of cycles we spent at this ipl
-system.cpu0.kern.ipl_used::0 0.980748 # fraction of swpipl calls that actually changed the ipl
+system.cpu0.kern.inst.quiesce 6794 # number of quiesce instructions executed
+system.cpu0.kern.inst.hwrei 150435 # number of hwrei instructions executed
+system.cpu0.kern.ipl_count::0 51398 40.00% 40.00% # number of times we switched to this ipl
+system.cpu0.kern.ipl_count::21 243 0.19% 40.19% # number of times we switched to this ipl
+system.cpu0.kern.ipl_count::22 1907 1.48% 41.67% # number of times we switched to this ipl
+system.cpu0.kern.ipl_count::30 514 0.40% 42.07% # number of times we switched to this ipl
+system.cpu0.kern.ipl_count::31 74446 57.93% 100.00% # number of times we switched to this ipl
+system.cpu0.kern.ipl_count::total 128508 # number of times we switched to this ipl
+system.cpu0.kern.ipl_good::0 51050 48.97% 48.97% # number of times we switched to this ipl from a different ipl
+system.cpu0.kern.ipl_good::21 243 0.23% 49.20% # number of times we switched to this ipl from a different ipl
+system.cpu0.kern.ipl_good::22 1907 1.83% 51.03% # number of times we switched to this ipl from a different ipl
+system.cpu0.kern.ipl_good::30 514 0.49% 51.52% # number of times we switched to this ipl from a different ipl
+system.cpu0.kern.ipl_good::31 50536 48.48% 100.00% # number of times we switched to this ipl from a different ipl
+system.cpu0.kern.ipl_good::total 104250 # number of times we switched to this ipl from a different ipl
+system.cpu0.kern.ipl_ticks::0 1853222721000 99.14% 99.14% # number of cycles we spent at this ipl
+system.cpu0.kern.ipl_ticks::21 20110000 0.00% 99.14% # number of cycles we spent at this ipl
+system.cpu0.kern.ipl_ticks::22 82001000 0.00% 99.14% # number of cycles we spent at this ipl
+system.cpu0.kern.ipl_ticks::30 57621500 0.00% 99.15% # number of cycles we spent at this ipl
+system.cpu0.kern.ipl_ticks::31 15975327000 0.85% 100.00% # number of cycles we spent at this ipl
+system.cpu0.kern.ipl_ticks::total 1869357780500 # number of cycles we spent at this ipl
+system.cpu0.kern.ipl_used::0 0.993229 # fraction of swpipl calls that actually changed the ipl
system.cpu0.kern.ipl_used::21 1 # fraction of swpipl calls that actually changed the ipl
system.cpu0.kern.ipl_used::22 1 # fraction of swpipl calls that actually changed the ipl
system.cpu0.kern.ipl_used::30 1 # fraction of swpipl calls that actually changed the ipl
-system.cpu0.kern.ipl_used::31 0.684631 # fraction of swpipl calls that actually changed the ipl
-system.cpu0.kern.ipl_used::total 0.808762 # fraction of swpipl calls that actually changed the ipl
-system.cpu0.kern.syscall::2 6 2.65% 2.65% # number of syscalls executed
-system.cpu0.kern.syscall::3 19 8.41% 11.06% # number of syscalls executed
-system.cpu0.kern.syscall::4 2 0.88% 11.95% # number of syscalls executed
-system.cpu0.kern.syscall::6 32 14.16% 26.11% # number of syscalls executed
-system.cpu0.kern.syscall::12 1 0.44% 26.55% # number of syscalls executed
-system.cpu0.kern.syscall::15 1 0.44% 26.99% # number of syscalls executed
-system.cpu0.kern.syscall::17 9 3.98% 30.97% # number of syscalls executed
-system.cpu0.kern.syscall::19 8 3.54% 34.51% # number of syscalls executed
-system.cpu0.kern.syscall::20 6 2.65% 37.17% # number of syscalls executed
-system.cpu0.kern.syscall::23 2 0.88% 38.05% # number of syscalls executed
-system.cpu0.kern.syscall::24 4 1.77% 39.82% # number of syscalls executed
-system.cpu0.kern.syscall::33 7 3.10% 42.92% # number of syscalls executed
-system.cpu0.kern.syscall::41 2 0.88% 43.81% # number of syscalls executed
-system.cpu0.kern.syscall::45 37 16.37% 60.18% # number of syscalls executed
-system.cpu0.kern.syscall::47 4 1.77% 61.95% # number of syscalls executed
-system.cpu0.kern.syscall::48 8 3.54% 65.49% # number of syscalls executed
-system.cpu0.kern.syscall::54 10 4.42% 69.91% # number of syscalls executed
-system.cpu0.kern.syscall::58 1 0.44% 70.35% # number of syscalls executed
-system.cpu0.kern.syscall::59 4 1.77% 72.12% # number of syscalls executed
-system.cpu0.kern.syscall::71 30 13.27% 85.40% # number of syscalls executed
-system.cpu0.kern.syscall::73 3 1.33% 86.73% # number of syscalls executed
-system.cpu0.kern.syscall::74 8 3.54% 90.27% # number of syscalls executed
-system.cpu0.kern.syscall::87 1 0.44% 90.71% # number of syscalls executed
-system.cpu0.kern.syscall::90 2 0.88% 91.59% # number of syscalls executed
-system.cpu0.kern.syscall::92 9 3.98% 95.58% # number of syscalls executed
-system.cpu0.kern.syscall::97 2 0.88% 96.46% # number of syscalls executed
-system.cpu0.kern.syscall::98 2 0.88% 97.35% # number of syscalls executed
-system.cpu0.kern.syscall::132 2 0.88% 98.23% # number of syscalls executed
+system.cpu0.kern.ipl_used::31 0.678828 # fraction of swpipl calls that actually changed the ipl
+system.cpu0.kern.ipl_used::total 0.811234 # fraction of swpipl calls that actually changed the ipl
+system.cpu0.kern.syscall::2 6 2.63% 2.63% # number of syscalls executed
+system.cpu0.kern.syscall::3 20 8.77% 11.40% # number of syscalls executed
+system.cpu0.kern.syscall::4 2 0.88% 12.28% # number of syscalls executed
+system.cpu0.kern.syscall::6 32 14.04% 26.32% # number of syscalls executed
+system.cpu0.kern.syscall::12 1 0.44% 26.75% # number of syscalls executed
+system.cpu0.kern.syscall::15 1 0.44% 27.19% # number of syscalls executed
+system.cpu0.kern.syscall::17 9 3.95% 31.14% # number of syscalls executed
+system.cpu0.kern.syscall::19 8 3.51% 34.65% # number of syscalls executed
+system.cpu0.kern.syscall::20 6 2.63% 37.28% # number of syscalls executed
+system.cpu0.kern.syscall::23 2 0.88% 38.16% # number of syscalls executed
+system.cpu0.kern.syscall::24 4 1.75% 39.91% # number of syscalls executed
+system.cpu0.kern.syscall::33 7 3.07% 42.98% # number of syscalls executed
+system.cpu0.kern.syscall::41 2 0.88% 43.86% # number of syscalls executed
+system.cpu0.kern.syscall::45 37 16.23% 60.09% # number of syscalls executed
+system.cpu0.kern.syscall::47 4 1.75% 61.84% # number of syscalls executed
+system.cpu0.kern.syscall::48 8 3.51% 65.35% # number of syscalls executed
+system.cpu0.kern.syscall::54 10 4.39% 69.74% # number of syscalls executed
+system.cpu0.kern.syscall::58 1 0.44% 70.18% # number of syscalls executed
+system.cpu0.kern.syscall::59 5 2.19% 72.37% # number of syscalls executed
+system.cpu0.kern.syscall::71 30 13.16% 85.53% # number of syscalls executed
+system.cpu0.kern.syscall::73 3 1.32% 86.84% # number of syscalls executed
+system.cpu0.kern.syscall::74 8 3.51% 90.35% # number of syscalls executed
+system.cpu0.kern.syscall::87 1 0.44% 90.79% # number of syscalls executed
+system.cpu0.kern.syscall::90 2 0.88% 91.67% # number of syscalls executed
+system.cpu0.kern.syscall::92 9 3.95% 95.61% # number of syscalls executed
+system.cpu0.kern.syscall::97 2 0.88% 96.49% # number of syscalls executed
+system.cpu0.kern.syscall::98 2 0.88% 97.37% # number of syscalls executed
+system.cpu0.kern.syscall::132 2 0.88% 98.25% # number of syscalls executed
system.cpu0.kern.syscall::144 2 0.88% 99.12% # number of syscalls executed
system.cpu0.kern.syscall::147 2 0.88% 100.00% # number of syscalls executed
-system.cpu0.kern.syscall::total 226 # number of syscalls executed
+system.cpu0.kern.syscall::total 228 # number of syscalls executed
system.cpu0.kern.callpal::cserve 1 0.00% 0.00% # number of callpals executed
-system.cpu0.kern.callpal::wripir 110 0.06% 0.06% # number of callpals executed
-system.cpu0.kern.callpal::wrmces 1 0.00% 0.06% # number of callpals executed
-system.cpu0.kern.callpal::wrfen 1 0.00% 0.06% # number of callpals executed
-system.cpu0.kern.callpal::wrvptptr 1 0.00% 0.06% # number of callpals executed
-system.cpu0.kern.callpal::swpctx 3762 2.05% 2.11% # number of callpals executed
-system.cpu0.kern.callpal::tbi 38 0.02% 2.14% # number of callpals executed
-system.cpu0.kern.callpal::wrent 7 0.00% 2.14% # number of callpals executed
-system.cpu0.kern.callpal::swpipl 168033 91.68% 93.82% # number of callpals executed
-system.cpu0.kern.callpal::rdps 6150 3.36% 97.17% # number of callpals executed
-system.cpu0.kern.callpal::wrkgp 1 0.00% 97.17% # number of callpals executed
-system.cpu0.kern.callpal::wrusp 3 0.00% 97.17% # number of callpals executed
-system.cpu0.kern.callpal::rdusp 7 0.00% 97.18% # number of callpals executed
-system.cpu0.kern.callpal::whami 2 0.00% 97.18% # number of callpals executed
-system.cpu0.kern.callpal::rti 4673 2.55% 99.73% # number of callpals executed
-system.cpu0.kern.callpal::callsys 357 0.19% 99.92% # number of callpals executed
-system.cpu0.kern.callpal::imb 142 0.08% 100.00% # number of callpals executed
-system.cpu0.kern.callpal::total 183289 # number of callpals executed
-system.cpu0.kern.mode_switch::kernel 7091 # number of protection mode switches
-system.cpu0.kern.mode_switch::user 1156 # number of protection mode switches
+system.cpu0.kern.callpal::wripir 616 0.45% 0.45% # number of callpals executed
+system.cpu0.kern.callpal::wrmces 1 0.00% 0.45% # number of callpals executed
+system.cpu0.kern.callpal::wrfen 1 0.00% 0.46% # number of callpals executed
+system.cpu0.kern.callpal::wrvptptr 1 0.00% 0.46% # number of callpals executed
+system.cpu0.kern.callpal::swpctx 2743 2.02% 2.47% # number of callpals executed
+system.cpu0.kern.callpal::tbi 39 0.03% 2.50% # number of callpals executed
+system.cpu0.kern.callpal::wrent 7 0.01% 2.51% # number of callpals executed
+system.cpu0.kern.callpal::swpipl 121668 89.51% 92.02% # number of callpals executed
+system.cpu0.kern.callpal::rdps 6149 4.52% 96.54% # number of callpals executed
+system.cpu0.kern.callpal::wrkgp 1 0.00% 96.54% # number of callpals executed
+system.cpu0.kern.callpal::wrusp 3 0.00% 96.54% # number of callpals executed
+system.cpu0.kern.callpal::rdusp 7 0.01% 96.55% # number of callpals executed
+system.cpu0.kern.callpal::whami 2 0.00% 96.55% # number of callpals executed
+system.cpu0.kern.callpal::rti 4175 3.07% 99.62% # number of callpals executed
+system.cpu0.kern.callpal::callsys 369 0.27% 99.89% # number of callpals executed
+system.cpu0.kern.callpal::imb 146 0.11% 100.00% # number of callpals executed
+system.cpu0.kern.callpal::total 135929 # number of callpals executed
+system.cpu0.kern.mode_switch::kernel 6593 # number of protection mode switches
+system.cpu0.kern.mode_switch::user 1173 # number of protection mode switches
system.cpu0.kern.mode_switch::idle 0 # number of protection mode switches
-system.cpu0.kern.mode_good::kernel 1155
-system.cpu0.kern.mode_good::user 1156
+system.cpu0.kern.mode_good::kernel 1172
+system.cpu0.kern.mode_good::user 1173
system.cpu0.kern.mode_good::idle 0
-system.cpu0.kern.mode_switch_good::kernel 0.162883 # fraction of useful protection mode switches
+system.cpu0.kern.mode_switch_good::kernel 0.177764 # fraction of useful protection mode switches
system.cpu0.kern.mode_switch_good::user 1 # fraction of useful protection mode switches
system.cpu0.kern.mode_switch_good::idle nan # fraction of useful protection mode switches
-system.cpu0.kern.mode_switch_good::total 0.280223 # fraction of useful protection mode switches
-system.cpu0.kern.mode_ticks::kernel 1869377924000 99.95% 99.95% # number of ticks spent at the given mode
-system.cpu0.kern.mode_ticks::user 956999000 0.05% 100.00% # number of ticks spent at the given mode
+system.cpu0.kern.mode_switch_good::total 0.301957 # fraction of useful protection mode switches
+system.cpu0.kern.mode_ticks::kernel 1868349152500 99.95% 99.95% # number of ticks spent at the given mode
+system.cpu0.kern.mode_ticks::user 1008627000 0.05% 100.00% # number of ticks spent at the given mode
system.cpu0.kern.mode_ticks::idle 0 0.00% 100.00% # number of ticks spent at the given mode
-system.cpu0.kern.swap_context 3763 # number of times the context was actually changed
+system.cpu0.kern.swap_context 2744 # number of times the context was actually changed
system.tsunami.ethernet.descDMAReads 0 # Number of descriptors the device read w/ DMA
system.tsunami.ethernet.descDMAWrites 0 # Number of descriptors the device wrote w/ DMA
system.tsunami.ethernet.descDmaReadBytes 0 # number of descriptor bytes read w/ DMA
@@ -462,51 +495,117 @@ system.tsunami.ethernet.totalRxOrn 0 # to
system.tsunami.ethernet.coalescedTotal nan # average number of interrupts coalesced into each post
system.tsunami.ethernet.postedInterrupts 0 # number of posts to CPU
system.tsunami.ethernet.droppedPackets 0 # number of packets dropped
-system.toL2Bus.throughput 133353257 # Throughput (bytes/s)
-system.toL2Bus.data_through_bus 246745714 # Total data (bytes)
-system.toL2Bus.snoop_data_through_bus 2669568 # Total snoop data (bytes)
-system.iobus.throughput 1460501 # Throughput (bytes/s)
-system.iobus.data_through_bus 2731626 # Total data (bytes)
-system.cpu0.icache.tags.replacements 884408 # number of replacements
-system.cpu0.icache.tags.tagsinuse 511.244752 # Cycle average of tags in use
-system.cpu0.icache.tags.total_refs 56345695 # Total number of references to valid blocks.
-system.cpu0.icache.tags.sampled_refs 884920 # Sample count of references to valid blocks.
-system.cpu0.icache.tags.avg_refs 63.673208 # Average number of references to valid blocks.
-system.cpu0.icache.tags.warmup_cycle 9786576500 # Cycle when the warmup percentage was hit.
-system.cpu0.icache.tags.occ_blocks::cpu0.inst 511.244752 # Average occupied blocks per requestor
-system.cpu0.icache.tags.occ_percent::cpu0.inst 0.998525 # Average percentage of cache occupancy
-system.cpu0.icache.tags.occ_percent::total 0.998525 # Average percentage of cache occupancy
+system.toL2Bus.trans_dist::ReadReq 2732156 # Transaction distribution
+system.toL2Bus.trans_dist::ReadResp 2732156 # Transaction distribution
+system.toL2Bus.trans_dist::WriteReq 14588 # Transaction distribution
+system.toL2Bus.trans_dist::WriteResp 14588 # Transaction distribution
+system.toL2Bus.trans_dist::Writeback 777631 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeReq 19617 # Transaction distribution
+system.toL2Bus.trans_dist::SCUpgradeReq 14229 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeResp 33846 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExReq 295242 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExResp 295242 # Transaction distribution
+system.toL2Bus.pkt_count_system.cpu0.icache.mem_side::system.l2c.cpu_side 1237878 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu0.dcache.mem_side::system.l2c.cpu_side 4301883 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu1.icache.mem_side::system.l2c.cpu_side 762376 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu1.dcache.mem_side::system.l2c.cpu_side 627158 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count::total 6929295 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.icache.mem_side::system.l2c.cpu_side 39612096 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.dcache.mem_side::system.l2c.cpu_side 155765243 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.icache.mem_side::system.l2c.cpu_side 24396032 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.dcache.mem_side::system.l2c.cpu_side 23357911 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size::total 243131282 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.snoops 41895 # Total snoops (count)
+system.toL2Bus.snoop_fanout::samples 3873157 # Request fanout histogram
+system.toL2Bus.snoop_fanout::mean 3.010774 # Request fanout histogram
+system.toL2Bus.snoop_fanout::stdev 0.103239 # Request fanout histogram
+system.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::3 3831426 98.92% 98.92% # Request fanout histogram
+system.toL2Bus.snoop_fanout::4 41731 1.08% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::min_value 3 # Request fanout histogram
+system.toL2Bus.snoop_fanout::max_value 4 # Request fanout histogram
+system.toL2Bus.snoop_fanout::total 3873157 # Request fanout histogram
+system.iobus.trans_dist::ReadReq 7628 # Transaction distribution
+system.iobus.trans_dist::ReadResp 7628 # Transaction distribution
+system.iobus.trans_dist::WriteReq 56140 # Transaction distribution
+system.iobus.trans_dist::WriteResp 14588 # Transaction distribution
+system.iobus.trans_dist::WriteInvalidateResp 41552 # Transaction distribution
+system.iobus.pkt_count_system.bridge.master::system.tsunami.cchip.pio 14686 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.pchip.pio 480 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.fake_sm_chip.pio 10 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.fake_uart4.pio 10 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.io.pio 1076 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.uart.pio 18036 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.backdoor.pio 2468 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.ide.pio 6672 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.ide-pciconf 294 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.ethernet.pio 102 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.ethernet-pciconf 180 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.pciconfig.pio 60 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::total 44074 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.tsunami.ide.dma::system.iocache.cpu_side 83462 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.tsunami.ide.dma::total 83462 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count::total 127536 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.cchip.pio 58744 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.pchip.pio 1920 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.fake_sm_chip.pio 5 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.fake_uart4.pio 5 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.io.pio 1392 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.uart.pio 9018 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.backdoor.pio 9852 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ide.pio 4193 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ide-pciconf 410 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ethernet.pio 204 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ethernet-pciconf 299 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.pciconfig.pio 120 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::total 86162 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.tsunami.ide.dma::system.iocache.cpu_side 2661656 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.tsunami.ide.dma::total 2661656 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size::total 2747818 # Cumulative packet size per connected master and slave (bytes)
+system.cpu0.icache.tags.replacements 618292 # number of replacements
+system.cpu0.icache.tags.tagsinuse 511.240644 # Cycle average of tags in use
+system.cpu0.icache.tags.total_refs 48866947 # Total number of references to valid blocks.
+system.cpu0.icache.tags.sampled_refs 618804 # Sample count of references to valid blocks.
+system.cpu0.icache.tags.avg_refs 78.969992 # Average number of references to valid blocks.
+system.cpu0.icache.tags.warmup_cycle 9786048500 # Cycle when the warmup percentage was hit.
+system.cpu0.icache.tags.occ_blocks::cpu0.inst 511.240644 # Average occupied blocks per requestor
+system.cpu0.icache.tags.occ_percent::cpu0.inst 0.998517 # Average percentage of cache occupancy
+system.cpu0.icache.tags.occ_percent::total 0.998517 # Average percentage of cache occupancy
system.cpu0.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::0 59 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::1 108 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::2 345 # Occupied blocks per task id
+system.cpu0.icache.tags.age_task_id_blocks_1024::0 63 # Occupied blocks per task id
+system.cpu0.icache.tags.age_task_id_blocks_1024::1 116 # Occupied blocks per task id
+system.cpu0.icache.tags.age_task_id_blocks_1024::2 333 # Occupied blocks per task id
system.cpu0.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu0.icache.tags.tag_accesses 58115703 # Number of tag accesses
-system.cpu0.icache.tags.data_accesses 58115703 # Number of data accesses
-system.cpu0.icache.ReadReq_hits::cpu0.inst 56345695 # number of ReadReq hits
-system.cpu0.icache.ReadReq_hits::total 56345695 # number of ReadReq hits
-system.cpu0.icache.demand_hits::cpu0.inst 56345695 # number of demand (read+write) hits
-system.cpu0.icache.demand_hits::total 56345695 # number of demand (read+write) hits
-system.cpu0.icache.overall_hits::cpu0.inst 56345695 # number of overall hits
-system.cpu0.icache.overall_hits::total 56345695 # number of overall hits
-system.cpu0.icache.ReadReq_misses::cpu0.inst 885004 # number of ReadReq misses
-system.cpu0.icache.ReadReq_misses::total 885004 # number of ReadReq misses
-system.cpu0.icache.demand_misses::cpu0.inst 885004 # number of demand (read+write) misses
-system.cpu0.icache.demand_misses::total 885004 # number of demand (read+write) misses
-system.cpu0.icache.overall_misses::cpu0.inst 885004 # number of overall misses
-system.cpu0.icache.overall_misses::total 885004 # number of overall misses
-system.cpu0.icache.ReadReq_accesses::cpu0.inst 57230699 # number of ReadReq accesses(hits+misses)
-system.cpu0.icache.ReadReq_accesses::total 57230699 # number of ReadReq accesses(hits+misses)
-system.cpu0.icache.demand_accesses::cpu0.inst 57230699 # number of demand (read+write) accesses
-system.cpu0.icache.demand_accesses::total 57230699 # number of demand (read+write) accesses
-system.cpu0.icache.overall_accesses::cpu0.inst 57230699 # number of overall (read+write) accesses
-system.cpu0.icache.overall_accesses::total 57230699 # number of overall (read+write) accesses
-system.cpu0.icache.ReadReq_miss_rate::cpu0.inst 0.015464 # miss rate for ReadReq accesses
-system.cpu0.icache.ReadReq_miss_rate::total 0.015464 # miss rate for ReadReq accesses
-system.cpu0.icache.demand_miss_rate::cpu0.inst 0.015464 # miss rate for demand accesses
-system.cpu0.icache.demand_miss_rate::total 0.015464 # miss rate for demand accesses
-system.cpu0.icache.overall_miss_rate::cpu0.inst 0.015464 # miss rate for overall accesses
-system.cpu0.icache.overall_miss_rate::total 0.015464 # miss rate for overall accesses
+system.cpu0.icache.tags.tag_accesses 50104825 # Number of tag accesses
+system.cpu0.icache.tags.data_accesses 50104825 # Number of data accesses
+system.cpu0.icache.ReadReq_hits::cpu0.inst 48866947 # number of ReadReq hits
+system.cpu0.icache.ReadReq_hits::total 48866947 # number of ReadReq hits
+system.cpu0.icache.demand_hits::cpu0.inst 48866947 # number of demand (read+write) hits
+system.cpu0.icache.demand_hits::total 48866947 # number of demand (read+write) hits
+system.cpu0.icache.overall_hits::cpu0.inst 48866947 # number of overall hits
+system.cpu0.icache.overall_hits::total 48866947 # number of overall hits
+system.cpu0.icache.ReadReq_misses::cpu0.inst 618939 # number of ReadReq misses
+system.cpu0.icache.ReadReq_misses::total 618939 # number of ReadReq misses
+system.cpu0.icache.demand_misses::cpu0.inst 618939 # number of demand (read+write) misses
+system.cpu0.icache.demand_misses::total 618939 # number of demand (read+write) misses
+system.cpu0.icache.overall_misses::cpu0.inst 618939 # number of overall misses
+system.cpu0.icache.overall_misses::total 618939 # number of overall misses
+system.cpu0.icache.ReadReq_accesses::cpu0.inst 49485886 # number of ReadReq accesses(hits+misses)
+system.cpu0.icache.ReadReq_accesses::total 49485886 # number of ReadReq accesses(hits+misses)
+system.cpu0.icache.demand_accesses::cpu0.inst 49485886 # number of demand (read+write) accesses
+system.cpu0.icache.demand_accesses::total 49485886 # number of demand (read+write) accesses
+system.cpu0.icache.overall_accesses::cpu0.inst 49485886 # number of overall (read+write) accesses
+system.cpu0.icache.overall_accesses::total 49485886 # number of overall (read+write) accesses
+system.cpu0.icache.ReadReq_miss_rate::cpu0.inst 0.012507 # miss rate for ReadReq accesses
+system.cpu0.icache.ReadReq_miss_rate::total 0.012507 # miss rate for ReadReq accesses
+system.cpu0.icache.demand_miss_rate::cpu0.inst 0.012507 # miss rate for demand accesses
+system.cpu0.icache.demand_miss_rate::total 0.012507 # miss rate for demand accesses
+system.cpu0.icache.overall_miss_rate::cpu0.inst 0.012507 # miss rate for overall accesses
+system.cpu0.icache.overall_miss_rate::total 0.012507 # miss rate for overall accesses
system.cpu0.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu0.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu0.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -516,70 +615,70 @@ system.cpu0.icache.avg_blocked_cycles::no_targets nan
system.cpu0.icache.fast_writes 0 # number of fast writes performed
system.cpu0.icache.cache_copies 0 # number of cache copies performed
system.cpu0.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu0.dcache.tags.replacements 1978697 # number of replacements
-system.cpu0.dcache.tags.tagsinuse 507.129647 # Cycle average of tags in use
-system.cpu0.dcache.tags.total_refs 13123800 # Total number of references to valid blocks.
-system.cpu0.dcache.tags.sampled_refs 1979209 # Sample count of references to valid blocks.
-system.cpu0.dcache.tags.avg_refs 6.630831 # Average number of references to valid blocks.
+system.cpu0.dcache.tags.replacements 1781371 # number of replacements
+system.cpu0.dcache.tags.tagsinuse 506.187328 # Cycle average of tags in use
+system.cpu0.dcache.tags.total_refs 10705763 # Total number of references to valid blocks.
+system.cpu0.dcache.tags.sampled_refs 1781883 # Sample count of references to valid blocks.
+system.cpu0.dcache.tags.avg_refs 6.008118 # Average number of references to valid blocks.
system.cpu0.dcache.tags.warmup_cycle 10840000 # Cycle when the warmup percentage was hit.
-system.cpu0.dcache.tags.occ_blocks::cpu0.data 507.129647 # Average occupied blocks per requestor
-system.cpu0.dcache.tags.occ_percent::cpu0.data 0.990488 # Average percentage of cache occupancy
-system.cpu0.dcache.tags.occ_percent::total 0.990488 # Average percentage of cache occupancy
+system.cpu0.dcache.tags.occ_blocks::cpu0.data 506.187328 # Average occupied blocks per requestor
+system.cpu0.dcache.tags.occ_percent::cpu0.data 0.988647 # Average percentage of cache occupancy
+system.cpu0.dcache.tags.occ_percent::total 0.988647 # Average percentage of cache occupancy
system.cpu0.dcache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu0.dcache.tags.age_task_id_blocks_1024::0 443 # Occupied blocks per task id
-system.cpu0.dcache.tags.age_task_id_blocks_1024::1 65 # Occupied blocks per task id
+system.cpu0.dcache.tags.age_task_id_blocks_1024::0 446 # Occupied blocks per task id
+system.cpu0.dcache.tags.age_task_id_blocks_1024::1 62 # Occupied blocks per task id
system.cpu0.dcache.tags.age_task_id_blocks_1024::2 4 # Occupied blocks per task id
system.cpu0.dcache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu0.dcache.tags.tag_accesses 62404315 # Number of tag accesses
-system.cpu0.dcache.tags.data_accesses 62404315 # Number of data accesses
-system.cpu0.dcache.ReadReq_hits::cpu0.data 7298365 # number of ReadReq hits
-system.cpu0.dcache.ReadReq_hits::total 7298365 # number of ReadReq hits
-system.cpu0.dcache.WriteReq_hits::cpu0.data 5462282 # number of WriteReq hits
-system.cpu0.dcache.WriteReq_hits::total 5462282 # number of WriteReq hits
-system.cpu0.dcache.LoadLockedReq_hits::cpu0.data 172144 # number of LoadLockedReq hits
-system.cpu0.dcache.LoadLockedReq_hits::total 172144 # number of LoadLockedReq hits
-system.cpu0.dcache.StoreCondReq_hits::cpu0.data 186624 # number of StoreCondReq hits
-system.cpu0.dcache.StoreCondReq_hits::total 186624 # number of StoreCondReq hits
-system.cpu0.dcache.demand_hits::cpu0.data 12760647 # number of demand (read+write) hits
-system.cpu0.dcache.demand_hits::total 12760647 # number of demand (read+write) hits
-system.cpu0.dcache.overall_hits::cpu0.data 12760647 # number of overall hits
-system.cpu0.dcache.overall_hits::total 12760647 # number of overall hits
-system.cpu0.dcache.ReadReq_misses::cpu0.data 1683343 # number of ReadReq misses
-system.cpu0.dcache.ReadReq_misses::total 1683343 # number of ReadReq misses
-system.cpu0.dcache.WriteReq_misses::cpu0.data 285998 # number of WriteReq misses
-system.cpu0.dcache.WriteReq_misses::total 285998 # number of WriteReq misses
-system.cpu0.dcache.LoadLockedReq_misses::cpu0.data 16153 # number of LoadLockedReq misses
-system.cpu0.dcache.LoadLockedReq_misses::total 16153 # number of LoadLockedReq misses
-system.cpu0.dcache.StoreCondReq_misses::cpu0.data 714 # number of StoreCondReq misses
-system.cpu0.dcache.StoreCondReq_misses::total 714 # number of StoreCondReq misses
-system.cpu0.dcache.demand_misses::cpu0.data 1969341 # number of demand (read+write) misses
-system.cpu0.dcache.demand_misses::total 1969341 # number of demand (read+write) misses
-system.cpu0.dcache.overall_misses::cpu0.data 1969341 # number of overall misses
-system.cpu0.dcache.overall_misses::total 1969341 # number of overall misses
-system.cpu0.dcache.ReadReq_accesses::cpu0.data 8981708 # number of ReadReq accesses(hits+misses)
-system.cpu0.dcache.ReadReq_accesses::total 8981708 # number of ReadReq accesses(hits+misses)
-system.cpu0.dcache.WriteReq_accesses::cpu0.data 5748280 # number of WriteReq accesses(hits+misses)
-system.cpu0.dcache.WriteReq_accesses::total 5748280 # number of WriteReq accesses(hits+misses)
-system.cpu0.dcache.LoadLockedReq_accesses::cpu0.data 188297 # number of LoadLockedReq accesses(hits+misses)
-system.cpu0.dcache.LoadLockedReq_accesses::total 188297 # number of LoadLockedReq accesses(hits+misses)
-system.cpu0.dcache.StoreCondReq_accesses::cpu0.data 187338 # number of StoreCondReq accesses(hits+misses)
-system.cpu0.dcache.StoreCondReq_accesses::total 187338 # number of StoreCondReq accesses(hits+misses)
-system.cpu0.dcache.demand_accesses::cpu0.data 14729988 # number of demand (read+write) accesses
-system.cpu0.dcache.demand_accesses::total 14729988 # number of demand (read+write) accesses
-system.cpu0.dcache.overall_accesses::cpu0.data 14729988 # number of overall (read+write) accesses
-system.cpu0.dcache.overall_accesses::total 14729988 # number of overall (read+write) accesses
-system.cpu0.dcache.ReadReq_miss_rate::cpu0.data 0.187419 # miss rate for ReadReq accesses
-system.cpu0.dcache.ReadReq_miss_rate::total 0.187419 # miss rate for ReadReq accesses
-system.cpu0.dcache.WriteReq_miss_rate::cpu0.data 0.049754 # miss rate for WriteReq accesses
-system.cpu0.dcache.WriteReq_miss_rate::total 0.049754 # miss rate for WriteReq accesses
-system.cpu0.dcache.LoadLockedReq_miss_rate::cpu0.data 0.085785 # miss rate for LoadLockedReq accesses
-system.cpu0.dcache.LoadLockedReq_miss_rate::total 0.085785 # miss rate for LoadLockedReq accesses
-system.cpu0.dcache.StoreCondReq_miss_rate::cpu0.data 0.003811 # miss rate for StoreCondReq accesses
-system.cpu0.dcache.StoreCondReq_miss_rate::total 0.003811 # miss rate for StoreCondReq accesses
-system.cpu0.dcache.demand_miss_rate::cpu0.data 0.133696 # miss rate for demand accesses
-system.cpu0.dcache.demand_miss_rate::total 0.133696 # miss rate for demand accesses
-system.cpu0.dcache.overall_miss_rate::cpu0.data 0.133696 # miss rate for overall accesses
-system.cpu0.dcache.overall_miss_rate::total 0.133696 # miss rate for overall accesses
+system.cpu0.dcache.tags.tag_accesses 51822042 # Number of tag accesses
+system.cpu0.dcache.tags.data_accesses 51822042 # Number of data accesses
+system.cpu0.dcache.ReadReq_hits::cpu0.data 6068881 # number of ReadReq hits
+system.cpu0.dcache.ReadReq_hits::total 6068881 # number of ReadReq hits
+system.cpu0.dcache.WriteReq_hits::cpu0.data 4360082 # number of WriteReq hits
+system.cpu0.dcache.WriteReq_hits::total 4360082 # number of WriteReq hits
+system.cpu0.dcache.LoadLockedReq_hits::cpu0.data 127592 # number of LoadLockedReq hits
+system.cpu0.dcache.LoadLockedReq_hits::total 127592 # number of LoadLockedReq hits
+system.cpu0.dcache.StoreCondReq_hits::cpu0.data 132846 # number of StoreCondReq hits
+system.cpu0.dcache.StoreCondReq_hits::total 132846 # number of StoreCondReq hits
+system.cpu0.dcache.demand_hits::cpu0.data 10428963 # number of demand (read+write) hits
+system.cpu0.dcache.demand_hits::total 10428963 # number of demand (read+write) hits
+system.cpu0.dcache.overall_hits::cpu0.data 10428963 # number of overall hits
+system.cpu0.dcache.overall_hits::total 10428963 # number of overall hits
+system.cpu0.dcache.ReadReq_misses::cpu0.data 1560069 # number of ReadReq misses
+system.cpu0.dcache.ReadReq_misses::total 1560069 # number of ReadReq misses
+system.cpu0.dcache.WriteReq_misses::cpu0.data 236541 # number of WriteReq misses
+system.cpu0.dcache.WriteReq_misses::total 236541 # number of WriteReq misses
+system.cpu0.dcache.LoadLockedReq_misses::cpu0.data 12626 # number of LoadLockedReq misses
+system.cpu0.dcache.LoadLockedReq_misses::total 12626 # number of LoadLockedReq misses
+system.cpu0.dcache.StoreCondReq_misses::cpu0.data 6924 # number of StoreCondReq misses
+system.cpu0.dcache.StoreCondReq_misses::total 6924 # number of StoreCondReq misses
+system.cpu0.dcache.demand_misses::cpu0.data 1796610 # number of demand (read+write) misses
+system.cpu0.dcache.demand_misses::total 1796610 # number of demand (read+write) misses
+system.cpu0.dcache.overall_misses::cpu0.data 1796610 # number of overall misses
+system.cpu0.dcache.overall_misses::total 1796610 # number of overall misses
+system.cpu0.dcache.ReadReq_accesses::cpu0.data 7628950 # number of ReadReq accesses(hits+misses)
+system.cpu0.dcache.ReadReq_accesses::total 7628950 # number of ReadReq accesses(hits+misses)
+system.cpu0.dcache.WriteReq_accesses::cpu0.data 4596623 # number of WriteReq accesses(hits+misses)
+system.cpu0.dcache.WriteReq_accesses::total 4596623 # number of WriteReq accesses(hits+misses)
+system.cpu0.dcache.LoadLockedReq_accesses::cpu0.data 140218 # number of LoadLockedReq accesses(hits+misses)
+system.cpu0.dcache.LoadLockedReq_accesses::total 140218 # number of LoadLockedReq accesses(hits+misses)
+system.cpu0.dcache.StoreCondReq_accesses::cpu0.data 139770 # number of StoreCondReq accesses(hits+misses)
+system.cpu0.dcache.StoreCondReq_accesses::total 139770 # number of StoreCondReq accesses(hits+misses)
+system.cpu0.dcache.demand_accesses::cpu0.data 12225573 # number of demand (read+write) accesses
+system.cpu0.dcache.demand_accesses::total 12225573 # number of demand (read+write) accesses
+system.cpu0.dcache.overall_accesses::cpu0.data 12225573 # number of overall (read+write) accesses
+system.cpu0.dcache.overall_accesses::total 12225573 # number of overall (read+write) accesses
+system.cpu0.dcache.ReadReq_miss_rate::cpu0.data 0.204493 # miss rate for ReadReq accesses
+system.cpu0.dcache.ReadReq_miss_rate::total 0.204493 # miss rate for ReadReq accesses
+system.cpu0.dcache.WriteReq_miss_rate::cpu0.data 0.051460 # miss rate for WriteReq accesses
+system.cpu0.dcache.WriteReq_miss_rate::total 0.051460 # miss rate for WriteReq accesses
+system.cpu0.dcache.LoadLockedReq_miss_rate::cpu0.data 0.090046 # miss rate for LoadLockedReq accesses
+system.cpu0.dcache.LoadLockedReq_miss_rate::total 0.090046 # miss rate for LoadLockedReq accesses
+system.cpu0.dcache.StoreCondReq_miss_rate::cpu0.data 0.049539 # miss rate for StoreCondReq accesses
+system.cpu0.dcache.StoreCondReq_miss_rate::total 0.049539 # miss rate for StoreCondReq accesses
+system.cpu0.dcache.demand_miss_rate::cpu0.data 0.146955 # miss rate for demand accesses
+system.cpu0.dcache.demand_miss_rate::total 0.146955 # miss rate for demand accesses
+system.cpu0.dcache.overall_miss_rate::cpu0.data 0.146955 # miss rate for overall accesses
+system.cpu0.dcache.overall_miss_rate::total 0.146955 # miss rate for overall accesses
system.cpu0.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu0.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu0.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -588,29 +687,29 @@ system.cpu0.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu0.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu0.dcache.fast_writes 0 # number of fast writes performed
system.cpu0.dcache.cache_copies 0 # number of cache copies performed
-system.cpu0.dcache.writebacks::writebacks 775643 # number of writebacks
-system.cpu0.dcache.writebacks::total 775643 # number of writebacks
+system.cpu0.dcache.writebacks::writebacks 633103 # number of writebacks
+system.cpu0.dcache.writebacks::total 633103 # number of writebacks
system.cpu0.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu1.dtb.fetch_hits 0 # ITB hits
system.cpu1.dtb.fetch_misses 0 # ITB misses
system.cpu1.dtb.fetch_acv 0 # ITB acv
system.cpu1.dtb.fetch_accesses 0 # ITB accesses
-system.cpu1.dtb.read_hits 1163439 # DTB read hits
-system.cpu1.dtb.read_misses 3277 # DTB read misses
+system.cpu1.dtb.read_hits 2831559 # DTB read hits
+system.cpu1.dtb.read_misses 3191 # DTB read misses
system.cpu1.dtb.read_acv 58 # DTB read access violations
-system.cpu1.dtb.read_accesses 220342 # DTB read accesses
-system.cpu1.dtb.write_hits 751446 # DTB write hits
-system.cpu1.dtb.write_misses 415 # DTB write misses
-system.cpu1.dtb.write_acv 58 # DTB write access violations
-system.cpu1.dtb.write_accesses 103280 # DTB write accesses
-system.cpu1.dtb.data_hits 1914885 # DTB hits
-system.cpu1.dtb.data_misses 3692 # DTB misses
-system.cpu1.dtb.data_acv 116 # DTB access violations
-system.cpu1.dtb.data_accesses 323622 # DTB accesses
-system.cpu1.itb.fetch_hits 1468399 # ITB hits
-system.cpu1.itb.fetch_misses 1539 # ITB misses
+system.cpu1.dtb.read_accesses 198160 # DTB read accesses
+system.cpu1.dtb.write_hits 2101673 # DTB write hits
+system.cpu1.dtb.write_misses 412 # DTB write misses
+system.cpu1.dtb.write_acv 55 # DTB write access violations
+system.cpu1.dtb.write_accesses 90619 # DTB write accesses
+system.cpu1.dtb.data_hits 4933232 # DTB hits
+system.cpu1.dtb.data_misses 3603 # DTB misses
+system.cpu1.dtb.data_acv 113 # DTB access violations
+system.cpu1.dtb.data_accesses 288779 # DTB accesses
+system.cpu1.itb.fetch_hits 1950883 # ITB hits
+system.cpu1.itb.fetch_misses 1451 # ITB misses
system.cpu1.itb.fetch_acv 57 # ITB acv
-system.cpu1.itb.fetch_accesses 1469938 # ITB accesses
+system.cpu1.itb.fetch_accesses 1952334 # ITB accesses
system.cpu1.itb.read_hits 0 # DTB read hits
system.cpu1.itb.read_misses 0 # DTB read misses
system.cpu1.itb.read_acv 0 # DTB read access violations
@@ -623,175 +722,176 @@ system.cpu1.itb.data_hits 0 # DT
system.cpu1.itb.data_misses 0 # DTB misses
system.cpu1.itb.data_acv 0 # DTB access violations
system.cpu1.itb.data_accesses 0 # DTB accesses
-system.cpu1.numCycles 3740248099 # number of cpu cycles simulated
+system.cpu1.numCycles 3738296587 # number of cpu cycles simulated
system.cpu1.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu1.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu1.committedInsts 5931963 # Number of instructions committed
-system.cpu1.committedOps 5931963 # Number of ops (including micro ops) committed
-system.cpu1.num_int_alu_accesses 5550581 # Number of integer alu accesses
-system.cpu1.num_fp_alu_accesses 28590 # Number of float alu accesses
-system.cpu1.num_func_calls 182742 # number of times a function call or return occured
-system.cpu1.num_conditional_control_insts 577192 # number of instructions that are conditional controls
-system.cpu1.num_int_insts 5550581 # number of integer instructions
-system.cpu1.num_fp_insts 28590 # number of float instructions
-system.cpu1.num_int_register_reads 7657293 # number of times the integer registers were read
-system.cpu1.num_int_register_writes 4163277 # number of times the integer registers were written
-system.cpu1.num_fp_register_reads 17889 # number of times the floating registers were read
-system.cpu1.num_fp_register_writes 17683 # number of times the floating registers were written
-system.cpu1.num_mem_refs 1926244 # number of memory refs
-system.cpu1.num_load_insts 1170888 # Number of load instructions
-system.cpu1.num_store_insts 755356 # Number of store instructions
-system.cpu1.num_idle_cycles 3734311403.078359 # Number of idle cycles
-system.cpu1.num_busy_cycles 5936695.921641 # Number of busy cycles
-system.cpu1.not_idle_fraction 0.001587 # Percentage of non-idle cycles
-system.cpu1.idle_fraction 0.998413 # Percentage of idle cycles
-system.cpu1.Branches 836749 # Number of branches fetched
-system.cpu1.op_class::No_OpClass 239814 4.04% 4.04% # Class of executed instruction
-system.cpu1.op_class::IntAlu 3533248 59.52% 63.56% # Class of executed instruction
-system.cpu1.op_class::IntMult 9651 0.16% 63.73% # Class of executed instruction
-system.cpu1.op_class::IntDiv 0 0.00% 63.73% # Class of executed instruction
-system.cpu1.op_class::FloatAdd 7388 0.12% 63.85% # Class of executed instruction
-system.cpu1.op_class::FloatCmp 0 0.00% 63.85% # Class of executed instruction
-system.cpu1.op_class::FloatCvt 0 0.00% 63.85% # Class of executed instruction
-system.cpu1.op_class::FloatMult 0 0.00% 63.85% # Class of executed instruction
-system.cpu1.op_class::FloatDiv 1421 0.02% 63.88% # Class of executed instruction
-system.cpu1.op_class::FloatSqrt 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdAdd 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdAddAcc 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdAlu 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdCmp 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdCvt 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdMisc 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdMult 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdMultAcc 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdShift 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdShiftAcc 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdSqrt 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdFloatAdd 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdFloatAlu 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdFloatCmp 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdFloatCvt 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdFloatDiv 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdFloatMisc 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdFloatMult 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdFloatMultAcc 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::SimdFloatSqrt 0 0.00% 63.88% # Class of executed instruction
-system.cpu1.op_class::MemRead 1191429 20.07% 83.95% # Class of executed instruction
-system.cpu1.op_class::MemWrite 755540 12.73% 96.68% # Class of executed instruction
-system.cpu1.op_class::IprAccess 197280 3.32% 100.00% # Class of executed instruction
+system.cpu1.committedInsts 15522159 # Number of instructions committed
+system.cpu1.committedOps 15522159 # Number of ops (including micro ops) committed
+system.cpu1.num_int_alu_accesses 14295544 # Number of integer alu accesses
+system.cpu1.num_fp_alu_accesses 198941 # Number of float alu accesses
+system.cpu1.num_func_calls 493140 # number of times a function call or return occured
+system.cpu1.num_conditional_control_insts 1540068 # number of instructions that are conditional controls
+system.cpu1.num_int_insts 14295544 # number of integer instructions
+system.cpu1.num_fp_insts 198941 # number of float instructions
+system.cpu1.num_int_register_reads 19514289 # number of times the integer registers were read
+system.cpu1.num_int_register_writes 10457600 # number of times the integer registers were written
+system.cpu1.num_fp_register_reads 101734 # number of times the floating registers were read
+system.cpu1.num_fp_register_writes 104129 # number of times the floating registers were written
+system.cpu1.num_mem_refs 4961786 # number of memory refs
+system.cpu1.num_load_insts 2849090 # Number of load instructions
+system.cpu1.num_store_insts 2112696 # Number of store instructions
+system.cpu1.num_idle_cycles 3722773649.474793 # Number of idle cycles
+system.cpu1.num_busy_cycles 15522937.525207 # Number of busy cycles
+system.cpu1.not_idle_fraction 0.004152 # Percentage of non-idle cycles
+system.cpu1.idle_fraction 0.995848 # Percentage of idle cycles
+system.cpu1.Branches 2214163 # Number of branches fetched
+system.cpu1.op_class::No_OpClass 856043 5.51% 5.51% # Class of executed instruction
+system.cpu1.op_class::IntAlu 9156766 58.98% 64.49% # Class of executed instruction
+system.cpu1.op_class::IntMult 25065 0.16% 64.65% # Class of executed instruction
+system.cpu1.op_class::IntDiv 0 0.00% 64.65% # Class of executed instruction
+system.cpu1.op_class::FloatAdd 12426 0.08% 64.73% # Class of executed instruction
+system.cpu1.op_class::FloatCmp 0 0.00% 64.73% # Class of executed instruction
+system.cpu1.op_class::FloatCvt 0 0.00% 64.73% # Class of executed instruction
+system.cpu1.op_class::FloatMult 0 0.00% 64.73% # Class of executed instruction
+system.cpu1.op_class::FloatDiv 1409 0.01% 64.74% # Class of executed instruction
+system.cpu1.op_class::FloatSqrt 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdAdd 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdAddAcc 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdAlu 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdCmp 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdCvt 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdMisc 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdMult 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdMultAcc 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdShift 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdShiftAcc 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdSqrt 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdFloatAdd 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdFloatAlu 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdFloatCmp 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdFloatCvt 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdFloatDiv 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdFloatMisc 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdFloatMult 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdFloatMultAcc 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::SimdFloatSqrt 0 0.00% 64.74% # Class of executed instruction
+system.cpu1.op_class::MemRead 2937016 18.92% 83.66% # Class of executed instruction
+system.cpu1.op_class::MemWrite 2113897 13.62% 97.27% # Class of executed instruction
+system.cpu1.op_class::IprAccess 423253 2.73% 100.00% # Class of executed instruction
system.cpu1.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu1.op_class::total 5935771 # Class of executed instruction
+system.cpu1.op_class::total 15525875 # Class of executed instruction
system.cpu1.kern.inst.arm 0 # number of arm instructions executed
-system.cpu1.kern.inst.quiesce 2205 # number of quiesce instructions executed
-system.cpu1.kern.inst.hwrei 39554 # number of hwrei instructions executed
-system.cpu1.kern.ipl_count::0 10328 33.46% 33.46% # number of times we switched to this ipl
-system.cpu1.kern.ipl_count::22 1907 6.18% 39.64% # number of times we switched to this ipl
-system.cpu1.kern.ipl_count::30 110 0.36% 40.00% # number of times we switched to this ipl
-system.cpu1.kern.ipl_count::31 18518 60.00% 100.00% # number of times we switched to this ipl
-system.cpu1.kern.ipl_count::total 30863 # number of times we switched to this ipl
-system.cpu1.kern.ipl_good::0 10318 45.77% 45.77% # number of times we switched to this ipl from a different ipl
-system.cpu1.kern.ipl_good::22 1907 8.46% 54.23% # number of times we switched to this ipl from a different ipl
-system.cpu1.kern.ipl_good::30 110 0.49% 54.72% # number of times we switched to this ipl from a different ipl
-system.cpu1.kern.ipl_good::31 10208 45.28% 100.00% # number of times we switched to this ipl from a different ipl
-system.cpu1.kern.ipl_good::total 22543 # number of times we switched to this ipl from a different ipl
-system.cpu1.kern.ipl_ticks::0 1859122617500 99.41% 99.41% # number of cycles we spent at this ipl
-system.cpu1.kern.ipl_ticks::22 82001000 0.00% 99.42% # number of cycles we spent at this ipl
-system.cpu1.kern.ipl_ticks::30 14064500 0.00% 99.42% # number of cycles we spent at this ipl
-system.cpu1.kern.ipl_ticks::31 10905353000 0.58% 100.00% # number of cycles we spent at this ipl
-system.cpu1.kern.ipl_ticks::total 1870124036000 # number of cycles we spent at this ipl
-system.cpu1.kern.ipl_used::0 0.999032 # fraction of swpipl calls that actually changed the ipl
+system.cpu1.kern.inst.quiesce 2704 # number of quiesce instructions executed
+system.cpu1.kern.inst.hwrei 92290 # number of hwrei instructions executed
+system.cpu1.kern.ipl_count::0 31964 39.34% 39.34% # number of times we switched to this ipl
+system.cpu1.kern.ipl_count::22 1906 2.35% 41.68% # number of times we switched to this ipl
+system.cpu1.kern.ipl_count::30 616 0.76% 42.44% # number of times we switched to this ipl
+system.cpu1.kern.ipl_count::31 46769 57.56% 100.00% # number of times we switched to this ipl
+system.cpu1.kern.ipl_count::total 81255 # number of times we switched to this ipl
+system.cpu1.kern.ipl_good::0 30935 48.51% 48.51% # number of times we switched to this ipl from a different ipl
+system.cpu1.kern.ipl_good::22 1906 2.99% 51.49% # number of times we switched to this ipl from a different ipl
+system.cpu1.kern.ipl_good::30 616 0.97% 52.46% # number of times we switched to this ipl from a different ipl
+system.cpu1.kern.ipl_good::31 30319 47.54% 100.00% # number of times we switched to this ipl from a different ipl
+system.cpu1.kern.ipl_good::total 63776 # number of times we switched to this ipl from a different ipl
+system.cpu1.kern.ipl_ticks::0 1856123490500 99.30% 99.30% # number of cycles we spent at this ipl
+system.cpu1.kern.ipl_ticks::22 81958000 0.00% 99.31% # number of cycles we spent at this ipl
+system.cpu1.kern.ipl_ticks::30 70736500 0.00% 99.31% # number of cycles we spent at this ipl
+system.cpu1.kern.ipl_ticks::31 12870743500 0.69% 100.00% # number of cycles we spent at this ipl
+system.cpu1.kern.ipl_ticks::total 1869146928500 # number of cycles we spent at this ipl
+system.cpu1.kern.ipl_used::0 0.967808 # fraction of swpipl calls that actually changed the ipl
system.cpu1.kern.ipl_used::22 1 # fraction of swpipl calls that actually changed the ipl
system.cpu1.kern.ipl_used::30 1 # fraction of swpipl calls that actually changed the ipl
-system.cpu1.kern.ipl_used::31 0.551247 # fraction of swpipl calls that actually changed the ipl
-system.cpu1.kern.ipl_used::total 0.730422 # fraction of swpipl calls that actually changed the ipl
-system.cpu1.kern.syscall::2 2 2.00% 2.00% # number of syscalls executed
-system.cpu1.kern.syscall::3 11 11.00% 13.00% # number of syscalls executed
-system.cpu1.kern.syscall::4 2 2.00% 15.00% # number of syscalls executed
-system.cpu1.kern.syscall::6 10 10.00% 25.00% # number of syscalls executed
-system.cpu1.kern.syscall::17 6 6.00% 31.00% # number of syscalls executed
-system.cpu1.kern.syscall::19 2 2.00% 33.00% # number of syscalls executed
-system.cpu1.kern.syscall::23 2 2.00% 35.00% # number of syscalls executed
-system.cpu1.kern.syscall::24 2 2.00% 37.00% # number of syscalls executed
-system.cpu1.kern.syscall::33 4 4.00% 41.00% # number of syscalls executed
-system.cpu1.kern.syscall::45 17 17.00% 58.00% # number of syscalls executed
-system.cpu1.kern.syscall::47 2 2.00% 60.00% # number of syscalls executed
-system.cpu1.kern.syscall::48 2 2.00% 62.00% # number of syscalls executed
-system.cpu1.kern.syscall::59 3 3.00% 65.00% # number of syscalls executed
-system.cpu1.kern.syscall::71 24 24.00% 89.00% # number of syscalls executed
-system.cpu1.kern.syscall::74 8 8.00% 97.00% # number of syscalls executed
-system.cpu1.kern.syscall::90 1 1.00% 98.00% # number of syscalls executed
-system.cpu1.kern.syscall::132 2 2.00% 100.00% # number of syscalls executed
-system.cpu1.kern.syscall::total 100 # number of syscalls executed
+system.cpu1.kern.ipl_used::31 0.648271 # fraction of swpipl calls that actually changed the ipl
+system.cpu1.kern.ipl_used::total 0.784887 # fraction of swpipl calls that actually changed the ipl
+system.cpu1.kern.syscall::2 2 2.04% 2.04% # number of syscalls executed
+system.cpu1.kern.syscall::3 10 10.20% 12.24% # number of syscalls executed
+system.cpu1.kern.syscall::4 2 2.04% 14.29% # number of syscalls executed
+system.cpu1.kern.syscall::6 10 10.20% 24.49% # number of syscalls executed
+system.cpu1.kern.syscall::17 6 6.12% 30.61% # number of syscalls executed
+system.cpu1.kern.syscall::19 2 2.04% 32.65% # number of syscalls executed
+system.cpu1.kern.syscall::23 2 2.04% 34.69% # number of syscalls executed
+system.cpu1.kern.syscall::24 2 2.04% 36.73% # number of syscalls executed
+system.cpu1.kern.syscall::33 4 4.08% 40.82% # number of syscalls executed
+system.cpu1.kern.syscall::45 17 17.35% 58.16% # number of syscalls executed
+system.cpu1.kern.syscall::47 2 2.04% 60.20% # number of syscalls executed
+system.cpu1.kern.syscall::48 2 2.04% 62.24% # number of syscalls executed
+system.cpu1.kern.syscall::59 2 2.04% 64.29% # number of syscalls executed
+system.cpu1.kern.syscall::71 24 24.49% 88.78% # number of syscalls executed
+system.cpu1.kern.syscall::74 8 8.16% 96.94% # number of syscalls executed
+system.cpu1.kern.syscall::90 1 1.02% 97.96% # number of syscalls executed
+system.cpu1.kern.syscall::132 2 2.04% 100.00% # number of syscalls executed
+system.cpu1.kern.syscall::total 98 # number of syscalls executed
system.cpu1.kern.callpal::cserve 1 0.00% 0.00% # number of callpals executed
-system.cpu1.kern.callpal::wripir 8 0.02% 0.03% # number of callpals executed
-system.cpu1.kern.callpal::wrmces 1 0.00% 0.03% # number of callpals executed
-system.cpu1.kern.callpal::wrfen 1 0.00% 0.03% # number of callpals executed
-system.cpu1.kern.callpal::swpctx 470 1.46% 1.50% # number of callpals executed
-system.cpu1.kern.callpal::tbi 15 0.05% 1.54% # number of callpals executed
-system.cpu1.kern.callpal::wrent 7 0.02% 1.57% # number of callpals executed
-system.cpu1.kern.callpal::swpipl 26238 81.66% 83.22% # number of callpals executed
-system.cpu1.kern.callpal::rdps 2576 8.02% 91.24% # number of callpals executed
-system.cpu1.kern.callpal::wrkgp 1 0.00% 91.25% # number of callpals executed
-system.cpu1.kern.callpal::wrusp 4 0.01% 91.26% # number of callpals executed
-system.cpu1.kern.callpal::rdusp 2 0.01% 91.26% # number of callpals executed
-system.cpu1.kern.callpal::whami 3 0.01% 91.27% # number of callpals executed
-system.cpu1.kern.callpal::rti 2607 8.11% 99.39% # number of callpals executed
-system.cpu1.kern.callpal::callsys 158 0.49% 99.88% # number of callpals executed
-system.cpu1.kern.callpal::imb 38 0.12% 100.00% # number of callpals executed
+system.cpu1.kern.callpal::wripir 514 0.61% 0.61% # number of callpals executed
+system.cpu1.kern.callpal::wrmces 1 0.00% 0.61% # number of callpals executed
+system.cpu1.kern.callpal::wrfen 1 0.00% 0.61% # number of callpals executed
+system.cpu1.kern.callpal::swpctx 2506 2.96% 3.58% # number of callpals executed
+system.cpu1.kern.callpal::tbi 14 0.02% 3.59% # number of callpals executed
+system.cpu1.kern.callpal::wrent 7 0.01% 3.60% # number of callpals executed
+system.cpu1.kern.callpal::swpipl 74617 88.26% 91.86% # number of callpals executed
+system.cpu1.kern.callpal::rdps 2575 3.05% 94.91% # number of callpals executed
+system.cpu1.kern.callpal::wrkgp 1 0.00% 94.91% # number of callpals executed
+system.cpu1.kern.callpal::wrusp 4 0.00% 94.91% # number of callpals executed
+system.cpu1.kern.callpal::rdusp 2 0.00% 94.91% # number of callpals executed
+system.cpu1.kern.callpal::whami 3 0.00% 94.92% # number of callpals executed
+system.cpu1.kern.callpal::rti 4115 4.87% 99.79% # number of callpals executed
+system.cpu1.kern.callpal::callsys 146 0.17% 99.96% # number of callpals executed
+system.cpu1.kern.callpal::imb 34 0.04% 100.00% # number of callpals executed
system.cpu1.kern.callpal::rdunique 1 0.00% 100.00% # number of callpals executed
-system.cpu1.kern.callpal::total 32131 # number of callpals executed
-system.cpu1.kern.mode_switch::kernel 1033 # number of protection mode switches
-system.cpu1.kern.mode_switch::user 580 # number of protection mode switches
-system.cpu1.kern.mode_switch::idle 2046 # number of protection mode switches
-system.cpu1.kern.mode_good::kernel 612
-system.cpu1.kern.mode_good::user 580
-system.cpu1.kern.mode_good::idle 32
-system.cpu1.kern.mode_switch_good::kernel 0.592449 # fraction of useful protection mode switches
+system.cpu1.kern.callpal::total 84542 # number of callpals executed
+system.cpu1.kern.mode_switch::kernel 2548 # number of protection mode switches
+system.cpu1.kern.mode_switch::user 564 # number of protection mode switches
+system.cpu1.kern.mode_switch::idle 3056 # number of protection mode switches
+system.cpu1.kern.mode_good::kernel 1106
+system.cpu1.kern.mode_good::user 564
+system.cpu1.kern.mode_good::idle 542
+system.cpu1.kern.mode_switch_good::kernel 0.434066 # fraction of useful protection mode switches
system.cpu1.kern.mode_switch_good::user 1 # fraction of useful protection mode switches
-system.cpu1.kern.mode_switch_good::idle 0.015640 # fraction of useful protection mode switches
-system.cpu1.kern.mode_switch_good::total 0.334518 # fraction of useful protection mode switches
-system.cpu1.kern.mode_ticks::kernel 1373909000 0.07% 0.07% # number of ticks spent at the given mode
-system.cpu1.kern.mode_ticks::user 508289000 0.03% 0.10% # number of ticks spent at the given mode
-system.cpu1.kern.mode_ticks::idle 1868002186500 99.90% 100.00% # number of ticks spent at the given mode
-system.cpu1.kern.swap_context 471 # number of times the context was actually changed
-system.cpu1.icache.tags.replacements 103097 # number of replacements
-system.cpu1.icache.tags.tagsinuse 427.126315 # Cycle average of tags in use
-system.cpu1.icache.tags.total_refs 5832135 # Total number of references to valid blocks.
-system.cpu1.icache.tags.sampled_refs 103609 # Sample count of references to valid blocks.
-system.cpu1.icache.tags.avg_refs 56.289849 # Average number of references to valid blocks.
-system.cpu1.icache.tags.warmup_cycle 1868932699000 # Cycle when the warmup percentage was hit.
-system.cpu1.icache.tags.occ_blocks::cpu1.inst 427.126315 # Average occupied blocks per requestor
-system.cpu1.icache.tags.occ_percent::cpu1.inst 0.834231 # Average percentage of cache occupancy
-system.cpu1.icache.tags.occ_percent::total 0.834231 # Average percentage of cache occupancy
+system.cpu1.kern.mode_switch_good::idle 0.177356 # fraction of useful protection mode switches
+system.cpu1.kern.mode_switch_good::total 0.358625 # fraction of useful protection mode switches
+system.cpu1.kern.mode_ticks::kernel 5986368000 0.32% 0.32% # number of ticks spent at the given mode
+system.cpu1.kern.mode_ticks::user 456602000 0.02% 0.34% # number of ticks spent at the given mode
+system.cpu1.kern.mode_ticks::idle 1862102404500 99.66% 100.00% # number of ticks spent at the given mode
+system.cpu1.kern.swap_context 2507 # number of times the context was actually changed
+system.cpu1.icache.tags.replacements 380647 # number of replacements
+system.cpu1.icache.tags.tagsinuse 453.133719 # Cycle average of tags in use
+system.cpu1.icache.tags.total_refs 15144687 # Total number of references to valid blocks.
+system.cpu1.icache.tags.sampled_refs 381159 # Sample count of references to valid blocks.
+system.cpu1.icache.tags.avg_refs 39.733253 # Average number of references to valid blocks.
+system.cpu1.icache.tags.warmup_cycle 1859777157500 # Cycle when the warmup percentage was hit.
+system.cpu1.icache.tags.occ_blocks::cpu1.inst 453.133719 # Average occupied blocks per requestor
+system.cpu1.icache.tags.occ_percent::cpu1.inst 0.885027 # Average percentage of cache occupancy
+system.cpu1.icache.tags.occ_percent::total 0.885027 # Average percentage of cache occupancy
system.cpu1.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu1.icache.tags.age_task_id_blocks_1024::2 512 # Occupied blocks per task id
+system.cpu1.icache.tags.age_task_id_blocks_1024::2 509 # Occupied blocks per task id
+system.cpu1.icache.tags.age_task_id_blocks_1024::3 3 # Occupied blocks per task id
system.cpu1.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu1.icache.tags.tag_accesses 6039407 # Number of tag accesses
-system.cpu1.icache.tags.data_accesses 6039407 # Number of data accesses
-system.cpu1.icache.ReadReq_hits::cpu1.inst 5832135 # number of ReadReq hits
-system.cpu1.icache.ReadReq_hits::total 5832135 # number of ReadReq hits
-system.cpu1.icache.demand_hits::cpu1.inst 5832135 # number of demand (read+write) hits
-system.cpu1.icache.demand_hits::total 5832135 # number of demand (read+write) hits
-system.cpu1.icache.overall_hits::cpu1.inst 5832135 # number of overall hits
-system.cpu1.icache.overall_hits::total 5832135 # number of overall hits
-system.cpu1.icache.ReadReq_misses::cpu1.inst 103636 # number of ReadReq misses
-system.cpu1.icache.ReadReq_misses::total 103636 # number of ReadReq misses
-system.cpu1.icache.demand_misses::cpu1.inst 103636 # number of demand (read+write) misses
-system.cpu1.icache.demand_misses::total 103636 # number of demand (read+write) misses
-system.cpu1.icache.overall_misses::cpu1.inst 103636 # number of overall misses
-system.cpu1.icache.overall_misses::total 103636 # number of overall misses
-system.cpu1.icache.ReadReq_accesses::cpu1.inst 5935771 # number of ReadReq accesses(hits+misses)
-system.cpu1.icache.ReadReq_accesses::total 5935771 # number of ReadReq accesses(hits+misses)
-system.cpu1.icache.demand_accesses::cpu1.inst 5935771 # number of demand (read+write) accesses
-system.cpu1.icache.demand_accesses::total 5935771 # number of demand (read+write) accesses
-system.cpu1.icache.overall_accesses::cpu1.inst 5935771 # number of overall (read+write) accesses
-system.cpu1.icache.overall_accesses::total 5935771 # number of overall (read+write) accesses
-system.cpu1.icache.ReadReq_miss_rate::cpu1.inst 0.017460 # miss rate for ReadReq accesses
-system.cpu1.icache.ReadReq_miss_rate::total 0.017460 # miss rate for ReadReq accesses
-system.cpu1.icache.demand_miss_rate::cpu1.inst 0.017460 # miss rate for demand accesses
-system.cpu1.icache.demand_miss_rate::total 0.017460 # miss rate for demand accesses
-system.cpu1.icache.overall_miss_rate::cpu1.inst 0.017460 # miss rate for overall accesses
-system.cpu1.icache.overall_miss_rate::total 0.017460 # miss rate for overall accesses
+system.cpu1.icache.tags.tag_accesses 15907063 # Number of tag accesses
+system.cpu1.icache.tags.data_accesses 15907063 # Number of data accesses
+system.cpu1.icache.ReadReq_hits::cpu1.inst 15144687 # number of ReadReq hits
+system.cpu1.icache.ReadReq_hits::total 15144687 # number of ReadReq hits
+system.cpu1.icache.demand_hits::cpu1.inst 15144687 # number of demand (read+write) hits
+system.cpu1.icache.demand_hits::total 15144687 # number of demand (read+write) hits
+system.cpu1.icache.overall_hits::cpu1.inst 15144687 # number of overall hits
+system.cpu1.icache.overall_hits::total 15144687 # number of overall hits
+system.cpu1.icache.ReadReq_misses::cpu1.inst 381188 # number of ReadReq misses
+system.cpu1.icache.ReadReq_misses::total 381188 # number of ReadReq misses
+system.cpu1.icache.demand_misses::cpu1.inst 381188 # number of demand (read+write) misses
+system.cpu1.icache.demand_misses::total 381188 # number of demand (read+write) misses
+system.cpu1.icache.overall_misses::cpu1.inst 381188 # number of overall misses
+system.cpu1.icache.overall_misses::total 381188 # number of overall misses
+system.cpu1.icache.ReadReq_accesses::cpu1.inst 15525875 # number of ReadReq accesses(hits+misses)
+system.cpu1.icache.ReadReq_accesses::total 15525875 # number of ReadReq accesses(hits+misses)
+system.cpu1.icache.demand_accesses::cpu1.inst 15525875 # number of demand (read+write) accesses
+system.cpu1.icache.demand_accesses::total 15525875 # number of demand (read+write) accesses
+system.cpu1.icache.overall_accesses::cpu1.inst 15525875 # number of overall (read+write) accesses
+system.cpu1.icache.overall_accesses::total 15525875 # number of overall (read+write) accesses
+system.cpu1.icache.ReadReq_miss_rate::cpu1.inst 0.024552 # miss rate for ReadReq accesses
+system.cpu1.icache.ReadReq_miss_rate::total 0.024552 # miss rate for ReadReq accesses
+system.cpu1.icache.demand_miss_rate::cpu1.inst 0.024552 # miss rate for demand accesses
+system.cpu1.icache.demand_miss_rate::total 0.024552 # miss rate for demand accesses
+system.cpu1.icache.overall_miss_rate::cpu1.inst 0.024552 # miss rate for overall accesses
+system.cpu1.icache.overall_miss_rate::total 0.024552 # miss rate for overall accesses
system.cpu1.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu1.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu1.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -801,69 +901,69 @@ system.cpu1.icache.avg_blocked_cycles::no_targets nan
system.cpu1.icache.fast_writes 0 # number of fast writes performed
system.cpu1.icache.cache_copies 0 # number of cache copies performed
system.cpu1.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu1.dcache.tags.replacements 62047 # number of replacements
-system.cpu1.dcache.tags.tagsinuse 421.558473 # Cycle average of tags in use
-system.cpu1.dcache.tags.total_refs 1836050 # Total number of references to valid blocks.
-system.cpu1.dcache.tags.sampled_refs 62385 # Sample count of references to valid blocks.
-system.cpu1.dcache.tags.avg_refs 29.430953 # Average number of references to valid blocks.
-system.cpu1.dcache.tags.warmup_cycle 1851115162500 # Cycle when the warmup percentage was hit.
-system.cpu1.dcache.tags.occ_blocks::cpu1.data 421.558473 # Average occupied blocks per requestor
-system.cpu1.dcache.tags.occ_percent::cpu1.data 0.823356 # Average percentage of cache occupancy
-system.cpu1.dcache.tags.occ_percent::total 0.823356 # Average percentage of cache occupancy
-system.cpu1.dcache.tags.occ_task_id_blocks::1024 338 # Occupied blocks per task id
-system.cpu1.dcache.tags.age_task_id_blocks_1024::2 337 # Occupied blocks per task id
-system.cpu1.dcache.tags.age_task_id_blocks_1024::3 1 # Occupied blocks per task id
-system.cpu1.dcache.tags.occ_task_id_percent::1024 0.660156 # Percentage of cache occupancy per task id
-system.cpu1.dcache.tags.tag_accesses 7735314 # Number of tag accesses
-system.cpu1.dcache.tags.data_accesses 7735314 # Number of data accesses
-system.cpu1.dcache.ReadReq_hits::cpu1.data 1109520 # number of ReadReq hits
-system.cpu1.dcache.ReadReq_hits::total 1109520 # number of ReadReq hits
-system.cpu1.dcache.WriteReq_hits::cpu1.data 707454 # number of WriteReq hits
-system.cpu1.dcache.WriteReq_hits::total 707454 # number of WriteReq hits
-system.cpu1.dcache.LoadLockedReq_hits::cpu1.data 15133 # number of LoadLockedReq hits
-system.cpu1.dcache.LoadLockedReq_hits::total 15133 # number of LoadLockedReq hits
-system.cpu1.dcache.StoreCondReq_hits::cpu1.data 15610 # number of StoreCondReq hits
-system.cpu1.dcache.StoreCondReq_hits::total 15610 # number of StoreCondReq hits
-system.cpu1.dcache.demand_hits::cpu1.data 1816974 # number of demand (read+write) hits
-system.cpu1.dcache.demand_hits::total 1816974 # number of demand (read+write) hits
-system.cpu1.dcache.overall_hits::cpu1.data 1816974 # number of overall hits
-system.cpu1.dcache.overall_hits::total 1816974 # number of overall hits
-system.cpu1.dcache.ReadReq_misses::cpu1.data 41445 # number of ReadReq misses
-system.cpu1.dcache.ReadReq_misses::total 41445 # number of ReadReq misses
-system.cpu1.dcache.WriteReq_misses::cpu1.data 25851 # number of WriteReq misses
-system.cpu1.dcache.WriteReq_misses::total 25851 # number of WriteReq misses
-system.cpu1.dcache.LoadLockedReq_misses::cpu1.data 1285 # number of LoadLockedReq misses
-system.cpu1.dcache.LoadLockedReq_misses::total 1285 # number of LoadLockedReq misses
-system.cpu1.dcache.StoreCondReq_misses::cpu1.data 735 # number of StoreCondReq misses
-system.cpu1.dcache.StoreCondReq_misses::total 735 # number of StoreCondReq misses
-system.cpu1.dcache.demand_misses::cpu1.data 67296 # number of demand (read+write) misses
-system.cpu1.dcache.demand_misses::total 67296 # number of demand (read+write) misses
-system.cpu1.dcache.overall_misses::cpu1.data 67296 # number of overall misses
-system.cpu1.dcache.overall_misses::total 67296 # number of overall misses
-system.cpu1.dcache.ReadReq_accesses::cpu1.data 1150965 # number of ReadReq accesses(hits+misses)
-system.cpu1.dcache.ReadReq_accesses::total 1150965 # number of ReadReq accesses(hits+misses)
-system.cpu1.dcache.WriteReq_accesses::cpu1.data 733305 # number of WriteReq accesses(hits+misses)
-system.cpu1.dcache.WriteReq_accesses::total 733305 # number of WriteReq accesses(hits+misses)
-system.cpu1.dcache.LoadLockedReq_accesses::cpu1.data 16418 # number of LoadLockedReq accesses(hits+misses)
-system.cpu1.dcache.LoadLockedReq_accesses::total 16418 # number of LoadLockedReq accesses(hits+misses)
-system.cpu1.dcache.StoreCondReq_accesses::cpu1.data 16345 # number of StoreCondReq accesses(hits+misses)
-system.cpu1.dcache.StoreCondReq_accesses::total 16345 # number of StoreCondReq accesses(hits+misses)
-system.cpu1.dcache.demand_accesses::cpu1.data 1884270 # number of demand (read+write) accesses
-system.cpu1.dcache.demand_accesses::total 1884270 # number of demand (read+write) accesses
-system.cpu1.dcache.overall_accesses::cpu1.data 1884270 # number of overall (read+write) accesses
-system.cpu1.dcache.overall_accesses::total 1884270 # number of overall (read+write) accesses
-system.cpu1.dcache.ReadReq_miss_rate::cpu1.data 0.036009 # miss rate for ReadReq accesses
-system.cpu1.dcache.ReadReq_miss_rate::total 0.036009 # miss rate for ReadReq accesses
-system.cpu1.dcache.WriteReq_miss_rate::cpu1.data 0.035253 # miss rate for WriteReq accesses
-system.cpu1.dcache.WriteReq_miss_rate::total 0.035253 # miss rate for WriteReq accesses
-system.cpu1.dcache.LoadLockedReq_miss_rate::cpu1.data 0.078268 # miss rate for LoadLockedReq accesses
-system.cpu1.dcache.LoadLockedReq_miss_rate::total 0.078268 # miss rate for LoadLockedReq accesses
-system.cpu1.dcache.StoreCondReq_miss_rate::cpu1.data 0.044968 # miss rate for StoreCondReq accesses
-system.cpu1.dcache.StoreCondReq_miss_rate::total 0.044968 # miss rate for StoreCondReq accesses
-system.cpu1.dcache.demand_miss_rate::cpu1.data 0.035715 # miss rate for demand accesses
-system.cpu1.dcache.demand_miss_rate::total 0.035715 # miss rate for demand accesses
-system.cpu1.dcache.overall_miss_rate::cpu1.data 0.035715 # miss rate for overall accesses
-system.cpu1.dcache.overall_miss_rate::total 0.035715 # miss rate for overall accesses
+system.cpu1.dcache.tags.replacements 201757 # number of replacements
+system.cpu1.dcache.tags.tagsinuse 497.601960 # Cycle average of tags in use
+system.cpu1.dcache.tags.total_refs 4718401 # Total number of references to valid blocks.
+system.cpu1.dcache.tags.sampled_refs 202065 # Sample count of references to valid blocks.
+system.cpu1.dcache.tags.avg_refs 23.350907 # Average number of references to valid blocks.
+system.cpu1.dcache.tags.warmup_cycle 15869420000 # Cycle when the warmup percentage was hit.
+system.cpu1.dcache.tags.occ_blocks::cpu1.data 497.601960 # Average occupied blocks per requestor
+system.cpu1.dcache.tags.occ_percent::cpu1.data 0.971879 # Average percentage of cache occupancy
+system.cpu1.dcache.tags.occ_percent::total 0.971879 # Average percentage of cache occupancy
+system.cpu1.dcache.tags.occ_task_id_blocks::1024 308 # Occupied blocks per task id
+system.cpu1.dcache.tags.age_task_id_blocks_1024::2 306 # Occupied blocks per task id
+system.cpu1.dcache.tags.age_task_id_blocks_1024::3 2 # Occupied blocks per task id
+system.cpu1.dcache.tags.occ_task_id_percent::1024 0.601562 # Percentage of cache occupancy per task id
+system.cpu1.dcache.tags.tag_accesses 20020608 # Number of tag accesses
+system.cpu1.dcache.tags.data_accesses 20020608 # Number of data accesses
+system.cpu1.dcache.ReadReq_hits::cpu1.data 2632688 # number of ReadReq hits
+system.cpu1.dcache.ReadReq_hits::total 2632688 # number of ReadReq hits
+system.cpu1.dcache.WriteReq_hits::cpu1.data 1954642 # number of WriteReq hits
+system.cpu1.dcache.WriteReq_hits::total 1954642 # number of WriteReq hits
+system.cpu1.dcache.LoadLockedReq_hits::cpu1.data 61098 # number of LoadLockedReq hits
+system.cpu1.dcache.LoadLockedReq_hits::total 61098 # number of LoadLockedReq hits
+system.cpu1.dcache.StoreCondReq_hits::cpu1.data 64210 # number of StoreCondReq hits
+system.cpu1.dcache.StoreCondReq_hits::total 64210 # number of StoreCondReq hits
+system.cpu1.dcache.demand_hits::cpu1.data 4587330 # number of demand (read+write) hits
+system.cpu1.dcache.demand_hits::total 4587330 # number of demand (read+write) hits
+system.cpu1.dcache.overall_hits::cpu1.data 4587330 # number of overall hits
+system.cpu1.dcache.overall_hits::total 4587330 # number of overall hits
+system.cpu1.dcache.ReadReq_misses::cpu1.data 140885 # number of ReadReq misses
+system.cpu1.dcache.ReadReq_misses::total 140885 # number of ReadReq misses
+system.cpu1.dcache.WriteReq_misses::cpu1.data 78318 # number of WriteReq misses
+system.cpu1.dcache.WriteReq_misses::total 78318 # number of WriteReq misses
+system.cpu1.dcache.LoadLockedReq_misses::cpu1.data 11000 # number of LoadLockedReq misses
+system.cpu1.dcache.LoadLockedReq_misses::total 11000 # number of LoadLockedReq misses
+system.cpu1.dcache.StoreCondReq_misses::cpu1.data 7305 # number of StoreCondReq misses
+system.cpu1.dcache.StoreCondReq_misses::total 7305 # number of StoreCondReq misses
+system.cpu1.dcache.demand_misses::cpu1.data 219203 # number of demand (read+write) misses
+system.cpu1.dcache.demand_misses::total 219203 # number of demand (read+write) misses
+system.cpu1.dcache.overall_misses::cpu1.data 219203 # number of overall misses
+system.cpu1.dcache.overall_misses::total 219203 # number of overall misses
+system.cpu1.dcache.ReadReq_accesses::cpu1.data 2773573 # number of ReadReq accesses(hits+misses)
+system.cpu1.dcache.ReadReq_accesses::total 2773573 # number of ReadReq accesses(hits+misses)
+system.cpu1.dcache.WriteReq_accesses::cpu1.data 2032960 # number of WriteReq accesses(hits+misses)
+system.cpu1.dcache.WriteReq_accesses::total 2032960 # number of WriteReq accesses(hits+misses)
+system.cpu1.dcache.LoadLockedReq_accesses::cpu1.data 72098 # number of LoadLockedReq accesses(hits+misses)
+system.cpu1.dcache.LoadLockedReq_accesses::total 72098 # number of LoadLockedReq accesses(hits+misses)
+system.cpu1.dcache.StoreCondReq_accesses::cpu1.data 71515 # number of StoreCondReq accesses(hits+misses)
+system.cpu1.dcache.StoreCondReq_accesses::total 71515 # number of StoreCondReq accesses(hits+misses)
+system.cpu1.dcache.demand_accesses::cpu1.data 4806533 # number of demand (read+write) accesses
+system.cpu1.dcache.demand_accesses::total 4806533 # number of demand (read+write) accesses
+system.cpu1.dcache.overall_accesses::cpu1.data 4806533 # number of overall (read+write) accesses
+system.cpu1.dcache.overall_accesses::total 4806533 # number of overall (read+write) accesses
+system.cpu1.dcache.ReadReq_miss_rate::cpu1.data 0.050795 # miss rate for ReadReq accesses
+system.cpu1.dcache.ReadReq_miss_rate::total 0.050795 # miss rate for ReadReq accesses
+system.cpu1.dcache.WriteReq_miss_rate::cpu1.data 0.038524 # miss rate for WriteReq accesses
+system.cpu1.dcache.WriteReq_miss_rate::total 0.038524 # miss rate for WriteReq accesses
+system.cpu1.dcache.LoadLockedReq_miss_rate::cpu1.data 0.152570 # miss rate for LoadLockedReq accesses
+system.cpu1.dcache.LoadLockedReq_miss_rate::total 0.152570 # miss rate for LoadLockedReq accesses
+system.cpu1.dcache.StoreCondReq_miss_rate::cpu1.data 0.102146 # miss rate for StoreCondReq accesses
+system.cpu1.dcache.StoreCondReq_miss_rate::total 0.102146 # miss rate for StoreCondReq accesses
+system.cpu1.dcache.demand_miss_rate::cpu1.data 0.045605 # miss rate for demand accesses
+system.cpu1.dcache.demand_miss_rate::total 0.045605 # miss rate for demand accesses
+system.cpu1.dcache.overall_miss_rate::cpu1.data 0.045605 # miss rate for overall accesses
+system.cpu1.dcache.overall_miss_rate::total 0.045605 # miss rate for overall accesses
system.cpu1.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu1.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu1.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -872,8 +972,8 @@ system.cpu1.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu1.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu1.dcache.fast_writes 0 # number of fast writes performed
system.cpu1.dcache.cache_copies 0 # number of cache copies performed
-system.cpu1.dcache.writebacks::writebacks 41020 # number of writebacks
-system.cpu1.dcache.writebacks::total 41020 # number of writebacks
+system.cpu1.dcache.writebacks::writebacks 144528 # number of writebacks
+system.cpu1.dcache.writebacks::total 144528 # number of writebacks
system.cpu1.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/stats.txt b/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/stats.txt
index 8a7bfd4c1..d02473de7 100644
--- a/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/stats.txt
+++ b/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-atomic/stats.txt
@@ -1,58 +1,90 @@
---------- Begin Simulation Statistics ----------
sim_seconds 1.829332 # Number of seconds simulated
-sim_ticks 1829332049000 # Number of ticks simulated
-final_tick 1829332049000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_ticks 1829331993500 # Number of ticks simulated
+final_tick 1829331993500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 2314619 # Simulator instruction rate (inst/s)
-host_op_rate 2314617 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 70524837278 # Simulator tick rate (ticks/s)
-host_mem_usage 315304 # Number of bytes of host memory used
-host_seconds 25.94 # Real time elapsed on the host
-sim_insts 60038433 # Number of instructions simulated
-sim_ops 60038433 # Number of ops (including micro ops) simulated
+host_inst_rate 2920462 # Simulator instruction rate (inst/s)
+host_op_rate 2920460 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 88984410684 # Simulator tick rate (ticks/s)
+host_mem_usage 366200 # Number of bytes of host memory used
+host_seconds 20.56 # Real time elapsed on the host
+sim_insts 60038469 # Number of instructions simulated
+sim_ops 60038469 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
system.physmem.bytes_read::cpu.inst 857984 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.data 66856384 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu.data 66856000 # Number of bytes read from this memory
system.physmem.bytes_read::tsunami.ide 960 # Number of bytes read from this memory
-system.physmem.bytes_read::total 67715328 # Number of bytes read from this memory
+system.physmem.bytes_read::total 67714944 # Number of bytes read from this memory
system.physmem.bytes_inst_read::cpu.inst 857984 # Number of instructions bytes read from this memory
system.physmem.bytes_inst_read::total 857984 # Number of instructions bytes read from this memory
-system.physmem.bytes_written::writebacks 4754240 # Number of bytes written to this memory
+system.physmem.bytes_written::writebacks 4753856 # Number of bytes written to this memory
system.physmem.bytes_written::tsunami.ide 2659328 # Number of bytes written to this memory
-system.physmem.bytes_written::total 7413568 # Number of bytes written to this memory
+system.physmem.bytes_written::total 7413184 # Number of bytes written to this memory
system.physmem.num_reads::cpu.inst 13406 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.data 1044631 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu.data 1044625 # Number of read requests responded to by this memory
system.physmem.num_reads::tsunami.ide 15 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 1058052 # Number of read requests responded to by this memory
-system.physmem.num_writes::writebacks 74285 # Number of write requests responded to by this memory
+system.physmem.num_reads::total 1058046 # Number of read requests responded to by this memory
+system.physmem.num_writes::writebacks 74279 # Number of write requests responded to by this memory
system.physmem.num_writes::tsunami.ide 41552 # Number of write requests responded to by this memory
-system.physmem.num_writes::total 115837 # Number of write requests responded to by this memory
+system.physmem.num_writes::total 115831 # Number of write requests responded to by this memory
system.physmem.bw_read::cpu.inst 469015 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 36546883 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.data 36546674 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::tsunami.ide 525 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 37016422 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 37016214 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_inst_read::cpu.inst 469015 # Instruction read bandwidth from this memory (bytes/s)
system.physmem.bw_inst_read::total 469015 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_write::writebacks 2598894 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::writebacks 2598684 # Write bandwidth from this memory (bytes/s)
system.physmem.bw_write::tsunami.ide 1453715 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::total 4052609 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_total::writebacks 2598894 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_write::total 4052399 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total::writebacks 2598684 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.inst 469015 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 36546883 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.data 36546674 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::tsunami.ide 1454240 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 41069032 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 41099809 # Throughput (bytes/s)
-system.membus.data_through_bus 75185198 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.physmem.bw_total::total 41068613 # Total bandwidth to/from this memory (bytes/s)
+system.membus.trans_dist::ReadReq 948404 # Transaction distribution
+system.membus.trans_dist::ReadResp 948404 # Transaction distribution
+system.membus.trans_dist::WriteReq 9838 # Transaction distribution
+system.membus.trans_dist::WriteResp 9838 # Transaction distribution
+system.membus.trans_dist::Writeback 74279 # Transaction distribution
+system.membus.trans_dist::WriteInvalidateReq 41552 # Transaction distribution
+system.membus.trans_dist::WriteInvalidateResp 41552 # Transaction distribution
+system.membus.trans_dist::UpgradeReq 132 # Transaction distribution
+system.membus.trans_dist::UpgradeResp 132 # Transaction distribution
+system.membus.trans_dist::ReadExReq 116985 # Transaction distribution
+system.membus.trans_dist::ReadExResp 116985 # Transaction distribution
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.bridge.slave 34044 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 2190605 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::total 2224649 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 83452 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::total 83452 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 2308101 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.bridge.slave 46126 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 72467840 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::total 72513966 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::system.physmem.port 2670464 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::total 2670464 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 75184430 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 1174168 # Request fanout histogram
+system.membus.snoop_fanout::mean 1 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 1174168 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 1 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 1174168 # Request fanout histogram
system.iocache.tags.replacements 41686 # number of replacements
-system.iocache.tags.tagsinuse 1.225568 # Cycle average of tags in use
+system.iocache.tags.tagsinuse 1.225569 # Cycle average of tags in use
system.iocache.tags.total_refs 0 # Total number of references to valid blocks.
system.iocache.tags.sampled_refs 41702 # Sample count of references to valid blocks.
system.iocache.tags.avg_refs 0 # Average number of references to valid blocks.
-system.iocache.tags.warmup_cycle 1685780659017 # Cycle when the warmup percentage was hit.
-system.iocache.tags.occ_blocks::tsunami.ide 1.225568 # Average occupied blocks per requestor
+system.iocache.tags.warmup_cycle 1685780587017 # Cycle when the warmup percentage was hit.
+system.iocache.tags.occ_blocks::tsunami.ide 1.225569 # Average occupied blocks per requestor
system.iocache.tags.occ_percent::tsunami.ide 0.076598 # Average percentage of cache occupancy
system.iocache.tags.occ_percent::total 0.076598 # Average percentage of cache occupancy
system.iocache.tags.occ_task_id_blocks::1023 16 # Occupied blocks per task id
@@ -108,15 +140,15 @@ system.cpu.dtb.fetch_hits 0 # IT
system.cpu.dtb.fetch_misses 0 # ITB misses
system.cpu.dtb.fetch_acv 0 # ITB acv
system.cpu.dtb.fetch_accesses 0 # ITB accesses
-system.cpu.dtb.read_hits 9710428 # DTB read hits
+system.cpu.dtb.read_hits 9710423 # DTB read hits
system.cpu.dtb.read_misses 10329 # DTB read misses
system.cpu.dtb.read_acv 210 # DTB read access violations
system.cpu.dtb.read_accesses 728856 # DTB read accesses
-system.cpu.dtb.write_hits 6352498 # DTB write hits
+system.cpu.dtb.write_hits 6352496 # DTB write hits
system.cpu.dtb.write_misses 1142 # DTB write misses
system.cpu.dtb.write_acv 157 # DTB write access violations
system.cpu.dtb.write_accesses 291931 # DTB write accesses
-system.cpu.dtb.data_hits 16062926 # DTB hits
+system.cpu.dtb.data_hits 16062919 # DTB hits
system.cpu.dtb.data_misses 11471 # DTB misses
system.cpu.dtb.data_acv 367 # DTB access violations
system.cpu.dtb.data_accesses 1020787 # DTB accesses
@@ -136,32 +168,32 @@ system.cpu.itb.data_hits 0 # DT
system.cpu.itb.data_misses 0 # DTB misses
system.cpu.itb.data_acv 0 # DTB access violations
system.cpu.itb.data_accesses 0 # DTB accesses
-system.cpu.numCycles 3658664099 # number of cpu cycles simulated
+system.cpu.numCycles 3658670345 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.committedInsts 60038433 # Number of instructions committed
-system.cpu.committedOps 60038433 # Number of ops (including micro ops) committed
-system.cpu.num_int_alu_accesses 55913650 # Number of integer alu accesses
+system.cpu.committedInsts 60038469 # Number of instructions committed
+system.cpu.committedOps 60038469 # Number of ops (including micro ops) committed
+system.cpu.num_int_alu_accesses 55913692 # Number of integer alu accesses
system.cpu.num_fp_alu_accesses 324460 # Number of float alu accesses
system.cpu.num_func_calls 1484182 # number of times a function call or return occured
-system.cpu.num_conditional_control_insts 7110776 # number of instructions that are conditional controls
-system.cpu.num_int_insts 55913650 # number of integer instructions
+system.cpu.num_conditional_control_insts 7110791 # number of instructions that are conditional controls
+system.cpu.num_int_insts 55913692 # number of integer instructions
system.cpu.num_fp_insts 324460 # number of float instructions
-system.cpu.num_int_register_reads 76954165 # number of times the integer registers were read
-system.cpu.num_int_register_writes 41740323 # number of times the integer registers were written
+system.cpu.num_int_register_reads 76954245 # number of times the integer registers were read
+system.cpu.num_int_register_writes 41740352 # number of times the integer registers were written
system.cpu.num_fp_register_reads 163642 # number of times the floating registers were read
system.cpu.num_fp_register_writes 166520 # number of times the floating registers were written
-system.cpu.num_mem_refs 16115710 # number of memory refs
-system.cpu.num_load_insts 9747514 # Number of load instructions
-system.cpu.num_store_insts 6368196 # Number of store instructions
-system.cpu.num_idle_cycles 3598608539.425618 # Number of idle cycles
-system.cpu.num_busy_cycles 60055559.574382 # Number of busy cycles
-system.cpu.not_idle_fraction 0.016415 # Percentage of non-idle cycles
-system.cpu.idle_fraction 0.983585 # Percentage of idle cycles
-system.cpu.Branches 9064413 # Number of branches fetched
-system.cpu.op_class::No_OpClass 3199106 5.33% 5.33% # Class of executed instruction
-system.cpu.op_class::IntAlu 39448354 65.69% 71.02% # Class of executed instruction
-system.cpu.op_class::IntMult 60680 0.10% 71.12% # Class of executed instruction
+system.cpu.num_mem_refs 16115703 # number of memory refs
+system.cpu.num_load_insts 9747509 # Number of load instructions
+system.cpu.num_store_insts 6368194 # Number of store instructions
+system.cpu.num_idle_cycles 3598621002.088897 # Number of idle cycles
+system.cpu.num_busy_cycles 60049342.911103 # Number of busy cycles
+system.cpu.not_idle_fraction 0.016413 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0.983587 # Percentage of idle cycles
+system.cpu.Branches 9064428 # Number of branches fetched
+system.cpu.op_class::No_OpClass 3199100 5.33% 5.33% # Class of executed instruction
+system.cpu.op_class::IntAlu 39448406 65.69% 71.02% # Class of executed instruction
+system.cpu.op_class::IntMult 60677 0.10% 71.12% # Class of executed instruction
system.cpu.op_class::IntDiv 0 0.00% 71.12% # Class of executed instruction
system.cpu.op_class::FloatAdd 38087 0.06% 71.18% # Class of executed instruction
system.cpu.op_class::FloatCmp 0 0.00% 71.18% # Class of executed instruction
@@ -189,11 +221,11 @@ system.cpu.op_class::SimdFloatMisc 0 0.00% 71.19% # Cl
system.cpu.op_class::SimdFloatMult 0 0.00% 71.19% # Class of executed instruction
system.cpu.op_class::SimdFloatMultAcc 0 0.00% 71.19% # Class of executed instruction
system.cpu.op_class::SimdFloatSqrt 0 0.00% 71.19% # Class of executed instruction
-system.cpu.op_class::MemRead 9975082 16.61% 87.80% # Class of executed instruction
-system.cpu.op_class::MemWrite 6374117 10.61% 98.42% # Class of executed instruction
+system.cpu.op_class::MemRead 9975077 16.61% 87.80% # Class of executed instruction
+system.cpu.op_class::MemWrite 6374115 10.61% 98.42% # Class of executed instruction
system.cpu.op_class::IprAccess 951209 1.58% 100.00% # Class of executed instruction
system.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::total 60050271 # Class of executed instruction
+system.cpu.op_class::total 60050307 # Class of executed instruction
system.cpu.kern.inst.arm 0 # number of arm instructions executed
system.cpu.kern.inst.quiesce 6357 # number of quiesce instructions executed
system.cpu.kern.inst.hwrei 211318 # number of hwrei instructions executed
@@ -207,11 +239,11 @@ system.cpu.kern.ipl_good::21 243 0.16% 49.46% # nu
system.cpu.kern.ipl_good::22 1866 1.25% 50.71% # number of times we switched to this ipl from a different ipl
system.cpu.kern.ipl_good::31 73463 49.29% 100.00% # number of times we switched to this ipl from a different ipl
system.cpu.kern.ipl_good::total 149035 # number of times we switched to this ipl from a different ipl
-system.cpu.kern.ipl_ticks::0 1811927133000 99.05% 99.05% # number of cycles we spent at this ipl
+system.cpu.kern.ipl_ticks::0 1811929127500 99.05% 99.05% # number of cycles we spent at this ipl
system.cpu.kern.ipl_ticks::21 20110000 0.00% 99.05% # number of cycles we spent at this ipl
system.cpu.kern.ipl_ticks::22 80238000 0.00% 99.05% # number of cycles we spent at this ipl
-system.cpu.kern.ipl_ticks::31 17304360500 0.95% 100.00% # number of cycles we spent at this ipl
-system.cpu.kern.ipl_ticks::total 1829331841500 # number of cycles we spent at this ipl
+system.cpu.kern.ipl_ticks::31 17302310500 0.95% 100.00% # number of cycles we spent at this ipl
+system.cpu.kern.ipl_ticks::total 1829331786000 # number of cycles we spent at this ipl
system.cpu.kern.ipl_used::0 0.981732 # fraction of swpipl calls that actually changed the ipl
system.cpu.kern.ipl_used::21 1 # fraction of swpipl calls that actually changed the ipl
system.cpu.kern.ipl_used::22 1 # fraction of swpipl calls that actually changed the ipl
@@ -275,9 +307,9 @@ system.cpu.kern.mode_switch_good::kernel 0.320726 # fr
system.cpu.kern.mode_switch_good::user 1 # fraction of useful protection mode switches
system.cpu.kern.mode_switch_good::idle 0.081545 # fraction of useful protection mode switches
system.cpu.kern.mode_switch_good::total 0.390064 # fraction of useful protection mode switches
-system.cpu.kern.mode_ticks::kernel 26834199500 1.47% 1.47% # number of ticks spent at the given mode
+system.cpu.kern.mode_ticks::kernel 26833316500 1.47% 1.47% # number of ticks spent at the given mode
system.cpu.kern.mode_ticks::user 1465069000 0.08% 1.55% # number of ticks spent at the given mode
-system.cpu.kern.mode_ticks::idle 1801032572000 98.45% 100.00% # number of ticks spent at the given mode
+system.cpu.kern.mode_ticks::idle 1801033399500 98.45% 100.00% # number of ticks spent at the given mode
system.cpu.kern.swap_context 4178 # number of times the context was actually changed
system.tsunami.ethernet.descDMAReads 0 # Number of descriptors the device read w/ DMA
system.tsunami.ethernet.descDMAWrites 0 # Number of descriptors the device wrote w/ DMA
@@ -310,15 +342,50 @@ system.tsunami.ethernet.totalRxOrn 0 # to
system.tsunami.ethernet.coalescedTotal nan # average number of interrupts coalesced into each post
system.tsunami.ethernet.postedInterrupts 0 # number of posts to CPU
system.tsunami.ethernet.droppedPackets 0 # number of packets dropped
-system.iobus.throughput 1480181 # Throughput (bytes/s)
-system.iobus.data_through_bus 2707742 # Total data (bytes)
-system.cpu.icache.tags.replacements 919591 # number of replacements
-system.cpu.icache.tags.tagsinuse 511.215239 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 59130053 # Total number of references to valid blocks.
-system.cpu.icache.tags.sampled_refs 920103 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 64.264602 # Average number of references to valid blocks.
-system.cpu.icache.tags.warmup_cycle 9686972500 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 511.215239 # Average occupied blocks per requestor
+system.iobus.trans_dist::ReadReq 7358 # Transaction distribution
+system.iobus.trans_dist::ReadResp 7358 # Transaction distribution
+system.iobus.trans_dist::WriteReq 51390 # Transaction distribution
+system.iobus.trans_dist::WriteResp 9838 # Transaction distribution
+system.iobus.trans_dist::WriteInvalidateResp 41552 # Transaction distribution
+system.iobus.pkt_count_system.bridge.master::system.tsunami.cchip.pio 5248 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.pchip.pio 476 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.fake_sm_chip.pio 10 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.fake_uart4.pio 10 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.io.pio 1076 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.uart.pio 18012 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.backdoor.pio 1904 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.ide.pio 6672 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.ide-pciconf 294 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.ethernet.pio 102 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.ethernet-pciconf 180 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.pciconfig.pio 60 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::total 34044 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.tsunami.ide.dma::system.iocache.cpu_side 83452 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.tsunami.ide.dma::total 83452 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count::total 117496 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.cchip.pio 20992 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.pchip.pio 1904 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.fake_sm_chip.pio 5 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.fake_uart4.pio 5 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.io.pio 1392 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.uart.pio 9006 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.backdoor.pio 7596 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ide.pio 4193 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ide-pciconf 410 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ethernet.pio 204 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ethernet-pciconf 299 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.pciconfig.pio 120 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::total 46126 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.tsunami.ide.dma::system.iocache.cpu_side 2661616 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.tsunami.ide.dma::total 2661616 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size::total 2707742 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.icache.tags.replacements 919603 # number of replacements
+system.cpu.icache.tags.tagsinuse 511.215257 # Cycle average of tags in use
+system.cpu.icache.tags.total_refs 59130077 # Total number of references to valid blocks.
+system.cpu.icache.tags.sampled_refs 920115 # Sample count of references to valid blocks.
+system.cpu.icache.tags.avg_refs 64.263790 # Average number of references to valid blocks.
+system.cpu.icache.tags.warmup_cycle 9686452000 # Cycle when the warmup percentage was hit.
+system.cpu.icache.tags.occ_blocks::cpu.inst 511.215257 # Average occupied blocks per requestor
system.cpu.icache.tags.occ_percent::cpu.inst 0.998467 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_percent::total 0.998467 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
@@ -326,26 +393,26 @@ system.cpu.icache.tags.age_task_id_blocks_1024::0 63
system.cpu.icache.tags.age_task_id_blocks_1024::1 117 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::2 332 # Occupied blocks per task id
system.cpu.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 60970489 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 60970489 # Number of data accesses
-system.cpu.icache.ReadReq_hits::cpu.inst 59130053 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 59130053 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 59130053 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 59130053 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 59130053 # number of overall hits
-system.cpu.icache.overall_hits::total 59130053 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 920218 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 920218 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 920218 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 920218 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 920218 # number of overall misses
-system.cpu.icache.overall_misses::total 920218 # number of overall misses
-system.cpu.icache.ReadReq_accesses::cpu.inst 60050271 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.ReadReq_accesses::total 60050271 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.demand_accesses::cpu.inst 60050271 # number of demand (read+write) accesses
-system.cpu.icache.demand_accesses::total 60050271 # number of demand (read+write) accesses
-system.cpu.icache.overall_accesses::cpu.inst 60050271 # number of overall (read+write) accesses
-system.cpu.icache.overall_accesses::total 60050271 # number of overall (read+write) accesses
+system.cpu.icache.tags.tag_accesses 60970537 # Number of tag accesses
+system.cpu.icache.tags.data_accesses 60970537 # Number of data accesses
+system.cpu.icache.ReadReq_hits::cpu.inst 59130077 # number of ReadReq hits
+system.cpu.icache.ReadReq_hits::total 59130077 # number of ReadReq hits
+system.cpu.icache.demand_hits::cpu.inst 59130077 # number of demand (read+write) hits
+system.cpu.icache.demand_hits::total 59130077 # number of demand (read+write) hits
+system.cpu.icache.overall_hits::cpu.inst 59130077 # number of overall hits
+system.cpu.icache.overall_hits::total 59130077 # number of overall hits
+system.cpu.icache.ReadReq_misses::cpu.inst 920230 # number of ReadReq misses
+system.cpu.icache.ReadReq_misses::total 920230 # number of ReadReq misses
+system.cpu.icache.demand_misses::cpu.inst 920230 # number of demand (read+write) misses
+system.cpu.icache.demand_misses::total 920230 # number of demand (read+write) misses
+system.cpu.icache.overall_misses::cpu.inst 920230 # number of overall misses
+system.cpu.icache.overall_misses::total 920230 # number of overall misses
+system.cpu.icache.ReadReq_accesses::cpu.inst 60050307 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.ReadReq_accesses::total 60050307 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses::cpu.inst 60050307 # number of demand (read+write) accesses
+system.cpu.icache.demand_accesses::total 60050307 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses::cpu.inst 60050307 # number of overall (read+write) accesses
+system.cpu.icache.overall_accesses::total 60050307 # number of overall (read+write) accesses
system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.015324 # miss rate for ReadReq accesses
system.cpu.icache.ReadReq_miss_rate::total 0.015324 # miss rate for ReadReq accesses
system.cpu.icache.demand_miss_rate::cpu.inst 0.015324 # miss rate for demand accesses
@@ -361,17 +428,17 @@ system.cpu.icache.avg_blocked_cycles::no_targets nan
system.cpu.icache.fast_writes 0 # number of fast writes performed
system.cpu.icache.cache_copies 0 # number of cache copies performed
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.l2cache.tags.replacements 992295 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 65424.374544 # Cycle average of tags in use
-system.cpu.l2cache.tags.total_refs 2433214 # Total number of references to valid blocks.
-system.cpu.l2cache.tags.sampled_refs 1057458 # Sample count of references to valid blocks.
-system.cpu.l2cache.tags.avg_refs 2.301003 # Average number of references to valid blocks.
+system.cpu.l2cache.tags.replacements 992289 # number of replacements
+system.cpu.l2cache.tags.tagsinuse 65424.374569 # Cycle average of tags in use
+system.cpu.l2cache.tags.total_refs 2433258 # Total number of references to valid blocks.
+system.cpu.l2cache.tags.sampled_refs 1057452 # Sample count of references to valid blocks.
+system.cpu.l2cache.tags.avg_refs 2.301058 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 614754000 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::writebacks 56309.107765 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 4867.336412 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 4247.930367 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::writebacks 0.859209 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.074270 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_blocks::writebacks 56310.337833 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 4866.106258 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 4247.930478 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::writebacks 0.859228 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::cpu.inst 0.074251 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.data 0.064818 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::total 0.998297 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_task_id_blocks::1024 65163 # Occupied blocks per task id
@@ -381,64 +448,64 @@ system.cpu.l2cache.tags.age_task_id_blocks_1024::2 4024
system.cpu.l2cache.tags.age_task_id_blocks_1024::3 3048 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1024::4 54050 # Occupied blocks per task id
system.cpu.l2cache.tags.occ_task_id_percent::1024 0.994308 # Percentage of cache occupancy per task id
-system.cpu.l2cache.tags.tag_accesses 31737120 # Number of tag accesses
-system.cpu.l2cache.tags.data_accesses 31737120 # Number of data accesses
-system.cpu.l2cache.ReadReq_hits::cpu.inst 906794 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.data 811217 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::total 1718011 # number of ReadReq hits
-system.cpu.l2cache.Writeback_hits::writebacks 833475 # number of Writeback hits
-system.cpu.l2cache.Writeback_hits::total 833475 # number of Writeback hits
+system.cpu.l2cache.tags.tag_accesses 31737481 # Number of tag accesses
+system.cpu.l2cache.tags.data_accesses 31737481 # Number of data accesses
+system.cpu.l2cache.ReadReq_hits::cpu.inst 906806 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::cpu.data 811234 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::total 1718040 # number of ReadReq hits
+system.cpu.l2cache.Writeback_hits::writebacks 833484 # number of Writeback hits
+system.cpu.l2cache.Writeback_hits::total 833484 # number of Writeback hits
system.cpu.l2cache.UpgradeReq_hits::cpu.data 4 # number of UpgradeReq hits
system.cpu.l2cache.UpgradeReq_hits::total 4 # number of UpgradeReq hits
-system.cpu.l2cache.ReadExReq_hits::cpu.data 187228 # number of ReadExReq hits
-system.cpu.l2cache.ReadExReq_hits::total 187228 # number of ReadExReq hits
-system.cpu.l2cache.demand_hits::cpu.inst 906794 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.data 998445 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::total 1905239 # number of demand (read+write) hits
-system.cpu.l2cache.overall_hits::cpu.inst 906794 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.data 998445 # number of overall hits
-system.cpu.l2cache.overall_hits::total 1905239 # number of overall hits
+system.cpu.l2cache.ReadExReq_hits::cpu.data 187241 # number of ReadExReq hits
+system.cpu.l2cache.ReadExReq_hits::total 187241 # number of ReadExReq hits
+system.cpu.l2cache.demand_hits::cpu.inst 906806 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::cpu.data 998475 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::total 1905281 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits::cpu.inst 906806 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.data 998475 # number of overall hits
+system.cpu.l2cache.overall_hits::total 1905281 # number of overall hits
system.cpu.l2cache.ReadReq_misses::cpu.inst 13406 # number of ReadReq misses
system.cpu.l2cache.ReadReq_misses::cpu.data 927640 # number of ReadReq misses
system.cpu.l2cache.ReadReq_misses::total 941046 # number of ReadReq misses
system.cpu.l2cache.UpgradeReq_misses::cpu.data 12 # number of UpgradeReq misses
system.cpu.l2cache.UpgradeReq_misses::total 12 # number of UpgradeReq misses
-system.cpu.l2cache.ReadExReq_misses::cpu.data 117111 # number of ReadExReq misses
-system.cpu.l2cache.ReadExReq_misses::total 117111 # number of ReadExReq misses
+system.cpu.l2cache.ReadExReq_misses::cpu.data 117105 # number of ReadExReq misses
+system.cpu.l2cache.ReadExReq_misses::total 117105 # number of ReadExReq misses
system.cpu.l2cache.demand_misses::cpu.inst 13406 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.data 1044751 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::total 1058157 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::cpu.data 1044745 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::total 1058151 # number of demand (read+write) misses
system.cpu.l2cache.overall_misses::cpu.inst 13406 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.data 1044751 # number of overall misses
-system.cpu.l2cache.overall_misses::total 1058157 # number of overall misses
-system.cpu.l2cache.ReadReq_accesses::cpu.inst 920200 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.data 1738857 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::total 2659057 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.Writeback_accesses::writebacks 833475 # number of Writeback accesses(hits+misses)
-system.cpu.l2cache.Writeback_accesses::total 833475 # number of Writeback accesses(hits+misses)
+system.cpu.l2cache.overall_misses::cpu.data 1044745 # number of overall misses
+system.cpu.l2cache.overall_misses::total 1058151 # number of overall misses
+system.cpu.l2cache.ReadReq_accesses::cpu.inst 920212 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.data 1738874 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::total 2659086 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.Writeback_accesses::writebacks 833484 # number of Writeback accesses(hits+misses)
+system.cpu.l2cache.Writeback_accesses::total 833484 # number of Writeback accesses(hits+misses)
system.cpu.l2cache.UpgradeReq_accesses::cpu.data 16 # number of UpgradeReq accesses(hits+misses)
system.cpu.l2cache.UpgradeReq_accesses::total 16 # number of UpgradeReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 304339 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::total 304339 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.demand_accesses::cpu.inst 920200 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.data 2043196 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::total 2963396 # number of demand (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.inst 920200 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.data 2043196 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::total 2963396 # number of overall (read+write) accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.014569 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.533477 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::total 0.353902 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_accesses::cpu.data 304346 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses::total 304346 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses::cpu.inst 920212 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.data 2043220 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::total 2963432 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.inst 920212 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.data 2043220 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::total 2963432 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.014568 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.533472 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::total 0.353898 # miss rate for ReadReq accesses
system.cpu.l2cache.UpgradeReq_miss_rate::cpu.data 0.750000 # miss rate for UpgradeReq accesses
system.cpu.l2cache.UpgradeReq_miss_rate::total 0.750000 # miss rate for UpgradeReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 0.384804 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::total 0.384804 # miss rate for ReadExReq accesses
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.014569 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.data 0.511332 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::total 0.357076 # miss rate for demand accesses
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.014569 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.data 0.511332 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::total 0.357076 # miss rate for overall accesses
+system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 0.384776 # miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate::total 0.384776 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate::cpu.inst 0.014568 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.data 0.511323 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::total 0.357069 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate::cpu.inst 0.014568 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::cpu.data 0.511323 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::total 0.357069 # miss rate for overall accesses
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -447,14 +514,14 @@ system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan
system.cpu.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.l2cache.fast_writes 0 # number of fast writes performed
system.cpu.l2cache.cache_copies 0 # number of cache copies performed
-system.cpu.l2cache.writebacks::writebacks 74285 # number of writebacks
-system.cpu.l2cache.writebacks::total 74285 # number of writebacks
+system.cpu.l2cache.writebacks::writebacks 74279 # number of writebacks
+system.cpu.l2cache.writebacks::total 74279 # number of writebacks
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.dcache.tags.replacements 2042683 # number of replacements
+system.cpu.dcache.tags.replacements 2042707 # number of replacements
system.cpu.dcache.tags.tagsinuse 511.997802 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 14038451 # Total number of references to valid blocks.
-system.cpu.dcache.tags.sampled_refs 2043195 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 6.870833 # Average number of references to valid blocks.
+system.cpu.dcache.tags.total_refs 14038420 # Total number of references to valid blocks.
+system.cpu.dcache.tags.sampled_refs 2043219 # Sample count of references to valid blocks.
+system.cpu.dcache.tags.avg_refs 6.870737 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 10840000 # Cycle when the warmup percentage was hit.
system.cpu.dcache.tags.occ_blocks::cpu.data 511.997802 # Average occupied blocks per requestor
system.cpu.dcache.tags.occ_percent::cpu.data 0.999996 # Average percentage of cache occupancy
@@ -464,52 +531,52 @@ system.cpu.dcache.tags.age_task_id_blocks_1024::0 443
system.cpu.dcache.tags.age_task_id_blocks_1024::1 66 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::2 3 # Occupied blocks per task id
system.cpu.dcache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu.dcache.tags.tag_accesses 66369784 # Number of tag accesses
-system.cpu.dcache.tags.data_accesses 66369784 # Number of data accesses
-system.cpu.dcache.ReadReq_hits::cpu.data 7807792 # number of ReadReq hits
-system.cpu.dcache.ReadReq_hits::total 7807792 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 5848219 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 5848219 # number of WriteReq hits
-system.cpu.dcache.LoadLockedReq_hits::cpu.data 183142 # number of LoadLockedReq hits
-system.cpu.dcache.LoadLockedReq_hits::total 183142 # number of LoadLockedReq hits
+system.cpu.dcache.tags.tag_accesses 66369780 # Number of tag accesses
+system.cpu.dcache.tags.data_accesses 66369780 # Number of data accesses
+system.cpu.dcache.ReadReq_hits::cpu.data 7807771 # number of ReadReq hits
+system.cpu.dcache.ReadReq_hits::total 7807771 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits::cpu.data 5848210 # number of WriteReq hits
+system.cpu.dcache.WriteReq_hits::total 5848210 # number of WriteReq hits
+system.cpu.dcache.LoadLockedReq_hits::cpu.data 183141 # number of LoadLockedReq hits
+system.cpu.dcache.LoadLockedReq_hits::total 183141 # number of LoadLockedReq hits
system.cpu.dcache.StoreCondReq_hits::cpu.data 199282 # number of StoreCondReq hits
system.cpu.dcache.StoreCondReq_hits::total 199282 # number of StoreCondReq hits
-system.cpu.dcache.demand_hits::cpu.data 13656011 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 13656011 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 13656011 # number of overall hits
-system.cpu.dcache.overall_hits::total 13656011 # number of overall hits
-system.cpu.dcache.ReadReq_misses::cpu.data 1721696 # number of ReadReq misses
-system.cpu.dcache.ReadReq_misses::total 1721696 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 304355 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 304355 # number of WriteReq misses
-system.cpu.dcache.LoadLockedReq_misses::cpu.data 17161 # number of LoadLockedReq misses
-system.cpu.dcache.LoadLockedReq_misses::total 17161 # number of LoadLockedReq misses
-system.cpu.dcache.demand_misses::cpu.data 2026051 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 2026051 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 2026051 # number of overall misses
-system.cpu.dcache.overall_misses::total 2026051 # number of overall misses
-system.cpu.dcache.ReadReq_accesses::cpu.data 9529488 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.ReadReq_accesses::total 9529488 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::cpu.data 6152574 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::total 6152574 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.demand_hits::cpu.data 13655981 # number of demand (read+write) hits
+system.cpu.dcache.demand_hits::total 13655981 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits::cpu.data 13655981 # number of overall hits
+system.cpu.dcache.overall_hits::total 13655981 # number of overall hits
+system.cpu.dcache.ReadReq_misses::cpu.data 1721712 # number of ReadReq misses
+system.cpu.dcache.ReadReq_misses::total 1721712 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses::cpu.data 304362 # number of WriteReq misses
+system.cpu.dcache.WriteReq_misses::total 304362 # number of WriteReq misses
+system.cpu.dcache.LoadLockedReq_misses::cpu.data 17162 # number of LoadLockedReq misses
+system.cpu.dcache.LoadLockedReq_misses::total 17162 # number of LoadLockedReq misses
+system.cpu.dcache.demand_misses::cpu.data 2026074 # number of demand (read+write) misses
+system.cpu.dcache.demand_misses::total 2026074 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses::cpu.data 2026074 # number of overall misses
+system.cpu.dcache.overall_misses::total 2026074 # number of overall misses
+system.cpu.dcache.ReadReq_accesses::cpu.data 9529483 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.ReadReq_accesses::total 9529483 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses::cpu.data 6152572 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses::total 6152572 # number of WriteReq accesses(hits+misses)
system.cpu.dcache.LoadLockedReq_accesses::cpu.data 200303 # number of LoadLockedReq accesses(hits+misses)
system.cpu.dcache.LoadLockedReq_accesses::total 200303 # number of LoadLockedReq accesses(hits+misses)
system.cpu.dcache.StoreCondReq_accesses::cpu.data 199282 # number of StoreCondReq accesses(hits+misses)
system.cpu.dcache.StoreCondReq_accesses::total 199282 # number of StoreCondReq accesses(hits+misses)
-system.cpu.dcache.demand_accesses::cpu.data 15682062 # number of demand (read+write) accesses
-system.cpu.dcache.demand_accesses::total 15682062 # number of demand (read+write) accesses
-system.cpu.dcache.overall_accesses::cpu.data 15682062 # number of overall (read+write) accesses
-system.cpu.dcache.overall_accesses::total 15682062 # number of overall (read+write) accesses
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.180670 # miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_miss_rate::total 0.180670 # miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.049468 # miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_miss_rate::total 0.049468 # miss rate for WriteReq accesses
-system.cpu.dcache.LoadLockedReq_miss_rate::cpu.data 0.085675 # miss rate for LoadLockedReq accesses
-system.cpu.dcache.LoadLockedReq_miss_rate::total 0.085675 # miss rate for LoadLockedReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.129195 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.129195 # miss rate for demand accesses
-system.cpu.dcache.overall_miss_rate::cpu.data 0.129195 # miss rate for overall accesses
-system.cpu.dcache.overall_miss_rate::total 0.129195 # miss rate for overall accesses
+system.cpu.dcache.demand_accesses::cpu.data 15682055 # number of demand (read+write) accesses
+system.cpu.dcache.demand_accesses::total 15682055 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses::cpu.data 15682055 # number of overall (read+write) accesses
+system.cpu.dcache.overall_accesses::total 15682055 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.180672 # miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_miss_rate::total 0.180672 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.049469 # miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_miss_rate::total 0.049469 # miss rate for WriteReq accesses
+system.cpu.dcache.LoadLockedReq_miss_rate::cpu.data 0.085680 # miss rate for LoadLockedReq accesses
+system.cpu.dcache.LoadLockedReq_miss_rate::total 0.085680 # miss rate for LoadLockedReq accesses
+system.cpu.dcache.demand_miss_rate::cpu.data 0.129197 # miss rate for demand accesses
+system.cpu.dcache.demand_miss_rate::total 0.129197 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate::cpu.data 0.129197 # miss rate for overall accesses
+system.cpu.dcache.overall_miss_rate::total 0.129197 # miss rate for overall accesses
system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -518,11 +585,35 @@ system.cpu.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.dcache.fast_writes 0 # number of fast writes performed
system.cpu.dcache.cache_copies 0 # number of cache copies performed
-system.cpu.dcache.writebacks::writebacks 833475 # number of writebacks
-system.cpu.dcache.writebacks::total 833475 # number of writebacks
+system.cpu.dcache.writebacks::writebacks 833484 # number of writebacks
+system.cpu.dcache.writebacks::total 833484 # number of writebacks
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 134320283 # Throughput (bytes/s)
-system.cpu.toL2Bus.data_through_bus 243047022 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 2669376 # Total snoop data (bytes)
+system.cpu.toL2Bus.trans_dist::ReadReq 2666288 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadResp 2666288 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::WriteReq 9838 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::WriteResp 9838 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::Writeback 833484 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::UpgradeReq 16 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::UpgradeResp 16 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadExReq 304346 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadExResp 304346 # Transaction distribution
+system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 1840460 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 4954000 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count::total 6794460 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 58894720 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 184155182 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 243049902 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 41883 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 3838676 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1.010870 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0.103691 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 3796950 98.91% 98.91% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 41726 1.09% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 2 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 3838676 # Request fanout histogram
---------- End Simulation Statistics ----------
diff --git a/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/stats.txt b/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/stats.txt
index 034bdfed2..977509ec9 100644
--- a/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/stats.txt
+++ b/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing-dual/stats.txt
@@ -1,121 +1,121 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 1.962815 # Number of seconds simulated
-sim_ticks 1962815218500 # Number of ticks simulated
-final_tick 1962815218500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 1.961827 # Number of seconds simulated
+sim_ticks 1961826628500 # Number of ticks simulated
+final_tick 1961826628500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 1506000 # Simulator instruction rate (inst/s)
-host_op_rate 1505999 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 49787604582 # Simulator tick rate (ticks/s)
-host_mem_usage 317424 # Number of bytes of host memory used
-host_seconds 39.42 # Real time elapsed on the host
-sim_insts 59372159 # Number of instructions simulated
-sim_ops 59372159 # Number of ops (including micro ops) simulated
+host_inst_rate 1388652 # Simulator instruction rate (inst/s)
+host_op_rate 1388652 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 44739465331 # Simulator tick rate (ticks/s)
+host_mem_usage 370560 # Number of bytes of host memory used
+host_seconds 43.85 # Real time elapsed on the host
+sim_insts 60892387 # Number of instructions simulated
+sim_ops 60892387 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
-system.physmem.bytes_read::cpu0.inst 724992 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu0.data 24166912 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.inst 833152 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.data 24900864 # Number of bytes read from this memory
system.physmem.bytes_read::tsunami.ide 960 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1.inst 138560 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1.data 1080576 # Number of bytes read from this memory
-system.physmem.bytes_read::total 26112000 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu0.inst 724992 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::cpu1.inst 138560 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 863552 # Number of instructions bytes read from this memory
-system.physmem.bytes_written::writebacks 5090112 # Number of bytes written to this memory
+system.physmem.bytes_read::cpu1.inst 31872 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu1.data 336832 # Number of bytes read from this memory
+system.physmem.bytes_read::total 26103680 # Number of bytes read from this memory
+system.physmem.bytes_inst_read::cpu0.inst 833152 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::cpu1.inst 31872 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::total 865024 # Number of instructions bytes read from this memory
+system.physmem.bytes_written::writebacks 5078656 # Number of bytes written to this memory
system.physmem.bytes_written::tsunami.ide 2659328 # Number of bytes written to this memory
-system.physmem.bytes_written::total 7749440 # Number of bytes written to this memory
-system.physmem.num_reads::cpu0.inst 11328 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu0.data 377608 # Number of read requests responded to by this memory
+system.physmem.bytes_written::total 7737984 # Number of bytes written to this memory
+system.physmem.num_reads::cpu0.inst 13018 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu0.data 389076 # Number of read requests responded to by this memory
system.physmem.num_reads::tsunami.ide 15 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1.inst 2165 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1.data 16884 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 408000 # Number of read requests responded to by this memory
-system.physmem.num_writes::writebacks 79533 # Number of write requests responded to by this memory
+system.physmem.num_reads::cpu1.inst 498 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu1.data 5263 # Number of read requests responded to by this memory
+system.physmem.num_reads::total 407870 # Number of read requests responded to by this memory
+system.physmem.num_writes::writebacks 79354 # Number of write requests responded to by this memory
system.physmem.num_writes::tsunami.ide 41552 # Number of write requests responded to by this memory
-system.physmem.num_writes::total 121085 # Number of write requests responded to by this memory
-system.physmem.bw_read::cpu0.inst 369363 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.data 12312372 # Total read bandwidth from this memory (bytes/s)
+system.physmem.num_writes::total 120906 # Number of write requests responded to by this memory
+system.physmem.bw_read::cpu0.inst 424682 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.data 12692693 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::tsunami.ide 489 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.inst 70592 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.data 550524 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 13303341 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu0.inst 369363 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu1.inst 70592 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 439956 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_write::writebacks 2593271 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::tsunami.ide 1354854 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::total 3948125 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_total::writebacks 2593271 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.inst 369363 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.data 12312372 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::tsunami.ide 1355343 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.inst 70592 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.data 550524 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 17251466 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.readReqs 408000 # Number of read requests accepted
-system.physmem.writeReqs 121085 # Number of write requests accepted
-system.physmem.readBursts 408000 # Number of DRAM read bursts, including those serviced by the write queue
-system.physmem.writeBursts 121085 # Number of DRAM write bursts, including those merged in the write queue
-system.physmem.bytesReadDRAM 26099968 # Total number of bytes read from DRAM
-system.physmem.bytesReadWrQ 12032 # Total number of bytes read from write queue
-system.physmem.bytesWritten 7747840 # Total number of bytes written to DRAM
-system.physmem.bytesReadSys 26112000 # Total read bytes from the system interface side
-system.physmem.bytesWrittenSys 7749440 # Total written bytes from the system interface side
-system.physmem.servicedByWrQ 188 # Number of DRAM read bursts serviced by the write queue
+system.physmem.bw_read::cpu1.inst 16246 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.data 171693 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 13305804 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu0.inst 424682 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu1.inst 16246 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 440928 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write::writebacks 2588738 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::tsunami.ide 1355537 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::total 3944275 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total::writebacks 2588738 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.inst 424682 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.data 12692693 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::tsunami.ide 1356026 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.inst 16246 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.data 171693 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 17250079 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.readReqs 407870 # Number of read requests accepted
+system.physmem.writeReqs 120906 # Number of write requests accepted
+system.physmem.readBursts 407870 # Number of DRAM read bursts, including those serviced by the write queue
+system.physmem.writeBursts 120906 # Number of DRAM write bursts, including those merged in the write queue
+system.physmem.bytesReadDRAM 26092032 # Total number of bytes read from DRAM
+system.physmem.bytesReadWrQ 11648 # Total number of bytes read from write queue
+system.physmem.bytesWritten 7736064 # Total number of bytes written to DRAM
+system.physmem.bytesReadSys 26103680 # Total read bytes from the system interface side
+system.physmem.bytesWrittenSys 7737984 # Total written bytes from the system interface side
+system.physmem.servicedByWrQ 182 # Number of DRAM read bursts serviced by the write queue
system.physmem.mergedWrBursts 0 # Number of DRAM write bursts merged with an existing one
-system.physmem.neitherReadNorWriteReqs 3360 # Number of requests that are neither read nor write
-system.physmem.perBankRdBursts::0 25223 # Per bank write bursts
-system.physmem.perBankRdBursts::1 25569 # Per bank write bursts
-system.physmem.perBankRdBursts::2 25254 # Per bank write bursts
-system.physmem.perBankRdBursts::3 25702 # Per bank write bursts
-system.physmem.perBankRdBursts::4 25695 # Per bank write bursts
-system.physmem.perBankRdBursts::5 25237 # Per bank write bursts
-system.physmem.perBankRdBursts::6 25154 # Per bank write bursts
-system.physmem.perBankRdBursts::7 25289 # Per bank write bursts
-system.physmem.perBankRdBursts::8 25197 # Per bank write bursts
-system.physmem.perBankRdBursts::9 25673 # Per bank write bursts
-system.physmem.perBankRdBursts::10 25761 # Per bank write bursts
-system.physmem.perBankRdBursts::11 25821 # Per bank write bursts
-system.physmem.perBankRdBursts::12 25887 # Per bank write bursts
-system.physmem.perBankRdBursts::13 25811 # Per bank write bursts
-system.physmem.perBankRdBursts::14 25568 # Per bank write bursts
-system.physmem.perBankRdBursts::15 24971 # Per bank write bursts
-system.physmem.perBankWrBursts::0 7862 # Per bank write bursts
-system.physmem.perBankWrBursts::1 7635 # Per bank write bursts
-system.physmem.perBankWrBursts::2 7481 # Per bank write bursts
-system.physmem.perBankWrBursts::3 8078 # Per bank write bursts
-system.physmem.perBankWrBursts::4 7635 # Per bank write bursts
-system.physmem.perBankWrBursts::5 7244 # Per bank write bursts
-system.physmem.perBankWrBursts::6 7160 # Per bank write bursts
-system.physmem.perBankWrBursts::7 6937 # Per bank write bursts
-system.physmem.perBankWrBursts::8 6882 # Per bank write bursts
-system.physmem.perBankWrBursts::9 7297 # Per bank write bursts
-system.physmem.perBankWrBursts::10 7429 # Per bank write bursts
-system.physmem.perBankWrBursts::11 7398 # Per bank write bursts
-system.physmem.perBankWrBursts::12 8124 # Per bank write bursts
-system.physmem.perBankWrBursts::13 8265 # Per bank write bursts
-system.physmem.perBankWrBursts::14 8169 # Per bank write bursts
-system.physmem.perBankWrBursts::15 7464 # Per bank write bursts
+system.physmem.neitherReadNorWriteReqs 6995 # Number of requests that are neither read nor write
+system.physmem.perBankRdBursts::0 25277 # Per bank write bursts
+system.physmem.perBankRdBursts::1 25718 # Per bank write bursts
+system.physmem.perBankRdBursts::2 25598 # Per bank write bursts
+system.physmem.perBankRdBursts::3 25075 # Per bank write bursts
+system.physmem.perBankRdBursts::4 25186 # Per bank write bursts
+system.physmem.perBankRdBursts::5 25258 # Per bank write bursts
+system.physmem.perBankRdBursts::6 25824 # Per bank write bursts
+system.physmem.perBankRdBursts::7 25548 # Per bank write bursts
+system.physmem.perBankRdBursts::8 25573 # Per bank write bursts
+system.physmem.perBankRdBursts::9 25196 # Per bank write bursts
+system.physmem.perBankRdBursts::10 25177 # Per bank write bursts
+system.physmem.perBankRdBursts::11 25610 # Per bank write bursts
+system.physmem.perBankRdBursts::12 25669 # Per bank write bursts
+system.physmem.perBankRdBursts::13 25717 # Per bank write bursts
+system.physmem.perBankRdBursts::14 26016 # Per bank write bursts
+system.physmem.perBankRdBursts::15 25246 # Per bank write bursts
+system.physmem.perBankWrBursts::0 7929 # Per bank write bursts
+system.physmem.perBankWrBursts::1 7788 # Per bank write bursts
+system.physmem.perBankWrBursts::2 7545 # Per bank write bursts
+system.physmem.perBankWrBursts::3 7026 # Per bank write bursts
+system.physmem.perBankWrBursts::4 7134 # Per bank write bursts
+system.physmem.perBankWrBursts::5 7133 # Per bank write bursts
+system.physmem.perBankWrBursts::6 7657 # Per bank write bursts
+system.physmem.perBankWrBursts::7 7252 # Per bank write bursts
+system.physmem.perBankWrBursts::8 7395 # Per bank write bursts
+system.physmem.perBankWrBursts::9 7084 # Per bank write bursts
+system.physmem.perBankWrBursts::10 7119 # Per bank write bursts
+system.physmem.perBankWrBursts::11 7401 # Per bank write bursts
+system.physmem.perBankWrBursts::12 7832 # Per bank write bursts
+system.physmem.perBankWrBursts::13 8315 # Per bank write bursts
+system.physmem.perBankWrBursts::14 8567 # Per bank write bursts
+system.physmem.perBankWrBursts::15 7699 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
-system.physmem.numWrRetry 6 # Number of times write queue was full causing retry
-system.physmem.totGap 1962808109000 # Total gap between requests
+system.physmem.numWrRetry 8 # Number of times write queue was full causing retry
+system.physmem.totGap 1961819616500 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 0 # Read request sizes (log2)
system.physmem.readPktSize::3 0 # Read request sizes (log2)
system.physmem.readPktSize::4 0 # Read request sizes (log2)
system.physmem.readPktSize::5 0 # Read request sizes (log2)
-system.physmem.readPktSize::6 408000 # Read request sizes (log2)
+system.physmem.readPktSize::6 407870 # Read request sizes (log2)
system.physmem.writePktSize::0 0 # Write request sizes (log2)
system.physmem.writePktSize::1 0 # Write request sizes (log2)
system.physmem.writePktSize::2 0 # Write request sizes (log2)
system.physmem.writePktSize::3 0 # Write request sizes (log2)
system.physmem.writePktSize::4 0 # Write request sizes (log2)
system.physmem.writePktSize::5 0 # Write request sizes (log2)
-system.physmem.writePktSize::6 121085 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 407738 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 61 # What read queue length does an incoming req see
+system.physmem.writePktSize::6 120906 # Write request sizes (log2)
+system.physmem.rdQLenPdf::0 407616 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::1 59 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::2 1 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::3 1 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::4 1 # What read queue length does an incoming req see
@@ -161,357 +161,363 @@ system.physmem.wrQLenPdf::11 1 # Wh
system.physmem.wrQLenPdf::12 1 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::13 1 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::14 1 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::15 1952 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::16 2710 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::17 5918 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::18 6075 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::19 6272 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::20 7040 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::21 7344 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::22 8568 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::23 8896 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::24 8887 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::25 8584 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::26 8726 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::27 7185 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::28 6736 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::29 5915 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::30 5652 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::31 5640 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::32 5632 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::33 187 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::34 197 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::35 178 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::36 165 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::37 172 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::38 158 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::39 142 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::40 141 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::41 165 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::42 134 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::43 142 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::44 144 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::45 139 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::46 119 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::47 110 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::48 95 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::49 83 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::50 69 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::51 74 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::52 75 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::53 86 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::54 94 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::55 88 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::56 80 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::57 80 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::58 69 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::59 55 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::60 43 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::61 25 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::62 16 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::63 13 # What write queue length does an incoming req see
-system.physmem.bytesPerActivate::samples 66023 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::mean 512.666919 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 309.343673 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 413.043592 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 15664 23.73% 23.73% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 11865 17.97% 41.70% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::256-383 5137 7.78% 49.48% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::384-511 3080 4.67% 54.14% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::512-639 3330 5.04% 59.19% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::640-767 1778 2.69% 61.88% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::768-895 1463 2.22% 64.09% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::896-1023 1306 1.98% 66.07% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::1024-1151 22400 33.93% 100.00% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::total 66023 # Bytes accessed per row activation
-system.physmem.rdPerTurnAround::samples 5447 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::mean 74.865981 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::stdev 2190.069327 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::0-4095 5442 99.91% 99.91% # Reads before turning the bus around for writes
+system.physmem.wrQLenPdf::15 1871 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::16 2613 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::17 5895 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::18 6008 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::19 6212 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::20 6964 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::21 7304 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::22 8598 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::23 8970 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::24 8975 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::25 8647 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::26 8791 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::27 7250 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::28 6804 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::29 5901 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::30 5642 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::31 5617 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::32 5600 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::33 164 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::34 148 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::35 141 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::36 133 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::37 144 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::38 133 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::39 128 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::40 124 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::41 143 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::42 126 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::43 134 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::44 147 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::45 159 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::46 149 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::47 135 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::48 128 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::49 109 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::50 81 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::51 75 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::52 67 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::53 68 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::54 82 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::55 86 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::56 84 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::57 90 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::58 71 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::59 61 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::60 52 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::61 31 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::62 17 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::63 19 # What write queue length does an incoming req see
+system.physmem.bytesPerActivate::samples 66427 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::mean 509.252202 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::gmean 306.095148 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::stdev 413.238328 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::0-127 15972 24.04% 24.04% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::128-255 12116 18.24% 42.28% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::256-383 5140 7.74% 50.02% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::384-511 2994 4.51% 54.53% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::512-639 3304 4.97% 59.50% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::640-767 1746 2.63% 62.13% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::768-895 1491 2.24% 64.38% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::896-1023 1317 1.98% 66.36% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::1024-1151 22347 33.64% 100.00% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::total 66427 # Bytes accessed per row activation
+system.physmem.rdPerTurnAround::samples 5433 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::mean 75.036996 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::stdev 2192.886898 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::0-4095 5428 99.91% 99.91% # Reads before turning the bus around for writes
system.physmem.rdPerTurnAround::4096-8191 1 0.02% 99.93% # Reads before turning the bus around for writes
system.physmem.rdPerTurnAround::40960-45055 1 0.02% 99.94% # Reads before turning the bus around for writes
system.physmem.rdPerTurnAround::57344-61439 1 0.02% 99.96% # Reads before turning the bus around for writes
system.physmem.rdPerTurnAround::73728-77823 1 0.02% 99.98% # Reads before turning the bus around for writes
system.physmem.rdPerTurnAround::122880-126975 1 0.02% 100.00% # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::total 5447 # Reads before turning the bus around for writes
-system.physmem.wrPerTurnAround::samples 5447 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::mean 22.225078 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::gmean 19.080270 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::stdev 19.855094 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::16-19 4780 87.75% 87.75% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::20-23 19 0.35% 88.10% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::24-27 16 0.29% 88.40% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::28-31 235 4.31% 92.71% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::32-35 38 0.70% 93.41% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::36-39 9 0.17% 93.57% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::40-43 13 0.24% 93.81% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::44-47 10 0.18% 94.00% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::48-51 23 0.42% 94.42% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::52-55 3 0.06% 94.47% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::56-59 2 0.04% 94.51% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::60-63 1 0.02% 94.53% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::64-67 7 0.13% 94.66% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::68-71 5 0.09% 94.75% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::72-75 4 0.07% 94.82% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::80-83 29 0.53% 95.36% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::84-87 14 0.26% 95.61% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::88-91 6 0.11% 95.72% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::92-95 6 0.11% 95.83% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::96-99 182 3.34% 99.17% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::100-103 1 0.02% 99.19% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::108-111 2 0.04% 99.23% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::112-115 2 0.04% 99.27% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::120-123 1 0.02% 99.28% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::124-127 1 0.02% 99.30% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::128-131 10 0.18% 99.49% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::132-135 2 0.04% 99.52% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::136-139 5 0.09% 99.61% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::140-143 5 0.09% 99.71% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::144-147 8 0.15% 99.85% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::148-151 1 0.02% 99.87% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::152-155 1 0.02% 99.89% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::160-163 2 0.04% 99.93% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::180-183 1 0.02% 99.94% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::208-211 1 0.02% 99.96% # Writes before turning the bus around for reads
+system.physmem.rdPerTurnAround::total 5433 # Reads before turning the bus around for writes
+system.physmem.wrPerTurnAround::samples 5433 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::mean 22.248482 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::gmean 19.059784 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::stdev 19.984616 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::16-19 4773 87.85% 87.85% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::20-23 17 0.31% 88.16% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::24-27 17 0.31% 88.48% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::28-31 237 4.36% 92.84% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::32-35 33 0.61% 93.45% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::36-39 9 0.17% 93.61% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::40-43 6 0.11% 93.72% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::44-47 9 0.17% 93.89% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::48-51 24 0.44% 94.33% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::52-55 1 0.02% 94.35% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::64-67 10 0.18% 94.53% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::68-71 6 0.11% 94.64% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::72-75 3 0.06% 94.70% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::76-79 4 0.07% 94.77% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::80-83 32 0.59% 95.36% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::84-87 11 0.20% 95.56% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::88-91 3 0.06% 95.62% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::92-95 9 0.17% 95.79% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::96-99 180 3.31% 99.10% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::100-103 4 0.07% 99.17% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::108-111 1 0.02% 99.19% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::112-115 3 0.06% 99.25% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::120-123 1 0.02% 99.26% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::124-127 4 0.07% 99.34% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::128-131 4 0.07% 99.41% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::136-139 5 0.09% 99.50% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::140-143 7 0.13% 99.63% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::144-147 13 0.24% 99.87% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::152-155 2 0.04% 99.91% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::156-159 1 0.02% 99.93% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::160-163 1 0.02% 99.94% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::164-167 1 0.02% 99.96% # Writes before turning the bus around for reads
system.physmem.wrPerTurnAround::224-227 2 0.04% 100.00% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::total 5447 # Writes before turning the bus around for reads
-system.physmem.totQLat 2167934250 # Total ticks spent queuing
-system.physmem.totMemAccLat 9814409250 # Total ticks spent from burst creation until serviced by the DRAM
-system.physmem.totBusLat 2039060000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 5316.01 # Average queueing delay per DRAM burst
+system.physmem.wrPerTurnAround::total 5433 # Writes before turning the bus around for reads
+system.physmem.totQLat 2198653000 # Total ticks spent queuing
+system.physmem.totMemAccLat 9842803000 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totBusLat 2038440000 # Total ticks spent in databus transfers
+system.physmem.avgQLat 5392.98 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 24066.01 # Average memory access latency per DRAM burst
+system.physmem.avgMemAccLat 24142.98 # Average memory access latency per DRAM burst
system.physmem.avgRdBW 13.30 # Average DRAM read bandwidth in MiByte/s
-system.physmem.avgWrBW 3.95 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 13.30 # Average system read bandwidth in MiByte/s
-system.physmem.avgWrBWSys 3.95 # Average system write bandwidth in MiByte/s
+system.physmem.avgWrBW 3.94 # Average achieved write bandwidth in MiByte/s
+system.physmem.avgRdBWSys 13.31 # Average system read bandwidth in MiByte/s
+system.physmem.avgWrBWSys 3.94 # Average system write bandwidth in MiByte/s
system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
system.physmem.busUtil 0.13 # Data bus utilization in percentage
system.physmem.busUtilRead 0.10 # Data bus utilization in percentage for reads
system.physmem.busUtilWrite 0.03 # Data bus utilization in percentage for writes
system.physmem.avgRdQLen 1.00 # Average read queue length when enqueuing
-system.physmem.avgWrQLen 25.06 # Average write queue length when enqueuing
-system.physmem.readRowHits 365758 # Number of row buffer hits during reads
-system.physmem.writeRowHits 97091 # Number of row buffer hits during writes
-system.physmem.readRowHitRate 89.69 # Row buffer hit rate for reads
-system.physmem.writeRowHitRate 80.18 # Row buffer hit rate for writes
-system.physmem.avgGap 3709816.21 # Average gap between requests
-system.physmem.pageHitRate 87.51 # Row buffer hit rate, read and write combined
-system.physmem.memoryStateTime::IDLE 1840831671000 # Time in different power states
-system.physmem.memoryStateTime::REF 65542620000 # Time in different power states
+system.physmem.avgWrQLen 26.12 # Average write queue length when enqueuing
+system.physmem.readRowHits 365377 # Number of row buffer hits during reads
+system.physmem.writeRowHits 96760 # Number of row buffer hits during writes
+system.physmem.readRowHitRate 89.62 # Row buffer hit rate for reads
+system.physmem.writeRowHitRate 80.03 # Row buffer hit rate for writes
+system.physmem.avgGap 3710114.71 # Average gap between requests
+system.physmem.pageHitRate 87.43 # Row buffer hit rate, read and write combined
+system.physmem.memoryStateTime::IDLE 1840052567250 # Time in different power states
+system.physmem.memoryStateTime::REF 65509600000 # Time in different power states
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem.memoryStateTime::ACT 56438386500 # Time in different power states
+system.physmem.memoryStateTime::ACT 56261656500 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 17291736 # Throughput (bytes/s)
-system.membus.trans_dist::ReadReq 292660 # Transaction distribution
-system.membus.trans_dist::ReadResp 292660 # Transaction distribution
-system.membus.trans_dist::WriteReq 12414 # Transaction distribution
-system.membus.trans_dist::WriteResp 12414 # Transaction distribution
-system.membus.trans_dist::Writeback 79533 # Transaction distribution
+system.membus.trans_dist::ReadReq 292757 # Transaction distribution
+system.membus.trans_dist::ReadResp 292757 # Transaction distribution
+system.membus.trans_dist::WriteReq 14067 # Transaction distribution
+system.membus.trans_dist::WriteResp 14067 # Transaction distribution
+system.membus.trans_dist::Writeback 79354 # Transaction distribution
system.membus.trans_dist::WriteInvalidateReq 41552 # Transaction distribution
system.membus.trans_dist::WriteInvalidateResp 41552 # Transaction distribution
-system.membus.trans_dist::UpgradeReq 4556 # Transaction distribution
-system.membus.trans_dist::SCUpgradeReq 1019 # Transaction distribution
-system.membus.trans_dist::UpgradeResp 3360 # Transaction distribution
-system.membus.trans_dist::ReadExReq 122803 # Transaction distribution
-system.membus.trans_dist::ReadExResp 122701 # Transaction distribution
-system.membus.pkt_count_system.l2c.mem_side::system.bridge.slave 39228 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 904540 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.l2c.mem_side::total 943768 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 83295 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.iocache.mem_side::total 83295 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 1027063 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::system.bridge.slave 68738 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::system.physmem.port 31201152 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::total 31269890 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.iocache.mem_side::system.physmem.port 2660288 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.iocache.mem_side::total 2660288 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 33930178 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 33930178 # Total data (bytes)
-system.membus.snoop_data_through_bus 10304 # Total snoop data (bytes)
-system.membus.reqLayer0.occupancy 39224500 # Layer occupancy (ticks)
+system.membus.trans_dist::UpgradeReq 16159 # Transaction distribution
+system.membus.trans_dist::SCUpgradeReq 11272 # Transaction distribution
+system.membus.trans_dist::UpgradeResp 6995 # Transaction distribution
+system.membus.trans_dist::ReadExReq 123294 # Transaction distribution
+system.membus.trans_dist::ReadExResp 122471 # Transaction distribution
+system.membus.pkt_count_system.l2c.mem_side::system.bridge.slave 42532 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 930313 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::total 972845 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 83293 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::total 83293 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 1056138 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.bridge.slave 81954 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.physmem.port 31181376 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::total 31263330 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::system.physmem.port 2660288 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::total 2660288 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 33923618 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 21418 # Total snoops (count)
+system.membus.snoop_fanout::samples 557197 # Request fanout histogram
+system.membus.snoop_fanout::mean 1 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 557197 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 1 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 557197 # Request fanout histogram
+system.membus.reqLayer0.occupancy 40794500 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 0.0 # Layer utilization (%)
-system.membus.reqLayer1.occupancy 1533573250 # Layer occupancy (ticks)
+system.membus.reqLayer1.occupancy 1536995500 # Layer occupancy (ticks)
system.membus.reqLayer1.utilization 0.1 # Layer utilization (%)
-system.membus.respLayer1.occupancy 3826483141 # Layer occupancy (ticks)
+system.membus.respLayer1.occupancy 3833296255 # Layer occupancy (ticks)
system.membus.respLayer1.utilization 0.2 # Layer utilization (%)
-system.membus.respLayer2.occupancy 43139750 # Layer occupancy (ticks)
+system.membus.respLayer2.occupancy 43122000 # Layer occupancy (ticks)
system.membus.respLayer2.utilization 0.0 # Layer utilization (%)
system.cpu_clk_domain.clock 500 # Clock period in ticks
-system.l2c.tags.replacements 342222 # number of replacements
-system.l2c.tags.tagsinuse 65256.426750 # Cycle average of tags in use
-system.l2c.tags.total_refs 2542307 # Total number of references to valid blocks.
-system.l2c.tags.sampled_refs 407368 # Sample count of references to valid blocks.
-system.l2c.tags.avg_refs 6.240812 # Average number of references to valid blocks.
-system.l2c.tags.warmup_cycle 8652281750 # Cycle when the warmup percentage was hit.
-system.l2c.tags.occ_blocks::writebacks 55518.260732 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.inst 3744.767678 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.data 4299.632317 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.inst 1171.746225 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.data 522.019798 # Average occupied blocks per requestor
-system.l2c.tags.occ_percent::writebacks 0.847141 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.inst 0.057141 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.data 0.065607 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1.inst 0.017879 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1.data 0.007965 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::total 0.995734 # Average percentage of cache occupancy
-system.l2c.tags.occ_task_id_blocks::1024 65146 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::0 118 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::1 748 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::2 5288 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::3 7253 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::4 51739 # Occupied blocks per task id
-system.l2c.tags.occ_task_id_percent::1024 0.994049 # Percentage of cache occupancy per task id
-system.l2c.tags.tag_accesses 26946350 # Number of tag accesses
-system.l2c.tags.data_accesses 26946350 # Number of data accesses
-system.l2c.ReadReq_hits::cpu0.inst 527823 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu0.data 377901 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.inst 461413 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.data 449863 # number of ReadReq hits
-system.l2c.ReadReq_hits::total 1817000 # number of ReadReq hits
-system.l2c.Writeback_hits::writebacks 850078 # number of Writeback hits
-system.l2c.Writeback_hits::total 850078 # number of Writeback hits
-system.l2c.UpgradeReq_hits::cpu0.data 135 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::cpu1.data 70 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::total 205 # number of UpgradeReq hits
-system.l2c.SCUpgradeReq_hits::cpu0.data 25 # number of SCUpgradeReq hits
-system.l2c.SCUpgradeReq_hits::cpu1.data 21 # number of SCUpgradeReq hits
-system.l2c.SCUpgradeReq_hits::total 46 # number of SCUpgradeReq hits
-system.l2c.ReadExReq_hits::cpu0.data 113452 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::cpu1.data 85004 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::total 198456 # number of ReadExReq hits
-system.l2c.demand_hits::cpu0.inst 527823 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu0.data 491353 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.inst 461413 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.data 534867 # number of demand (read+write) hits
-system.l2c.demand_hits::total 2015456 # number of demand (read+write) hits
-system.l2c.overall_hits::cpu0.inst 527823 # number of overall hits
-system.l2c.overall_hits::cpu0.data 491353 # number of overall hits
-system.l2c.overall_hits::cpu1.inst 461413 # number of overall hits
-system.l2c.overall_hits::cpu1.data 534867 # number of overall hits
-system.l2c.overall_hits::total 2015456 # number of overall hits
-system.l2c.ReadReq_misses::cpu0.inst 11331 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu0.data 270739 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1.inst 2173 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1.data 1052 # number of ReadReq misses
-system.l2c.ReadReq_misses::total 285295 # number of ReadReq misses
-system.l2c.UpgradeReq_misses::cpu0.data 2603 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu1.data 469 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::total 3072 # number of UpgradeReq misses
-system.l2c.SCUpgradeReq_misses::cpu0.data 62 # number of SCUpgradeReq misses
-system.l2c.SCUpgradeReq_misses::cpu1.data 80 # number of SCUpgradeReq misses
-system.l2c.SCUpgradeReq_misses::total 142 # number of SCUpgradeReq misses
-system.l2c.ReadExReq_misses::cpu0.data 107000 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::cpu1.data 15847 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::total 122847 # number of ReadExReq misses
-system.l2c.demand_misses::cpu0.inst 11331 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu0.data 377739 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1.inst 2173 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1.data 16899 # number of demand (read+write) misses
-system.l2c.demand_misses::total 408142 # number of demand (read+write) misses
-system.l2c.overall_misses::cpu0.inst 11331 # number of overall misses
-system.l2c.overall_misses::cpu0.data 377739 # number of overall misses
-system.l2c.overall_misses::cpu1.inst 2173 # number of overall misses
-system.l2c.overall_misses::cpu1.data 16899 # number of overall misses
-system.l2c.overall_misses::total 408142 # number of overall misses
-system.l2c.ReadReq_miss_latency::cpu0.inst 827161250 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu0.data 17596749000 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu1.inst 162190250 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu1.data 79449000 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::total 18665549500 # number of ReadReq miss cycles
-system.l2c.UpgradeReq_miss_latency::cpu0.data 700470 # number of UpgradeReq miss cycles
-system.l2c.UpgradeReq_miss_latency::cpu1.data 348985 # number of UpgradeReq miss cycles
-system.l2c.UpgradeReq_miss_latency::total 1049455 # number of UpgradeReq miss cycles
-system.l2c.SCUpgradeReq_miss_latency::cpu0.data 162993 # number of SCUpgradeReq miss cycles
-system.l2c.SCUpgradeReq_miss_latency::cpu1.data 93496 # number of SCUpgradeReq miss cycles
-system.l2c.SCUpgradeReq_miss_latency::total 256489 # number of SCUpgradeReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu0.data 7343632619 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu1.data 1157201235 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::total 8500833854 # number of ReadExReq miss cycles
-system.l2c.demand_miss_latency::cpu0.inst 827161250 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu0.data 24940381619 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu1.inst 162190250 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu1.data 1236650235 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::total 27166383354 # number of demand (read+write) miss cycles
-system.l2c.overall_miss_latency::cpu0.inst 827161250 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu0.data 24940381619 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu1.inst 162190250 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu1.data 1236650235 # number of overall miss cycles
-system.l2c.overall_miss_latency::total 27166383354 # number of overall miss cycles
-system.l2c.ReadReq_accesses::cpu0.inst 539154 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu0.data 648640 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.inst 463586 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.data 450915 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::total 2102295 # number of ReadReq accesses(hits+misses)
-system.l2c.Writeback_accesses::writebacks 850078 # number of Writeback accesses(hits+misses)
-system.l2c.Writeback_accesses::total 850078 # number of Writeback accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu0.data 2738 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu1.data 539 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::total 3277 # number of UpgradeReq accesses(hits+misses)
-system.l2c.SCUpgradeReq_accesses::cpu0.data 87 # number of SCUpgradeReq accesses(hits+misses)
-system.l2c.SCUpgradeReq_accesses::cpu1.data 101 # number of SCUpgradeReq accesses(hits+misses)
-system.l2c.SCUpgradeReq_accesses::total 188 # number of SCUpgradeReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu0.data 220452 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu1.data 100851 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::total 321303 # number of ReadExReq accesses(hits+misses)
-system.l2c.demand_accesses::cpu0.inst 539154 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu0.data 869092 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.inst 463586 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.data 551766 # number of demand (read+write) accesses
-system.l2c.demand_accesses::total 2423598 # number of demand (read+write) accesses
-system.l2c.overall_accesses::cpu0.inst 539154 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu0.data 869092 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.inst 463586 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.data 551766 # number of overall (read+write) accesses
-system.l2c.overall_accesses::total 2423598 # number of overall (read+write) accesses
-system.l2c.ReadReq_miss_rate::cpu0.inst 0.021016 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu0.data 0.417395 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1.inst 0.004687 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1.data 0.002333 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::total 0.135706 # miss rate for ReadReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu0.data 0.950694 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu1.data 0.870130 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::total 0.937443 # miss rate for UpgradeReq accesses
-system.l2c.SCUpgradeReq_miss_rate::cpu0.data 0.712644 # miss rate for SCUpgradeReq accesses
-system.l2c.SCUpgradeReq_miss_rate::cpu1.data 0.792079 # miss rate for SCUpgradeReq accesses
-system.l2c.SCUpgradeReq_miss_rate::total 0.755319 # miss rate for SCUpgradeReq accesses
-system.l2c.ReadExReq_miss_rate::cpu0.data 0.485366 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::cpu1.data 0.157133 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::total 0.382340 # miss rate for ReadExReq accesses
-system.l2c.demand_miss_rate::cpu0.inst 0.021016 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu0.data 0.434636 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1.inst 0.004687 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1.data 0.030627 # miss rate for demand accesses
-system.l2c.demand_miss_rate::total 0.168403 # miss rate for demand accesses
-system.l2c.overall_miss_rate::cpu0.inst 0.021016 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu0.data 0.434636 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1.inst 0.004687 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1.data 0.030627 # miss rate for overall accesses
-system.l2c.overall_miss_rate::total 0.168403 # miss rate for overall accesses
-system.l2c.ReadReq_avg_miss_latency::cpu0.inst 72999.845556 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu0.data 64995.250038 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu1.inst 74638.863323 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu1.data 75521.863118 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::total 65425.435076 # average ReadReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::cpu0.data 269.101037 # average UpgradeReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::cpu1.data 744.104478 # average UpgradeReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::total 341.619466 # average UpgradeReq miss latency
-system.l2c.SCUpgradeReq_avg_miss_latency::cpu0.data 2628.919355 # average SCUpgradeReq miss latency
-system.l2c.SCUpgradeReq_avg_miss_latency::cpu1.data 1168.700000 # average SCUpgradeReq miss latency
-system.l2c.SCUpgradeReq_avg_miss_latency::total 1806.260563 # average SCUpgradeReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu0.data 68632.080551 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu1.data 73023.363097 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::total 69198.546599 # average ReadExReq miss latency
-system.l2c.demand_avg_miss_latency::cpu0.inst 72999.845556 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu0.data 66025.434543 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu1.inst 74638.863323 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu1.data 73178.900231 # average overall miss latency
-system.l2c.demand_avg_miss_latency::total 66561.107051 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu0.inst 72999.845556 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu0.data 66025.434543 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu1.inst 74638.863323 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu1.data 73178.900231 # average overall miss latency
-system.l2c.overall_avg_miss_latency::total 66561.107051 # average overall miss latency
+system.l2c.tags.replacements 342092 # number of replacements
+system.l2c.tags.tagsinuse 65220.775537 # Cycle average of tags in use
+system.l2c.tags.total_refs 2444844 # Total number of references to valid blocks.
+system.l2c.tags.sampled_refs 407280 # Sample count of references to valid blocks.
+system.l2c.tags.avg_refs 6.002858 # Average number of references to valid blocks.
+system.l2c.tags.warmup_cycle 8652068750 # Cycle when the warmup percentage was hit.
+system.l2c.tags.occ_blocks::writebacks 55275.158075 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.inst 4808.073812 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.data 4934.415131 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.inst 159.916198 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.data 43.212322 # Average occupied blocks per requestor
+system.l2c.tags.occ_percent::writebacks 0.843432 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.inst 0.073365 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.data 0.075293 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1.inst 0.002440 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1.data 0.000659 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::total 0.995190 # Average percentage of cache occupancy
+system.l2c.tags.occ_task_id_blocks::1024 65188 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::0 115 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::1 763 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::2 5265 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::3 7161 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::4 51884 # Occupied blocks per task id
+system.l2c.tags.occ_task_id_percent::1024 0.994690 # Percentage of cache occupancy per task id
+system.l2c.tags.tag_accesses 25951455 # Number of tag accesses
+system.l2c.tags.data_accesses 25951455 # Number of data accesses
+system.l2c.ReadReq_hits::cpu0.inst 690677 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu0.data 668171 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.inst 311497 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.data 104258 # number of ReadReq hits
+system.l2c.ReadReq_hits::total 1774603 # number of ReadReq hits
+system.l2c.Writeback_hits::writebacks 792816 # number of Writeback hits
+system.l2c.Writeback_hits::total 792816 # number of Writeback hits
+system.l2c.UpgradeReq_hits::cpu0.data 182 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::cpu1.data 541 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::total 723 # number of UpgradeReq hits
+system.l2c.SCUpgradeReq_hits::cpu0.data 41 # number of SCUpgradeReq hits
+system.l2c.SCUpgradeReq_hits::cpu1.data 23 # number of SCUpgradeReq hits
+system.l2c.SCUpgradeReq_hits::total 64 # number of SCUpgradeReq hits
+system.l2c.ReadExReq_hits::cpu0.data 130531 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::cpu1.data 42264 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::total 172795 # number of ReadExReq hits
+system.l2c.demand_hits::cpu0.inst 690677 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu0.data 798702 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.inst 311497 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.data 146522 # number of demand (read+write) hits
+system.l2c.demand_hits::total 1947398 # number of demand (read+write) hits
+system.l2c.overall_hits::cpu0.inst 690677 # number of overall hits
+system.l2c.overall_hits::cpu0.data 798702 # number of overall hits
+system.l2c.overall_hits::cpu1.inst 311497 # number of overall hits
+system.l2c.overall_hits::cpu1.data 146522 # number of overall hits
+system.l2c.overall_hits::total 1947398 # number of overall hits
+system.l2c.ReadReq_misses::cpu0.inst 13021 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu0.data 271630 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1.inst 506 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1.data 238 # number of ReadReq misses
+system.l2c.ReadReq_misses::total 285395 # number of ReadReq misses
+system.l2c.UpgradeReq_misses::cpu0.data 2954 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu1.data 1743 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::total 4697 # number of UpgradeReq misses
+system.l2c.SCUpgradeReq_misses::cpu0.data 889 # number of SCUpgradeReq misses
+system.l2c.SCUpgradeReq_misses::cpu1.data 909 # number of SCUpgradeReq misses
+system.l2c.SCUpgradeReq_misses::total 1798 # number of SCUpgradeReq misses
+system.l2c.ReadExReq_misses::cpu0.data 117934 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::cpu1.data 5037 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::total 122971 # number of ReadExReq misses
+system.l2c.demand_misses::cpu0.inst 13021 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu0.data 389564 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1.inst 506 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1.data 5275 # number of demand (read+write) misses
+system.l2c.demand_misses::total 408366 # number of demand (read+write) misses
+system.l2c.overall_misses::cpu0.inst 13021 # number of overall misses
+system.l2c.overall_misses::cpu0.data 389564 # number of overall misses
+system.l2c.overall_misses::cpu1.inst 506 # number of overall misses
+system.l2c.overall_misses::cpu1.data 5275 # number of overall misses
+system.l2c.overall_misses::total 408366 # number of overall misses
+system.l2c.ReadReq_miss_latency::cpu0.inst 953089000 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu0.data 17671814750 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu1.inst 36961500 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu1.data 17116250 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::total 18678981500 # number of ReadReq miss cycles
+system.l2c.UpgradeReq_miss_latency::cpu0.data 1049955 # number of UpgradeReq miss cycles
+system.l2c.UpgradeReq_miss_latency::cpu1.data 9987069 # number of UpgradeReq miss cycles
+system.l2c.UpgradeReq_miss_latency::total 11037024 # number of UpgradeReq miss cycles
+system.l2c.SCUpgradeReq_miss_latency::cpu0.data 859463 # number of SCUpgradeReq miss cycles
+system.l2c.SCUpgradeReq_miss_latency::cpu1.data 161993 # number of SCUpgradeReq miss cycles
+system.l2c.SCUpgradeReq_miss_latency::total 1021456 # number of SCUpgradeReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu0.data 8143976512 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu1.data 374794238 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::total 8518770750 # number of ReadExReq miss cycles
+system.l2c.demand_miss_latency::cpu0.inst 953089000 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu0.data 25815791262 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu1.inst 36961500 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu1.data 391910488 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::total 27197752250 # number of demand (read+write) miss cycles
+system.l2c.overall_miss_latency::cpu0.inst 953089000 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu0.data 25815791262 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu1.inst 36961500 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu1.data 391910488 # number of overall miss cycles
+system.l2c.overall_miss_latency::total 27197752250 # number of overall miss cycles
+system.l2c.ReadReq_accesses::cpu0.inst 703698 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu0.data 939801 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.inst 312003 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.data 104496 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::total 2059998 # number of ReadReq accesses(hits+misses)
+system.l2c.Writeback_accesses::writebacks 792816 # number of Writeback accesses(hits+misses)
+system.l2c.Writeback_accesses::total 792816 # number of Writeback accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu0.data 3136 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu1.data 2284 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::total 5420 # number of UpgradeReq accesses(hits+misses)
+system.l2c.SCUpgradeReq_accesses::cpu0.data 930 # number of SCUpgradeReq accesses(hits+misses)
+system.l2c.SCUpgradeReq_accesses::cpu1.data 932 # number of SCUpgradeReq accesses(hits+misses)
+system.l2c.SCUpgradeReq_accesses::total 1862 # number of SCUpgradeReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu0.data 248465 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu1.data 47301 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::total 295766 # number of ReadExReq accesses(hits+misses)
+system.l2c.demand_accesses::cpu0.inst 703698 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu0.data 1188266 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.inst 312003 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.data 151797 # number of demand (read+write) accesses
+system.l2c.demand_accesses::total 2355764 # number of demand (read+write) accesses
+system.l2c.overall_accesses::cpu0.inst 703698 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu0.data 1188266 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.inst 312003 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.data 151797 # number of overall (read+write) accesses
+system.l2c.overall_accesses::total 2355764 # number of overall (read+write) accesses
+system.l2c.ReadReq_miss_rate::cpu0.inst 0.018504 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu0.data 0.289029 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1.inst 0.001622 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1.data 0.002278 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::total 0.138541 # miss rate for ReadReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu0.data 0.941964 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu1.data 0.763135 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::total 0.866605 # miss rate for UpgradeReq accesses
+system.l2c.SCUpgradeReq_miss_rate::cpu0.data 0.955914 # miss rate for SCUpgradeReq accesses
+system.l2c.SCUpgradeReq_miss_rate::cpu1.data 0.975322 # miss rate for SCUpgradeReq accesses
+system.l2c.SCUpgradeReq_miss_rate::total 0.965628 # miss rate for SCUpgradeReq accesses
+system.l2c.ReadExReq_miss_rate::cpu0.data 0.474650 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::cpu1.data 0.106488 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::total 0.415771 # miss rate for ReadExReq accesses
+system.l2c.demand_miss_rate::cpu0.inst 0.018504 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu0.data 0.327842 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1.inst 0.001622 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1.data 0.034750 # miss rate for demand accesses
+system.l2c.demand_miss_rate::total 0.173348 # miss rate for demand accesses
+system.l2c.overall_miss_rate::cpu0.inst 0.018504 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu0.data 0.327842 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1.inst 0.001622 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1.data 0.034750 # miss rate for overall accesses
+system.l2c.overall_miss_rate::total 0.173348 # miss rate for overall accesses
+system.l2c.ReadReq_avg_miss_latency::cpu0.inst 73196.298287 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu0.data 65058.405736 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu1.inst 73046.442688 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu1.data 71917.016807 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::total 65449.575150 # average ReadReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::cpu0.data 355.435003 # average UpgradeReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::cpu1.data 5729.815835 # average UpgradeReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::total 2349.802853 # average UpgradeReq miss latency
+system.l2c.SCUpgradeReq_avg_miss_latency::cpu0.data 966.775028 # average SCUpgradeReq miss latency
+system.l2c.SCUpgradeReq_avg_miss_latency::cpu1.data 178.210121 # average SCUpgradeReq miss latency
+system.l2c.SCUpgradeReq_avg_miss_latency::total 568.106785 # average SCUpgradeReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu0.data 69055.374294 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu1.data 74408.226722 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::total 69274.631824 # average ReadExReq miss latency
+system.l2c.demand_avg_miss_latency::cpu0.inst 73196.298287 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu0.data 66268.421266 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu1.inst 73046.442688 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu1.data 74295.827109 # average overall miss latency
+system.l2c.demand_avg_miss_latency::total 66601.412091 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu0.inst 73196.298287 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu0.data 66268.421266 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu1.inst 73046.442688 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu1.data 74295.827109 # average overall miss latency
+system.l2c.overall_avg_miss_latency::total 66601.412091 # average overall miss latency
system.l2c.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.l2c.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.l2c.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -520,8 +526,8 @@ system.l2c.avg_blocked_cycles::no_mshrs nan # av
system.l2c.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.l2c.fast_writes 0 # number of fast writes performed
system.l2c.cache_copies 0 # number of cache copies performed
-system.l2c.writebacks::writebacks 79533 # number of writebacks
-system.l2c.writebacks::total 79533 # number of writebacks
+system.l2c.writebacks::writebacks 79354 # number of writebacks
+system.l2c.writebacks::total 79354 # number of writebacks
system.l2c.ReadReq_mshr_hits::cpu0.inst 3 # number of ReadReq MSHR hits
system.l2c.ReadReq_mshr_hits::cpu1.inst 8 # number of ReadReq MSHR hits
system.l2c.ReadReq_mshr_hits::total 11 # number of ReadReq MSHR hits
@@ -531,111 +537,111 @@ system.l2c.demand_mshr_hits::total 11 # nu
system.l2c.overall_mshr_hits::cpu0.inst 3 # number of overall MSHR hits
system.l2c.overall_mshr_hits::cpu1.inst 8 # number of overall MSHR hits
system.l2c.overall_mshr_hits::total 11 # number of overall MSHR hits
-system.l2c.ReadReq_mshr_misses::cpu0.inst 11328 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu0.data 270739 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu1.inst 2165 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu1.data 1052 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::total 285284 # number of ReadReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::cpu0.data 2603 # number of UpgradeReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::cpu1.data 469 # number of UpgradeReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::total 3072 # number of UpgradeReq MSHR misses
-system.l2c.SCUpgradeReq_mshr_misses::cpu0.data 62 # number of SCUpgradeReq MSHR misses
-system.l2c.SCUpgradeReq_mshr_misses::cpu1.data 80 # number of SCUpgradeReq MSHR misses
-system.l2c.SCUpgradeReq_mshr_misses::total 142 # number of SCUpgradeReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::cpu0.data 107000 # number of ReadExReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::cpu1.data 15847 # number of ReadExReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::total 122847 # number of ReadExReq MSHR misses
-system.l2c.demand_mshr_misses::cpu0.inst 11328 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu0.data 377739 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu1.inst 2165 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu1.data 16899 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::total 408131 # number of demand (read+write) MSHR misses
-system.l2c.overall_mshr_misses::cpu0.inst 11328 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu0.data 377739 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu1.inst 2165 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu1.data 16899 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::total 408131 # number of overall MSHR misses
-system.l2c.ReadReq_mshr_miss_latency::cpu0.inst 682834500 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu0.data 14211900500 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu1.inst 134094500 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu1.data 66276000 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::total 15095105500 # number of ReadReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::cpu0.data 26034602 # number of UpgradeReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::cpu1.data 4690469 # number of UpgradeReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::total 30725071 # number of UpgradeReq MSHR miss cycles
-system.l2c.SCUpgradeReq_mshr_miss_latency::cpu0.data 620062 # number of SCUpgradeReq MSHR miss cycles
-system.l2c.SCUpgradeReq_mshr_miss_latency::cpu1.data 800080 # number of SCUpgradeReq MSHR miss cycles
-system.l2c.SCUpgradeReq_mshr_miss_latency::total 1420142 # number of SCUpgradeReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::cpu0.data 5999575381 # number of ReadExReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::cpu1.data 958453765 # number of ReadExReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::total 6958029146 # number of ReadExReq MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu0.inst 682834500 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu0.data 20211475881 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu1.inst 134094500 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu1.data 1024729765 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::total 22053134646 # number of demand (read+write) MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu0.inst 682834500 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu0.data 20211475881 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu1.inst 134094500 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu1.data 1024729765 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::total 22053134646 # number of overall MSHR miss cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu0.data 941946000 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu1.data 449028500 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::total 1390974500 # number of ReadReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::cpu0.data 1618783500 # number of WriteReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::cpu1.data 858261500 # number of WriteReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::total 2477045000 # number of WriteReq MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu0.data 2560729500 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu1.data 1307290000 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::total 3868019500 # number of overall MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_miss_rate::cpu0.inst 0.021011 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu0.data 0.417395 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu1.inst 0.004670 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu1.data 0.002333 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::total 0.135701 # mshr miss rate for ReadReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::cpu0.data 0.950694 # mshr miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::cpu1.data 0.870130 # mshr miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::total 0.937443 # mshr miss rate for UpgradeReq accesses
-system.l2c.SCUpgradeReq_mshr_miss_rate::cpu0.data 0.712644 # mshr miss rate for SCUpgradeReq accesses
-system.l2c.SCUpgradeReq_mshr_miss_rate::cpu1.data 0.792079 # mshr miss rate for SCUpgradeReq accesses
-system.l2c.SCUpgradeReq_mshr_miss_rate::total 0.755319 # mshr miss rate for SCUpgradeReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::cpu0.data 0.485366 # mshr miss rate for ReadExReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::cpu1.data 0.157133 # mshr miss rate for ReadExReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::total 0.382340 # mshr miss rate for ReadExReq accesses
-system.l2c.demand_mshr_miss_rate::cpu0.inst 0.021011 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu0.data 0.434636 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu1.inst 0.004670 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu1.data 0.030627 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::total 0.168399 # mshr miss rate for demand accesses
-system.l2c.overall_mshr_miss_rate::cpu0.inst 0.021011 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu0.data 0.434636 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu1.inst 0.004670 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu1.data 0.030627 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::total 0.168399 # mshr miss rate for overall accesses
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.inst 60278.469280 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.data 52492.993252 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.inst 61937.413395 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.data 63000 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::total 52912.555559 # average ReadReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu0.data 10001.767960 # average UpgradeReq mshr miss latency
+system.l2c.ReadReq_mshr_misses::cpu0.inst 13018 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu0.data 271630 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu1.inst 498 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu1.data 238 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::total 285384 # number of ReadReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::cpu0.data 2954 # number of UpgradeReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::cpu1.data 1743 # number of UpgradeReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::total 4697 # number of UpgradeReq MSHR misses
+system.l2c.SCUpgradeReq_mshr_misses::cpu0.data 889 # number of SCUpgradeReq MSHR misses
+system.l2c.SCUpgradeReq_mshr_misses::cpu1.data 909 # number of SCUpgradeReq MSHR misses
+system.l2c.SCUpgradeReq_mshr_misses::total 1798 # number of SCUpgradeReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::cpu0.data 117934 # number of ReadExReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::cpu1.data 5037 # number of ReadExReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::total 122971 # number of ReadExReq MSHR misses
+system.l2c.demand_mshr_misses::cpu0.inst 13018 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu0.data 389564 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu1.inst 498 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu1.data 5275 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::total 408355 # number of demand (read+write) MSHR misses
+system.l2c.overall_mshr_misses::cpu0.inst 13018 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu0.data 389564 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu1.inst 498 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu1.data 5275 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::total 408355 # number of overall MSHR misses
+system.l2c.ReadReq_mshr_miss_latency::cpu0.inst 787294250 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu0.data 14275629250 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu1.inst 30082250 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu1.data 14147750 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::total 15107153500 # number of ReadReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::cpu0.data 29547954 # number of UpgradeReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::cpu1.data 17431743 # number of UpgradeReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::total 46979697 # number of UpgradeReq MSHR miss cycles
+system.l2c.SCUpgradeReq_mshr_miss_latency::cpu0.data 8890889 # number of SCUpgradeReq MSHR miss cycles
+system.l2c.SCUpgradeReq_mshr_miss_latency::cpu1.data 9090909 # number of SCUpgradeReq MSHR miss cycles
+system.l2c.SCUpgradeReq_mshr_miss_latency::total 17981798 # number of SCUpgradeReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::cpu0.data 6662795488 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::cpu1.data 311210762 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::total 6974006250 # number of ReadExReq MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu0.inst 787294250 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu0.data 20938424738 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu1.inst 30082250 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu1.data 325358512 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::total 22081159750 # number of demand (read+write) MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu0.inst 787294250 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu0.data 20938424738 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu1.inst 30082250 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu1.data 325358512 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::total 22081159750 # number of overall MSHR miss cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu0.data 1373183500 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu1.data 17608500 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::total 1390792000 # number of ReadReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::cpu0.data 2147807000 # number of WriteReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::cpu1.data 674603500 # number of WriteReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::total 2822410500 # number of WriteReq MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu0.data 3520990500 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu1.data 692212000 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::total 4213202500 # number of overall MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_miss_rate::cpu0.inst 0.018499 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu0.data 0.289029 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu1.inst 0.001596 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu1.data 0.002278 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::total 0.138536 # mshr miss rate for ReadReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::cpu0.data 0.941964 # mshr miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::cpu1.data 0.763135 # mshr miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::total 0.866605 # mshr miss rate for UpgradeReq accesses
+system.l2c.SCUpgradeReq_mshr_miss_rate::cpu0.data 0.955914 # mshr miss rate for SCUpgradeReq accesses
+system.l2c.SCUpgradeReq_mshr_miss_rate::cpu1.data 0.975322 # mshr miss rate for SCUpgradeReq accesses
+system.l2c.SCUpgradeReq_mshr_miss_rate::total 0.965628 # mshr miss rate for SCUpgradeReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::cpu0.data 0.474650 # mshr miss rate for ReadExReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::cpu1.data 0.106488 # mshr miss rate for ReadExReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::total 0.415771 # mshr miss rate for ReadExReq accesses
+system.l2c.demand_mshr_miss_rate::cpu0.inst 0.018499 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu0.data 0.327842 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu1.inst 0.001596 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu1.data 0.034750 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::total 0.173343 # mshr miss rate for demand accesses
+system.l2c.overall_mshr_miss_rate::cpu0.inst 0.018499 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu0.data 0.327842 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu1.inst 0.001596 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu1.data 0.034750 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::total 0.173343 # mshr miss rate for overall accesses
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.inst 60477.358273 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.data 52555.421897 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.inst 60406.124498 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.data 59444.327731 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::total 52936.231534 # average ReadReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu0.data 10002.692620 # average UpgradeReq mshr miss latency
system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu1.data 10001 # average UpgradeReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::total 10001.650716 # average UpgradeReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::total 10002.064509 # average UpgradeReq mshr miss latency
system.l2c.SCUpgradeReq_avg_mshr_miss_latency::cpu0.data 10001 # average SCUpgradeReq mshr miss latency
system.l2c.SCUpgradeReq_avg_mshr_miss_latency::cpu1.data 10001 # average SCUpgradeReq mshr miss latency
system.l2c.SCUpgradeReq_avg_mshr_miss_latency::total 10001 # average SCUpgradeReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::cpu0.data 56070.797953 # average ReadExReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::cpu1.data 60481.716729 # average ReadExReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::total 56639.797032 # average ReadExReq mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu0.inst 60278.469280 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu0.data 53506.457848 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu1.inst 61937.413395 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu1.data 60638.485413 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::total 54034.451306 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu0.inst 60278.469280 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu0.data 53506.457848 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu1.inst 61937.413395 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu1.data 60638.485413 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::total 54034.451306 # average overall mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::cpu0.data 56495.967982 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::cpu1.data 61784.943816 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::total 56712.609070 # average ReadExReq mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu0.inst 60477.358273 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu0.data 53748.356465 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu1.inst 60406.124498 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu1.data 61679.338768 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::total 54073.440389 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu0.inst 60477.358273 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu0.data 53748.356465 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu1.inst 60406.124498 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu1.data 61679.338768 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::total 54073.440389 # average overall mshr miss latency
system.l2c.ReadReq_avg_mshr_uncacheable_latency::cpu0.data inf # average ReadReq mshr uncacheable latency
system.l2c.ReadReq_avg_mshr_uncacheable_latency::cpu1.data inf # average ReadReq mshr uncacheable latency
system.l2c.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
@@ -646,54 +652,54 @@ system.l2c.overall_avg_mshr_uncacheable_latency::cpu0.data inf
system.l2c.overall_avg_mshr_uncacheable_latency::cpu1.data inf # average overall mshr uncacheable latency
system.l2c.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.l2c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.iocache.tags.replacements 41699 # number of replacements
-system.iocache.tags.tagsinuse 0.569942 # Cycle average of tags in use
+system.iocache.tags.replacements 41694 # number of replacements
+system.iocache.tags.tagsinuse 0.569739 # Cycle average of tags in use
system.iocache.tags.total_refs 0 # Total number of references to valid blocks.
-system.iocache.tags.sampled_refs 41715 # Sample count of references to valid blocks.
+system.iocache.tags.sampled_refs 41710 # Sample count of references to valid blocks.
system.iocache.tags.avg_refs 0 # Average number of references to valid blocks.
-system.iocache.tags.warmup_cycle 1756486320000 # Cycle when the warmup percentage was hit.
-system.iocache.tags.occ_blocks::tsunami.ide 0.569942 # Average occupied blocks per requestor
-system.iocache.tags.occ_percent::tsunami.ide 0.035621 # Average percentage of cache occupancy
-system.iocache.tags.occ_percent::total 0.035621 # Average percentage of cache occupancy
+system.iocache.tags.warmup_cycle 1755504878000 # Cycle when the warmup percentage was hit.
+system.iocache.tags.occ_blocks::tsunami.ide 0.569739 # Average occupied blocks per requestor
+system.iocache.tags.occ_percent::tsunami.ide 0.035609 # Average percentage of cache occupancy
+system.iocache.tags.occ_percent::total 0.035609 # Average percentage of cache occupancy
system.iocache.tags.occ_task_id_blocks::1023 16 # Occupied blocks per task id
system.iocache.tags.age_task_id_blocks_1023::3 16 # Occupied blocks per task id
system.iocache.tags.occ_task_id_percent::1023 1 # Percentage of cache occupancy per task id
-system.iocache.tags.tag_accesses 375552 # Number of tag accesses
-system.iocache.tags.data_accesses 375552 # Number of data accesses
+system.iocache.tags.tag_accesses 375534 # Number of tag accesses
+system.iocache.tags.data_accesses 375534 # Number of data accesses
system.iocache.WriteInvalidateReq_hits::tsunami.ide 41552 # number of WriteInvalidateReq hits
system.iocache.WriteInvalidateReq_hits::total 41552 # number of WriteInvalidateReq hits
-system.iocache.ReadReq_misses::tsunami.ide 176 # number of ReadReq misses
-system.iocache.ReadReq_misses::total 176 # number of ReadReq misses
-system.iocache.demand_misses::tsunami.ide 176 # number of demand (read+write) misses
-system.iocache.demand_misses::total 176 # number of demand (read+write) misses
-system.iocache.overall_misses::tsunami.ide 176 # number of overall misses
-system.iocache.overall_misses::total 176 # number of overall misses
-system.iocache.ReadReq_miss_latency::tsunami.ide 21474383 # number of ReadReq miss cycles
-system.iocache.ReadReq_miss_latency::total 21474383 # number of ReadReq miss cycles
-system.iocache.demand_miss_latency::tsunami.ide 21474383 # number of demand (read+write) miss cycles
-system.iocache.demand_miss_latency::total 21474383 # number of demand (read+write) miss cycles
-system.iocache.overall_miss_latency::tsunami.ide 21474383 # number of overall miss cycles
-system.iocache.overall_miss_latency::total 21474383 # number of overall miss cycles
-system.iocache.ReadReq_accesses::tsunami.ide 176 # number of ReadReq accesses(hits+misses)
-system.iocache.ReadReq_accesses::total 176 # number of ReadReq accesses(hits+misses)
+system.iocache.ReadReq_misses::tsunami.ide 174 # number of ReadReq misses
+system.iocache.ReadReq_misses::total 174 # number of ReadReq misses
+system.iocache.demand_misses::tsunami.ide 174 # number of demand (read+write) misses
+system.iocache.demand_misses::total 174 # number of demand (read+write) misses
+system.iocache.overall_misses::tsunami.ide 174 # number of overall misses
+system.iocache.overall_misses::total 174 # number of overall misses
+system.iocache.ReadReq_miss_latency::tsunami.ide 21248383 # number of ReadReq miss cycles
+system.iocache.ReadReq_miss_latency::total 21248383 # number of ReadReq miss cycles
+system.iocache.demand_miss_latency::tsunami.ide 21248383 # number of demand (read+write) miss cycles
+system.iocache.demand_miss_latency::total 21248383 # number of demand (read+write) miss cycles
+system.iocache.overall_miss_latency::tsunami.ide 21248383 # number of overall miss cycles
+system.iocache.overall_miss_latency::total 21248383 # number of overall miss cycles
+system.iocache.ReadReq_accesses::tsunami.ide 174 # number of ReadReq accesses(hits+misses)
+system.iocache.ReadReq_accesses::total 174 # number of ReadReq accesses(hits+misses)
system.iocache.WriteInvalidateReq_accesses::tsunami.ide 41552 # number of WriteInvalidateReq accesses(hits+misses)
system.iocache.WriteInvalidateReq_accesses::total 41552 # number of WriteInvalidateReq accesses(hits+misses)
-system.iocache.demand_accesses::tsunami.ide 176 # number of demand (read+write) accesses
-system.iocache.demand_accesses::total 176 # number of demand (read+write) accesses
-system.iocache.overall_accesses::tsunami.ide 176 # number of overall (read+write) accesses
-system.iocache.overall_accesses::total 176 # number of overall (read+write) accesses
+system.iocache.demand_accesses::tsunami.ide 174 # number of demand (read+write) accesses
+system.iocache.demand_accesses::total 174 # number of demand (read+write) accesses
+system.iocache.overall_accesses::tsunami.ide 174 # number of overall (read+write) accesses
+system.iocache.overall_accesses::total 174 # number of overall (read+write) accesses
system.iocache.ReadReq_miss_rate::tsunami.ide 1 # miss rate for ReadReq accesses
system.iocache.ReadReq_miss_rate::total 1 # miss rate for ReadReq accesses
system.iocache.demand_miss_rate::tsunami.ide 1 # miss rate for demand accesses
system.iocache.demand_miss_rate::total 1 # miss rate for demand accesses
system.iocache.overall_miss_rate::tsunami.ide 1 # miss rate for overall accesses
system.iocache.overall_miss_rate::total 1 # miss rate for overall accesses
-system.iocache.ReadReq_avg_miss_latency::tsunami.ide 122013.539773 # average ReadReq miss latency
-system.iocache.ReadReq_avg_miss_latency::total 122013.539773 # average ReadReq miss latency
-system.iocache.demand_avg_miss_latency::tsunami.ide 122013.539773 # average overall miss latency
-system.iocache.demand_avg_miss_latency::total 122013.539773 # average overall miss latency
-system.iocache.overall_avg_miss_latency::tsunami.ide 122013.539773 # average overall miss latency
-system.iocache.overall_avg_miss_latency::total 122013.539773 # average overall miss latency
+system.iocache.ReadReq_avg_miss_latency::tsunami.ide 122117.143678 # average ReadReq miss latency
+system.iocache.ReadReq_avg_miss_latency::total 122117.143678 # average ReadReq miss latency
+system.iocache.demand_avg_miss_latency::tsunami.ide 122117.143678 # average overall miss latency
+system.iocache.demand_avg_miss_latency::total 122117.143678 # average overall miss latency
+system.iocache.overall_avg_miss_latency::tsunami.ide 122117.143678 # average overall miss latency
+system.iocache.overall_avg_miss_latency::total 122117.143678 # average overall miss latency
system.iocache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.iocache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.iocache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -702,22 +708,22 @@ system.iocache.avg_blocked_cycles::no_mshrs nan #
system.iocache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.iocache.fast_writes 41552 # number of fast writes performed
system.iocache.cache_copies 0 # number of cache copies performed
-system.iocache.ReadReq_mshr_misses::tsunami.ide 176 # number of ReadReq MSHR misses
-system.iocache.ReadReq_mshr_misses::total 176 # number of ReadReq MSHR misses
+system.iocache.ReadReq_mshr_misses::tsunami.ide 174 # number of ReadReq MSHR misses
+system.iocache.ReadReq_mshr_misses::total 174 # number of ReadReq MSHR misses
system.iocache.WriteInvalidateReq_mshr_misses::tsunami.ide 41552 # number of WriteInvalidateReq MSHR misses
system.iocache.WriteInvalidateReq_mshr_misses::total 41552 # number of WriteInvalidateReq MSHR misses
-system.iocache.demand_mshr_misses::tsunami.ide 176 # number of demand (read+write) MSHR misses
-system.iocache.demand_mshr_misses::total 176 # number of demand (read+write) MSHR misses
-system.iocache.overall_mshr_misses::tsunami.ide 176 # number of overall MSHR misses
-system.iocache.overall_mshr_misses::total 176 # number of overall MSHR misses
-system.iocache.ReadReq_mshr_miss_latency::tsunami.ide 12321383 # number of ReadReq MSHR miss cycles
-system.iocache.ReadReq_mshr_miss_latency::total 12321383 # number of ReadReq MSHR miss cycles
-system.iocache.WriteInvalidateReq_mshr_miss_latency::tsunami.ide 2504351556 # number of WriteInvalidateReq MSHR miss cycles
-system.iocache.WriteInvalidateReq_mshr_miss_latency::total 2504351556 # number of WriteInvalidateReq MSHR miss cycles
-system.iocache.demand_mshr_miss_latency::tsunami.ide 12321383 # number of demand (read+write) MSHR miss cycles
-system.iocache.demand_mshr_miss_latency::total 12321383 # number of demand (read+write) MSHR miss cycles
-system.iocache.overall_mshr_miss_latency::tsunami.ide 12321383 # number of overall MSHR miss cycles
-system.iocache.overall_mshr_miss_latency::total 12321383 # number of overall MSHR miss cycles
+system.iocache.demand_mshr_misses::tsunami.ide 174 # number of demand (read+write) MSHR misses
+system.iocache.demand_mshr_misses::total 174 # number of demand (read+write) MSHR misses
+system.iocache.overall_mshr_misses::tsunami.ide 174 # number of overall MSHR misses
+system.iocache.overall_mshr_misses::total 174 # number of overall MSHR misses
+system.iocache.ReadReq_mshr_miss_latency::tsunami.ide 12199383 # number of ReadReq MSHR miss cycles
+system.iocache.ReadReq_mshr_miss_latency::total 12199383 # number of ReadReq MSHR miss cycles
+system.iocache.WriteInvalidateReq_mshr_miss_latency::tsunami.ide 2501404806 # number of WriteInvalidateReq MSHR miss cycles
+system.iocache.WriteInvalidateReq_mshr_miss_latency::total 2501404806 # number of WriteInvalidateReq MSHR miss cycles
+system.iocache.demand_mshr_miss_latency::tsunami.ide 12199383 # number of demand (read+write) MSHR miss cycles
+system.iocache.demand_mshr_miss_latency::total 12199383 # number of demand (read+write) MSHR miss cycles
+system.iocache.overall_mshr_miss_latency::tsunami.ide 12199383 # number of overall MSHR miss cycles
+system.iocache.overall_mshr_miss_latency::total 12199383 # number of overall MSHR miss cycles
system.iocache.ReadReq_mshr_miss_rate::tsunami.ide 1 # mshr miss rate for ReadReq accesses
system.iocache.ReadReq_mshr_miss_rate::total 1 # mshr miss rate for ReadReq accesses
system.iocache.WriteInvalidateReq_mshr_miss_rate::tsunami.ide 1 # mshr miss rate for WriteInvalidateReq accesses
@@ -726,14 +732,14 @@ system.iocache.demand_mshr_miss_rate::tsunami.ide 1
system.iocache.demand_mshr_miss_rate::total 1 # mshr miss rate for demand accesses
system.iocache.overall_mshr_miss_rate::tsunami.ide 1 # mshr miss rate for overall accesses
system.iocache.overall_mshr_miss_rate::total 1 # mshr miss rate for overall accesses
-system.iocache.ReadReq_avg_mshr_miss_latency::tsunami.ide 70007.857955 # average ReadReq mshr miss latency
-system.iocache.ReadReq_avg_mshr_miss_latency::total 70007.857955 # average ReadReq mshr miss latency
-system.iocache.WriteInvalidateReq_avg_mshr_miss_latency::tsunami.ide 60270.301213 # average WriteInvalidateReq mshr miss latency
-system.iocache.WriteInvalidateReq_avg_mshr_miss_latency::total 60270.301213 # average WriteInvalidateReq mshr miss latency
-system.iocache.demand_avg_mshr_miss_latency::tsunami.ide 70007.857955 # average overall mshr miss latency
-system.iocache.demand_avg_mshr_miss_latency::total 70007.857955 # average overall mshr miss latency
-system.iocache.overall_avg_mshr_miss_latency::tsunami.ide 70007.857955 # average overall mshr miss latency
-system.iocache.overall_avg_mshr_miss_latency::total 70007.857955 # average overall mshr miss latency
+system.iocache.ReadReq_avg_mshr_miss_latency::tsunami.ide 70111.396552 # average ReadReq mshr miss latency
+system.iocache.ReadReq_avg_mshr_miss_latency::total 70111.396552 # average ReadReq mshr miss latency
+system.iocache.WriteInvalidateReq_avg_mshr_miss_latency::tsunami.ide 60199.384049 # average WriteInvalidateReq mshr miss latency
+system.iocache.WriteInvalidateReq_avg_mshr_miss_latency::total 60199.384049 # average WriteInvalidateReq mshr miss latency
+system.iocache.demand_avg_mshr_miss_latency::tsunami.ide 70111.396552 # average overall mshr miss latency
+system.iocache.demand_avg_mshr_miss_latency::total 70111.396552 # average overall mshr miss latency
+system.iocache.overall_avg_mshr_miss_latency::tsunami.ide 70111.396552 # average overall mshr miss latency
+system.iocache.overall_avg_mshr_miss_latency::total 70111.396552 # average overall mshr miss latency
system.iocache.no_allocate_misses 0 # Number of misses that were no-allocate
system.disk0.dma_read_full_pages 0 # Number of full page size DMA reads (not PRD).
system.disk0.dma_read_bytes 1024 # Number of bytes transfered via DMA reads (not PRD).
@@ -751,22 +757,22 @@ system.cpu0.dtb.fetch_hits 0 # IT
system.cpu0.dtb.fetch_misses 0 # ITB misses
system.cpu0.dtb.fetch_acv 0 # ITB acv
system.cpu0.dtb.fetch_accesses 0 # ITB accesses
-system.cpu0.dtb.read_hits 6067147 # DTB read hits
+system.cpu0.dtb.read_hits 7562596 # DTB read hits
system.cpu0.dtb.read_misses 7765 # DTB read misses
system.cpu0.dtb.read_acv 210 # DTB read access violations
system.cpu0.dtb.read_accesses 524069 # DTB read accesses
-system.cpu0.dtb.write_hits 4265547 # DTB write hits
+system.cpu0.dtb.write_hits 5147185 # DTB write hits
system.cpu0.dtb.write_misses 910 # DTB write misses
system.cpu0.dtb.write_acv 133 # DTB write access violations
system.cpu0.dtb.write_accesses 202595 # DTB write accesses
-system.cpu0.dtb.data_hits 10332694 # DTB hits
+system.cpu0.dtb.data_hits 12709781 # DTB hits
system.cpu0.dtb.data_misses 8675 # DTB misses
system.cpu0.dtb.data_acv 343 # DTB access violations
system.cpu0.dtb.data_accesses 726664 # DTB accesses
-system.cpu0.itb.fetch_hits 3354719 # ITB hits
+system.cpu0.itb.fetch_hits 3660706 # ITB hits
system.cpu0.itb.fetch_misses 3984 # ITB misses
system.cpu0.itb.fetch_acv 184 # ITB acv
-system.cpu0.itb.fetch_accesses 3358703 # ITB accesses
+system.cpu0.itb.fetch_accesses 3664690 # ITB accesses
system.cpu0.itb.read_hits 0 # DTB read hits
system.cpu0.itb.read_misses 0 # DTB read misses
system.cpu0.itb.read_acv 0 # DTB read access violations
@@ -779,91 +785,91 @@ system.cpu0.itb.data_hits 0 # DT
system.cpu0.itb.data_misses 0 # DTB misses
system.cpu0.itb.data_acv 0 # DTB access violations
system.cpu0.itb.data_accesses 0 # DTB accesses
-system.cpu0.numCycles 3925630437 # number of cpu cycles simulated
+system.cpu0.numCycles 3923653257 # number of cpu cycles simulated
system.cpu0.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu0.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu0.committedInsts 38276405 # Number of instructions committed
-system.cpu0.committedOps 38276405 # Number of ops (including micro ops) committed
-system.cpu0.num_int_alu_accesses 35596815 # Number of integer alu accesses
-system.cpu0.num_fp_alu_accesses 153493 # Number of float alu accesses
-system.cpu0.num_func_calls 936479 # number of times a function call or return occured
-system.cpu0.num_conditional_control_insts 4465105 # number of instructions that are conditional controls
-system.cpu0.num_int_insts 35596815 # number of integer instructions
-system.cpu0.num_fp_insts 153493 # number of float instructions
-system.cpu0.num_int_register_reads 48919188 # number of times the integer registers were read
-system.cpu0.num_int_register_writes 26532196 # number of times the integer registers were written
-system.cpu0.num_fp_register_reads 75000 # number of times the floating registers were read
-system.cpu0.num_fp_register_writes 75910 # number of times the floating registers were written
-system.cpu0.num_mem_refs 10365856 # number of memory refs
-system.cpu0.num_load_insts 6090539 # Number of load instructions
-system.cpu0.num_store_insts 4275317 # Number of store instructions
-system.cpu0.num_idle_cycles 3742236660.998093 # Number of idle cycles
-system.cpu0.num_busy_cycles 183393776.001907 # Number of busy cycles
-system.cpu0.not_idle_fraction 0.046717 # Percentage of non-idle cycles
-system.cpu0.idle_fraction 0.953283 # Percentage of idle cycles
-system.cpu0.Branches 5694884 # Number of branches fetched
-system.cpu0.op_class::No_OpClass 2096297 5.48% 5.48% # Class of executed instruction
-system.cpu0.op_class::IntAlu 24983670 65.26% 70.73% # Class of executed instruction
-system.cpu0.op_class::IntMult 39322 0.10% 70.83% # Class of executed instruction
-system.cpu0.op_class::IntDiv 0 0.00% 70.83% # Class of executed instruction
-system.cpu0.op_class::FloatAdd 24596 0.06% 70.90% # Class of executed instruction
-system.cpu0.op_class::FloatCmp 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::FloatCvt 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::FloatMult 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::FloatDiv 1883 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::FloatSqrt 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdAdd 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdAddAcc 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdAlu 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdCmp 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdCvt 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdMisc 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdMult 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdMultAcc 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdShift 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdShiftAcc 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdSqrt 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdFloatAdd 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdFloatAlu 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdFloatCmp 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdFloatCvt 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdFloatDiv 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdFloatMisc 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdFloatMult 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdFloatMultAcc 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::SimdFloatSqrt 0 0.00% 70.90% # Class of executed instruction
-system.cpu0.op_class::MemRead 6232893 16.28% 87.18% # Class of executed instruction
-system.cpu0.op_class::MemWrite 4280562 11.18% 98.36% # Class of executed instruction
-system.cpu0.op_class::IprAccess 626200 1.64% 100.00% # Class of executed instruction
+system.cpu0.committedInsts 48127777 # Number of instructions committed
+system.cpu0.committedOps 48127777 # Number of ops (including micro ops) committed
+system.cpu0.num_int_alu_accesses 44643925 # Number of integer alu accesses
+system.cpu0.num_fp_alu_accesses 213512 # Number of float alu accesses
+system.cpu0.num_func_calls 1209739 # number of times a function call or return occured
+system.cpu0.num_conditional_control_insts 5647172 # number of instructions that are conditional controls
+system.cpu0.num_int_insts 44643925 # number of integer instructions
+system.cpu0.num_fp_insts 213512 # number of float instructions
+system.cpu0.num_int_register_reads 61387452 # number of times the integer registers were read
+system.cpu0.num_int_register_writes 33242964 # number of times the integer registers were written
+system.cpu0.num_fp_register_reads 104337 # number of times the floating registers were read
+system.cpu0.num_fp_register_writes 106136 # number of times the floating registers were written
+system.cpu0.num_mem_refs 12750882 # number of memory refs
+system.cpu0.num_load_insts 7590433 # Number of load instructions
+system.cpu0.num_store_insts 5160449 # Number of store instructions
+system.cpu0.num_idle_cycles 3699495012.998114 # Number of idle cycles
+system.cpu0.num_busy_cycles 224158244.001886 # Number of busy cycles
+system.cpu0.not_idle_fraction 0.057130 # Percentage of non-idle cycles
+system.cpu0.idle_fraction 0.942870 # Percentage of idle cycles
+system.cpu0.Branches 7246936 # Number of branches fetched
+system.cpu0.op_class::No_OpClass 2741568 5.70% 5.70% # Class of executed instruction
+system.cpu0.op_class::IntAlu 31634980 65.72% 71.41% # Class of executed instruction
+system.cpu0.op_class::IntMult 52525 0.11% 71.52% # Class of executed instruction
+system.cpu0.op_class::IntDiv 0 0.00% 71.52% # Class of executed instruction
+system.cpu0.op_class::FloatAdd 26830 0.06% 71.58% # Class of executed instruction
+system.cpu0.op_class::FloatCmp 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::FloatCvt 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::FloatMult 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::FloatDiv 1883 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::FloatSqrt 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdAdd 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdAddAcc 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdAlu 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdCmp 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdCvt 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdMisc 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdMult 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdMultAcc 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdShift 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdShiftAcc 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdSqrt 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdFloatAdd 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdFloatAlu 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdFloatCmp 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdFloatCvt 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdFloatDiv 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdFloatMisc 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdFloatMult 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdFloatMultAcc 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::SimdFloatSqrt 0 0.00% 71.58% # Class of executed instruction
+system.cpu0.op_class::MemRead 7767201 16.14% 87.72% # Class of executed instruction
+system.cpu0.op_class::MemWrite 5166567 10.73% 98.45% # Class of executed instruction
+system.cpu0.op_class::IprAccess 745241 1.55% 100.00% # Class of executed instruction
system.cpu0.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu0.op_class::total 38285423 # Class of executed instruction
+system.cpu0.op_class::total 48136795 # Class of executed instruction
system.cpu0.kern.inst.arm 0 # number of arm instructions executed
-system.cpu0.kern.inst.quiesce 4863 # number of quiesce instructions executed
-system.cpu0.kern.inst.hwrei 138357 # number of hwrei instructions executed
-system.cpu0.kern.ipl_count::0 44808 38.76% 38.76% # number of times we switched to this ipl
-system.cpu0.kern.ipl_count::21 131 0.11% 38.88% # number of times we switched to this ipl
-system.cpu0.kern.ipl_count::22 1975 1.71% 40.58% # number of times we switched to this ipl
-system.cpu0.kern.ipl_count::30 16 0.01% 40.60% # number of times we switched to this ipl
-system.cpu0.kern.ipl_count::31 68665 59.40% 100.00% # number of times we switched to this ipl
-system.cpu0.kern.ipl_count::total 115595 # number of times we switched to this ipl
-system.cpu0.kern.ipl_good::0 44283 48.84% 48.84% # number of times we switched to this ipl from a different ipl
-system.cpu0.kern.ipl_good::21 131 0.14% 48.98% # number of times we switched to this ipl from a different ipl
-system.cpu0.kern.ipl_good::22 1975 2.18% 51.16% # number of times we switched to this ipl from a different ipl
-system.cpu0.kern.ipl_good::30 16 0.02% 51.18% # number of times we switched to this ipl from a different ipl
-system.cpu0.kern.ipl_good::31 44267 48.82% 100.00% # number of times we switched to this ipl from a different ipl
-system.cpu0.kern.ipl_good::total 90672 # number of times we switched to this ipl from a different ipl
-system.cpu0.kern.ipl_ticks::0 1909699143000 97.29% 97.29% # number of cycles we spent at this ipl
-system.cpu0.kern.ipl_ticks::21 95243500 0.00% 97.30% # number of cycles we spent at this ipl
-system.cpu0.kern.ipl_ticks::22 764380500 0.04% 97.34% # number of cycles we spent at this ipl
-system.cpu0.kern.ipl_ticks::30 12585500 0.00% 97.34% # number of cycles we spent at this ipl
-system.cpu0.kern.ipl_ticks::31 52243094000 2.66% 100.00% # number of cycles we spent at this ipl
-system.cpu0.kern.ipl_ticks::total 1962814446500 # number of cycles we spent at this ipl
-system.cpu0.kern.ipl_used::0 0.988283 # fraction of swpipl calls that actually changed the ipl
+system.cpu0.kern.inst.quiesce 6805 # number of quiesce instructions executed
+system.cpu0.kern.inst.hwrei 166328 # number of hwrei instructions executed
+system.cpu0.kern.ipl_count::0 57239 40.25% 40.25% # number of times we switched to this ipl
+system.cpu0.kern.ipl_count::21 131 0.09% 40.34% # number of times we switched to this ipl
+system.cpu0.kern.ipl_count::22 1975 1.39% 41.73% # number of times we switched to this ipl
+system.cpu0.kern.ipl_count::30 424 0.30% 42.03% # number of times we switched to this ipl
+system.cpu0.kern.ipl_count::31 82449 57.97% 100.00% # number of times we switched to this ipl
+system.cpu0.kern.ipl_count::total 142218 # number of times we switched to this ipl
+system.cpu0.kern.ipl_good::0 56706 49.09% 49.09% # number of times we switched to this ipl from a different ipl
+system.cpu0.kern.ipl_good::21 131 0.11% 49.20% # number of times we switched to this ipl from a different ipl
+system.cpu0.kern.ipl_good::22 1975 1.71% 50.91% # number of times we switched to this ipl from a different ipl
+system.cpu0.kern.ipl_good::30 424 0.37% 51.28% # number of times we switched to this ipl from a different ipl
+system.cpu0.kern.ipl_good::31 56283 48.72% 100.00% # number of times we switched to this ipl from a different ipl
+system.cpu0.kern.ipl_good::total 115519 # number of times we switched to this ipl from a different ipl
+system.cpu0.kern.ipl_ticks::0 1902225794500 96.96% 96.96% # number of cycles we spent at this ipl
+system.cpu0.kern.ipl_ticks::21 94977500 0.00% 96.97% # number of cycles we spent at this ipl
+system.cpu0.kern.ipl_ticks::22 767421000 0.04% 97.01% # number of cycles we spent at this ipl
+system.cpu0.kern.ipl_ticks::30 314336500 0.02% 97.02% # number of cycles we spent at this ipl
+system.cpu0.kern.ipl_ticks::31 58423341500 2.98% 100.00% # number of cycles we spent at this ipl
+system.cpu0.kern.ipl_ticks::total 1961825871000 # number of cycles we spent at this ipl
+system.cpu0.kern.ipl_used::0 0.990688 # fraction of swpipl calls that actually changed the ipl
system.cpu0.kern.ipl_used::21 1 # fraction of swpipl calls that actually changed the ipl
system.cpu0.kern.ipl_used::22 1 # fraction of swpipl calls that actually changed the ipl
system.cpu0.kern.ipl_used::30 1 # fraction of swpipl calls that actually changed the ipl
-system.cpu0.kern.ipl_used::31 0.644681 # fraction of swpipl calls that actually changed the ipl
-system.cpu0.kern.ipl_used::total 0.784394 # fraction of swpipl calls that actually changed the ipl
+system.cpu0.kern.ipl_used::31 0.682640 # fraction of swpipl calls that actually changed the ipl
+system.cpu0.kern.ipl_used::total 0.812267 # fraction of swpipl calls that actually changed the ipl
system.cpu0.kern.syscall::2 8 3.42% 3.42% # number of syscalls executed
system.cpu0.kern.syscall::3 20 8.55% 11.97% # number of syscalls executed
system.cpu0.kern.syscall::4 4 1.71% 13.68% # number of syscalls executed
@@ -895,37 +901,37 @@ system.cpu0.kern.syscall::144 2 0.85% 99.15% # nu
system.cpu0.kern.syscall::147 2 0.85% 100.00% # number of syscalls executed
system.cpu0.kern.syscall::total 234 # number of syscalls executed
system.cpu0.kern.callpal::cserve 1 0.00% 0.00% # number of callpals executed
-system.cpu0.kern.callpal::wripir 86 0.07% 0.07% # number of callpals executed
-system.cpu0.kern.callpal::wrmces 1 0.00% 0.07% # number of callpals executed
-system.cpu0.kern.callpal::wrfen 1 0.00% 0.07% # number of callpals executed
-system.cpu0.kern.callpal::wrvptptr 1 0.00% 0.07% # number of callpals executed
-system.cpu0.kern.callpal::swpctx 2216 1.80% 1.87% # number of callpals executed
-system.cpu0.kern.callpal::tbi 51 0.04% 1.92% # number of callpals executed
-system.cpu0.kern.callpal::wrent 7 0.01% 1.92% # number of callpals executed
-system.cpu0.kern.callpal::swpipl 109456 88.95% 90.88% # number of callpals executed
-system.cpu0.kern.callpal::rdps 6662 5.41% 96.29% # number of callpals executed
-system.cpu0.kern.callpal::wrkgp 1 0.00% 96.29% # number of callpals executed
-system.cpu0.kern.callpal::wrusp 4 0.00% 96.29% # number of callpals executed
-system.cpu0.kern.callpal::rdusp 9 0.01% 96.30% # number of callpals executed
-system.cpu0.kern.callpal::whami 2 0.00% 96.30% # number of callpals executed
-system.cpu0.kern.callpal::rti 4016 3.26% 99.57% # number of callpals executed
-system.cpu0.kern.callpal::callsys 394 0.32% 99.89% # number of callpals executed
-system.cpu0.kern.callpal::imb 139 0.11% 100.00% # number of callpals executed
-system.cpu0.kern.callpal::total 123047 # number of callpals executed
-system.cpu0.kern.mode_switch::kernel 5724 # number of protection mode switches
-system.cpu0.kern.mode_switch::user 1372 # number of protection mode switches
+system.cpu0.kern.callpal::wripir 506 0.34% 0.34% # number of callpals executed
+system.cpu0.kern.callpal::wrmces 1 0.00% 0.34% # number of callpals executed
+system.cpu0.kern.callpal::wrfen 1 0.00% 0.34% # number of callpals executed
+system.cpu0.kern.callpal::wrvptptr 1 0.00% 0.34% # number of callpals executed
+system.cpu0.kern.callpal::swpctx 3105 2.06% 2.40% # number of callpals executed
+system.cpu0.kern.callpal::tbi 51 0.03% 2.43% # number of callpals executed
+system.cpu0.kern.callpal::wrent 7 0.00% 2.44% # number of callpals executed
+system.cpu0.kern.callpal::swpipl 135265 89.81% 92.25% # number of callpals executed
+system.cpu0.kern.callpal::rdps 6701 4.45% 96.70% # number of callpals executed
+system.cpu0.kern.callpal::wrkgp 1 0.00% 96.70% # number of callpals executed
+system.cpu0.kern.callpal::wrusp 4 0.00% 96.70% # number of callpals executed
+system.cpu0.kern.callpal::rdusp 9 0.01% 96.71% # number of callpals executed
+system.cpu0.kern.callpal::whami 2 0.00% 96.71% # number of callpals executed
+system.cpu0.kern.callpal::rti 4423 2.94% 99.65% # number of callpals executed
+system.cpu0.kern.callpal::callsys 394 0.26% 99.91% # number of callpals executed
+system.cpu0.kern.callpal::imb 139 0.09% 100.00% # number of callpals executed
+system.cpu0.kern.callpal::total 150611 # number of callpals executed
+system.cpu0.kern.mode_switch::kernel 7020 # number of protection mode switches
+system.cpu0.kern.mode_switch::user 1371 # number of protection mode switches
system.cpu0.kern.mode_switch::idle 0 # number of protection mode switches
-system.cpu0.kern.mode_good::kernel 1371
-system.cpu0.kern.mode_good::user 1372
+system.cpu0.kern.mode_good::kernel 1370
+system.cpu0.kern.mode_good::user 1371
system.cpu0.kern.mode_good::idle 0
-system.cpu0.kern.mode_switch_good::kernel 0.239518 # fraction of useful protection mode switches
+system.cpu0.kern.mode_switch_good::kernel 0.195157 # fraction of useful protection mode switches
system.cpu0.kern.mode_switch_good::user 1 # fraction of useful protection mode switches
system.cpu0.kern.mode_switch_good::idle nan # fraction of useful protection mode switches
-system.cpu0.kern.mode_switch_good::total 0.386556 # fraction of useful protection mode switches
-system.cpu0.kern.mode_ticks::kernel 1959023925000 99.81% 99.81% # number of ticks spent at the given mode
-system.cpu0.kern.mode_ticks::user 3790517000 0.19% 100.00% # number of ticks spent at the given mode
+system.cpu0.kern.mode_switch_good::total 0.326660 # fraction of useful protection mode switches
+system.cpu0.kern.mode_ticks::kernel 1958053140500 99.81% 99.81% # number of ticks spent at the given mode
+system.cpu0.kern.mode_ticks::user 3772726000 0.19% 100.00% # number of ticks spent at the given mode
system.cpu0.kern.mode_ticks::idle 0 0.00% 100.00% # number of ticks spent at the given mode
-system.cpu0.kern.swap_context 2217 # number of times the context was actually changed
+system.cpu0.kern.swap_context 3106 # number of times the context was actually changed
system.tsunami.ethernet.descDMAReads 0 # Number of descriptors the device read w/ DMA
system.tsunami.ethernet.descDMAWrites 0 # Number of descriptors the device wrote w/ DMA
system.tsunami.ethernet.descDmaReadBytes 0 # number of descriptor bytes read w/ DMA
@@ -957,49 +963,59 @@ system.tsunami.ethernet.totalRxOrn 0 # to
system.tsunami.ethernet.coalescedTotal nan # average number of interrupts coalesced into each post
system.tsunami.ethernet.postedInterrupts 0 # number of posts to CPU
system.tsunami.ethernet.droppedPackets 0 # number of packets dropped
-system.toL2Bus.throughput 109416622 # Throughput (bytes/s)
-system.toL2Bus.trans_dist::ReadReq 2148133 # Transaction distribution
-system.toL2Bus.trans_dist::ReadResp 2148118 # Transaction distribution
-system.toL2Bus.trans_dist::WriteReq 12414 # Transaction distribution
-system.toL2Bus.trans_dist::WriteResp 12414 # Transaction distribution
-system.toL2Bus.trans_dist::Writeback 850078 # Transaction distribution
-system.toL2Bus.trans_dist::WriteInvalidateReq 41558 # Transaction distribution
-system.toL2Bus.trans_dist::UpgradeReq 4615 # Transaction distribution
-system.toL2Bus.trans_dist::SCUpgradeReq 1065 # Transaction distribution
-system.toL2Bus.trans_dist::UpgradeResp 5680 # Transaction distribution
-system.toL2Bus.trans_dist::ReadExReq 322069 # Transaction distribution
-system.toL2Bus.trans_dist::ReadExResp 322069 # Transaction distribution
-system.toL2Bus.pkt_count_system.cpu0.icache.mem_side::system.l2c.cpu_side 1078328 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu0.dcache.mem_side::system.l2c.cpu_side 2181300 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu1.icache.mem_side::system.l2c.cpu_side 927173 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu1.dcache.mem_side::system.l2c.cpu_side 1598235 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count::total 5785036 # Packet count per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu0.icache.mem_side::system.l2c.cpu_side 34505856 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu0.dcache.mem_side::system.l2c.cpu_side 81606637 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu1.icache.mem_side::system.l2c.cpu_side 29669504 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu1.dcache.mem_side::system.l2c.cpu_side 63812309 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size::total 209594306 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.data_through_bus 209584002 # Total data (bytes)
-system.toL2Bus.snoop_data_through_bus 5180608 # Total snoop data (bytes)
-system.toL2Bus.reqLayer0.occupancy 5075622491 # Layer occupancy (ticks)
-system.toL2Bus.reqLayer0.utilization 0.3 # Layer utilization (%)
-system.toL2Bus.snoopLayer0.occupancy 724500 # Layer occupancy (ticks)
+system.toL2Bus.trans_dist::ReadReq 2102030 # Transaction distribution
+system.toL2Bus.trans_dist::ReadResp 2102015 # Transaction distribution
+system.toL2Bus.trans_dist::WriteReq 14067 # Transaction distribution
+system.toL2Bus.trans_dist::WriteResp 14067 # Transaction distribution
+system.toL2Bus.trans_dist::Writeback 792816 # Transaction distribution
+system.toL2Bus.trans_dist::WriteInvalidateReq 41560 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeReq 16382 # Transaction distribution
+system.toL2Bus.trans_dist::SCUpgradeReq 11336 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeResp 27718 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExReq 297616 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExResp 297616 # Transaction distribution
+system.toL2Bus.pkt_count_system.cpu0.icache.mem_side::system.l2c.cpu_side 1407417 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu0.dcache.mem_side::system.l2c.cpu_side 3134555 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu1.icache.mem_side::system.l2c.cpu_side 624007 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu1.dcache.mem_side::system.l2c.cpu_side 452565 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count::total 5618544 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.icache.mem_side::system.l2c.cpu_side 45036672 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.dcache.mem_side::system.l2c.cpu_side 120042720 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.icache.mem_side::system.l2c.cpu_side 19968192 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.dcache.mem_side::system.l2c.cpu_side 16553666 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size::total 201601250 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.snoops 98838 # Total snoops (count)
+system.toL2Bus.snoop_fanout::samples 3254541 # Request fanout histogram
+system.toL2Bus.snoop_fanout::mean 3.012823 # Request fanout histogram
+system.toL2Bus.snoop_fanout::stdev 0.112512 # Request fanout histogram
+system.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::3 3212807 98.72% 98.72% # Request fanout histogram
+system.toL2Bus.snoop_fanout::4 41734 1.28% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::min_value 3 # Request fanout histogram
+system.toL2Bus.snoop_fanout::max_value 4 # Request fanout histogram
+system.toL2Bus.snoop_fanout::total 3254541 # Request fanout histogram
+system.toL2Bus.reqLayer0.occupancy 4795402363 # Layer occupancy (ticks)
+system.toL2Bus.reqLayer0.utilization 0.2 # Layer utilization (%)
+system.toL2Bus.snoopLayer0.occupancy 715500 # Layer occupancy (ticks)
system.toL2Bus.snoopLayer0.utilization 0.0 # Layer utilization (%)
-system.toL2Bus.respLayer0.occupancy 2428486244 # Layer occupancy (ticks)
-system.toL2Bus.respLayer0.utilization 0.1 # Layer utilization (%)
-system.toL2Bus.respLayer1.occupancy 4030575545 # Layer occupancy (ticks)
-system.toL2Bus.respLayer1.utilization 0.2 # Layer utilization (%)
-system.toL2Bus.respLayer2.occupancy 2086565739 # Layer occupancy (ticks)
+system.toL2Bus.respLayer0.occupancy 3169257997 # Layer occupancy (ticks)
+system.toL2Bus.respLayer0.utilization 0.2 # Layer utilization (%)
+system.toL2Bus.respLayer1.occupancy 5536514081 # Layer occupancy (ticks)
+system.toL2Bus.respLayer1.utilization 0.3 # Layer utilization (%)
+system.toL2Bus.respLayer2.occupancy 1404115991 # Layer occupancy (ticks)
system.toL2Bus.respLayer2.utilization 0.1 # Layer utilization (%)
-system.toL2Bus.respLayer3.occupancy 2646502814 # Layer occupancy (ticks)
-system.toL2Bus.respLayer3.utilization 0.1 # Layer utilization (%)
-system.iobus.throughput 1391048 # Throughput (bytes/s)
-system.iobus.trans_dist::ReadReq 7376 # Transaction distribution
-system.iobus.trans_dist::ReadResp 7376 # Transaction distribution
-system.iobus.trans_dist::WriteReq 53966 # Transaction distribution
-system.iobus.trans_dist::WriteResp 53966 # Transaction distribution
-system.iobus.pkt_count_system.bridge.master::system.tsunami.cchip.pio 10614 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count_system.bridge.master::system.tsunami.pchip.pio 484 # Packet count per connected master and slave (bytes)
+system.toL2Bus.respLayer3.occupancy 776560164 # Layer occupancy (ticks)
+system.toL2Bus.respLayer3.utilization 0.0 # Layer utilization (%)
+system.iobus.trans_dist::ReadReq 7373 # Transaction distribution
+system.iobus.trans_dist::ReadResp 7373 # Transaction distribution
+system.iobus.trans_dist::WriteReq 55619 # Transaction distribution
+system.iobus.trans_dist::WriteResp 55619 # Transaction distribution
+system.iobus.pkt_count_system.bridge.master::system.tsunami.cchip.pio 13922 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.tsunami.pchip.pio 480 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.tsunami.fake_sm_chip.pio 10 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.tsunami.fake_uart4.pio 10 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.tsunami.io.pio 180 # Packet count per connected master and slave (bytes)
@@ -1010,30 +1026,29 @@ system.iobus.pkt_count_system.bridge.master::system.tsunami.ide-pciconf
system.iobus.pkt_count_system.bridge.master::system.tsunami.ethernet.pio 102 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.tsunami.ethernet-pciconf 180 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.tsunami.pciconfig.pio 60 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count_system.bridge.master::total 39228 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count_system.tsunami.ide.dma::system.iocache.cpu_side 83456 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count_system.tsunami.ide.dma::total 83456 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count::total 122684 # Packet count per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.cchip.pio 42456 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.pchip.pio 1936 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.fake_sm_chip.pio 5 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.fake_uart4.pio 5 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.io.pio 160 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.uart.pio 9074 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.backdoor.pio 9876 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.ide.pio 4193 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.ide-pciconf 410 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.ethernet.pio 204 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.ethernet-pciconf 299 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.pciconfig.pio 120 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::total 68738 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.tsunami.ide.dma::system.iocache.cpu_side 2661632 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.tsunami.ide.dma::total 2661632 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size::total 2730370 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.data_through_bus 2730370 # Total data (bytes)
-system.iobus.reqLayer0.occupancy 9969000 # Layer occupancy (ticks)
+system.iobus.pkt_count_system.bridge.master::total 42532 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.tsunami.ide.dma::system.iocache.cpu_side 83452 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.tsunami.ide.dma::total 83452 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count::total 125984 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.cchip.pio 55688 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.pchip.pio 1920 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.fake_sm_chip.pio 5 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.fake_uart4.pio 5 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.io.pio 160 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.uart.pio 9074 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.backdoor.pio 9876 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ide.pio 4193 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ide-pciconf 410 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ethernet.pio 204 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ethernet-pciconf 299 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.pciconfig.pio 120 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::total 81954 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.tsunami.ide.dma::system.iocache.cpu_side 2661616 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.tsunami.ide.dma::total 2661616 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size::total 2743570 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.reqLayer0.occupancy 13277000 # Layer occupancy (ticks)
system.iobus.reqLayer0.utilization 0.0 # Layer utilization (%)
-system.iobus.reqLayer1.occupancy 362000 # Layer occupancy (ticks)
+system.iobus.reqLayer1.occupancy 359000 # Layer occupancy (ticks)
system.iobus.reqLayer1.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer2.occupancy 9000 # Layer occupancy (ticks)
system.iobus.reqLayer2.utilization 0.0 # Layer utilization (%)
@@ -1053,67 +1068,67 @@ system.iobus.reqLayer27.occupancy 76000 # La
system.iobus.reqLayer27.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer28.occupancy 110000 # Layer occupancy (ticks)
system.iobus.reqLayer28.utilization 0.0 # Layer utilization (%)
-system.iobus.reqLayer29.occupancy 374413689 # Layer occupancy (ticks)
+system.iobus.reqLayer29.occupancy 374410189 # Layer occupancy (ticks)
system.iobus.reqLayer29.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer30.occupancy 30000 # Layer occupancy (ticks)
system.iobus.reqLayer30.utilization 0.0 # Layer utilization (%)
-system.iobus.respLayer0.occupancy 26814000 # Layer occupancy (ticks)
+system.iobus.respLayer0.occupancy 28465000 # Layer occupancy (ticks)
system.iobus.respLayer0.utilization 0.0 # Layer utilization (%)
-system.iobus.respLayer1.occupancy 42018250 # Layer occupancy (ticks)
+system.iobus.respLayer1.occupancy 42017000 # Layer occupancy (ticks)
system.iobus.respLayer1.utilization 0.0 # Layer utilization (%)
-system.cpu0.icache.tags.replacements 538541 # number of replacements
-system.cpu0.icache.tags.tagsinuse 508.393356 # Cycle average of tags in use
-system.cpu0.icache.tags.total_refs 37746250 # Total number of references to valid blocks.
-system.cpu0.icache.tags.sampled_refs 539053 # Sample count of references to valid blocks.
-system.cpu0.icache.tags.avg_refs 70.023263 # Average number of references to valid blocks.
+system.cpu0.icache.tags.replacements 703089 # number of replacements
+system.cpu0.icache.tags.tagsinuse 508.385515 # Cycle average of tags in use
+system.cpu0.icache.tags.total_refs 47433077 # Total number of references to valid blocks.
+system.cpu0.icache.tags.sampled_refs 703601 # Sample count of references to valid blocks.
+system.cpu0.icache.tags.avg_refs 67.414738 # Average number of references to valid blocks.
system.cpu0.icache.tags.warmup_cycle 40276505250 # Cycle when the warmup percentage was hit.
-system.cpu0.icache.tags.occ_blocks::cpu0.inst 508.393356 # Average occupied blocks per requestor
-system.cpu0.icache.tags.occ_percent::cpu0.inst 0.992956 # Average percentage of cache occupancy
-system.cpu0.icache.tags.occ_percent::total 0.992956 # Average percentage of cache occupancy
+system.cpu0.icache.tags.occ_blocks::cpu0.inst 508.385515 # Average occupied blocks per requestor
+system.cpu0.icache.tags.occ_percent::cpu0.inst 0.992940 # Average percentage of cache occupancy
+system.cpu0.icache.tags.occ_percent::total 0.992940 # Average percentage of cache occupancy
system.cpu0.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::0 52 # Occupied blocks per task id
+system.cpu0.icache.tags.age_task_id_blocks_1024::0 54 # Occupied blocks per task id
system.cpu0.icache.tags.age_task_id_blocks_1024::1 1 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::2 442 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::3 17 # Occupied blocks per task id
+system.cpu0.icache.tags.age_task_id_blocks_1024::2 444 # Occupied blocks per task id
+system.cpu0.icache.tags.age_task_id_blocks_1024::3 13 # Occupied blocks per task id
system.cpu0.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu0.icache.tags.tag_accesses 38824598 # Number of tag accesses
-system.cpu0.icache.tags.data_accesses 38824598 # Number of data accesses
-system.cpu0.icache.ReadReq_hits::cpu0.inst 37746250 # number of ReadReq hits
-system.cpu0.icache.ReadReq_hits::total 37746250 # number of ReadReq hits
-system.cpu0.icache.demand_hits::cpu0.inst 37746250 # number of demand (read+write) hits
-system.cpu0.icache.demand_hits::total 37746250 # number of demand (read+write) hits
-system.cpu0.icache.overall_hits::cpu0.inst 37746250 # number of overall hits
-system.cpu0.icache.overall_hits::total 37746250 # number of overall hits
-system.cpu0.icache.ReadReq_misses::cpu0.inst 539174 # number of ReadReq misses
-system.cpu0.icache.ReadReq_misses::total 539174 # number of ReadReq misses
-system.cpu0.icache.demand_misses::cpu0.inst 539174 # number of demand (read+write) misses
-system.cpu0.icache.demand_misses::total 539174 # number of demand (read+write) misses
-system.cpu0.icache.overall_misses::cpu0.inst 539174 # number of overall misses
-system.cpu0.icache.overall_misses::total 539174 # number of overall misses
-system.cpu0.icache.ReadReq_miss_latency::cpu0.inst 7756302744 # number of ReadReq miss cycles
-system.cpu0.icache.ReadReq_miss_latency::total 7756302744 # number of ReadReq miss cycles
-system.cpu0.icache.demand_miss_latency::cpu0.inst 7756302744 # number of demand (read+write) miss cycles
-system.cpu0.icache.demand_miss_latency::total 7756302744 # number of demand (read+write) miss cycles
-system.cpu0.icache.overall_miss_latency::cpu0.inst 7756302744 # number of overall miss cycles
-system.cpu0.icache.overall_miss_latency::total 7756302744 # number of overall miss cycles
-system.cpu0.icache.ReadReq_accesses::cpu0.inst 38285424 # number of ReadReq accesses(hits+misses)
-system.cpu0.icache.ReadReq_accesses::total 38285424 # number of ReadReq accesses(hits+misses)
-system.cpu0.icache.demand_accesses::cpu0.inst 38285424 # number of demand (read+write) accesses
-system.cpu0.icache.demand_accesses::total 38285424 # number of demand (read+write) accesses
-system.cpu0.icache.overall_accesses::cpu0.inst 38285424 # number of overall (read+write) accesses
-system.cpu0.icache.overall_accesses::total 38285424 # number of overall (read+write) accesses
-system.cpu0.icache.ReadReq_miss_rate::cpu0.inst 0.014083 # miss rate for ReadReq accesses
-system.cpu0.icache.ReadReq_miss_rate::total 0.014083 # miss rate for ReadReq accesses
-system.cpu0.icache.demand_miss_rate::cpu0.inst 0.014083 # miss rate for demand accesses
-system.cpu0.icache.demand_miss_rate::total 0.014083 # miss rate for demand accesses
-system.cpu0.icache.overall_miss_rate::cpu0.inst 0.014083 # miss rate for overall accesses
-system.cpu0.icache.overall_miss_rate::total 0.014083 # miss rate for overall accesses
-system.cpu0.icache.ReadReq_avg_miss_latency::cpu0.inst 14385.528130 # average ReadReq miss latency
-system.cpu0.icache.ReadReq_avg_miss_latency::total 14385.528130 # average ReadReq miss latency
-system.cpu0.icache.demand_avg_miss_latency::cpu0.inst 14385.528130 # average overall miss latency
-system.cpu0.icache.demand_avg_miss_latency::total 14385.528130 # average overall miss latency
-system.cpu0.icache.overall_avg_miss_latency::cpu0.inst 14385.528130 # average overall miss latency
-system.cpu0.icache.overall_avg_miss_latency::total 14385.528130 # average overall miss latency
+system.cpu0.icache.tags.tag_accesses 48840515 # Number of tag accesses
+system.cpu0.icache.tags.data_accesses 48840515 # Number of data accesses
+system.cpu0.icache.ReadReq_hits::cpu0.inst 47433077 # number of ReadReq hits
+system.cpu0.icache.ReadReq_hits::total 47433077 # number of ReadReq hits
+system.cpu0.icache.demand_hits::cpu0.inst 47433077 # number of demand (read+write) hits
+system.cpu0.icache.demand_hits::total 47433077 # number of demand (read+write) hits
+system.cpu0.icache.overall_hits::cpu0.inst 47433077 # number of overall hits
+system.cpu0.icache.overall_hits::total 47433077 # number of overall hits
+system.cpu0.icache.ReadReq_misses::cpu0.inst 703719 # number of ReadReq misses
+system.cpu0.icache.ReadReq_misses::total 703719 # number of ReadReq misses
+system.cpu0.icache.demand_misses::cpu0.inst 703719 # number of demand (read+write) misses
+system.cpu0.icache.demand_misses::total 703719 # number of demand (read+write) misses
+system.cpu0.icache.overall_misses::cpu0.inst 703719 # number of overall misses
+system.cpu0.icache.overall_misses::total 703719 # number of overall misses
+system.cpu0.icache.ReadReq_miss_latency::cpu0.inst 10017635497 # number of ReadReq miss cycles
+system.cpu0.icache.ReadReq_miss_latency::total 10017635497 # number of ReadReq miss cycles
+system.cpu0.icache.demand_miss_latency::cpu0.inst 10017635497 # number of demand (read+write) miss cycles
+system.cpu0.icache.demand_miss_latency::total 10017635497 # number of demand (read+write) miss cycles
+system.cpu0.icache.overall_miss_latency::cpu0.inst 10017635497 # number of overall miss cycles
+system.cpu0.icache.overall_miss_latency::total 10017635497 # number of overall miss cycles
+system.cpu0.icache.ReadReq_accesses::cpu0.inst 48136796 # number of ReadReq accesses(hits+misses)
+system.cpu0.icache.ReadReq_accesses::total 48136796 # number of ReadReq accesses(hits+misses)
+system.cpu0.icache.demand_accesses::cpu0.inst 48136796 # number of demand (read+write) accesses
+system.cpu0.icache.demand_accesses::total 48136796 # number of demand (read+write) accesses
+system.cpu0.icache.overall_accesses::cpu0.inst 48136796 # number of overall (read+write) accesses
+system.cpu0.icache.overall_accesses::total 48136796 # number of overall (read+write) accesses
+system.cpu0.icache.ReadReq_miss_rate::cpu0.inst 0.014619 # miss rate for ReadReq accesses
+system.cpu0.icache.ReadReq_miss_rate::total 0.014619 # miss rate for ReadReq accesses
+system.cpu0.icache.demand_miss_rate::cpu0.inst 0.014619 # miss rate for demand accesses
+system.cpu0.icache.demand_miss_rate::total 0.014619 # miss rate for demand accesses
+system.cpu0.icache.overall_miss_rate::cpu0.inst 0.014619 # miss rate for overall accesses
+system.cpu0.icache.overall_miss_rate::total 0.014619 # miss rate for overall accesses
+system.cpu0.icache.ReadReq_avg_miss_latency::cpu0.inst 14235.277855 # average ReadReq miss latency
+system.cpu0.icache.ReadReq_avg_miss_latency::total 14235.277855 # average ReadReq miss latency
+system.cpu0.icache.demand_avg_miss_latency::cpu0.inst 14235.277855 # average overall miss latency
+system.cpu0.icache.demand_avg_miss_latency::total 14235.277855 # average overall miss latency
+system.cpu0.icache.overall_avg_miss_latency::cpu0.inst 14235.277855 # average overall miss latency
+system.cpu0.icache.overall_avg_miss_latency::total 14235.277855 # average overall miss latency
system.cpu0.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu0.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu0.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -1122,119 +1137,119 @@ system.cpu0.icache.avg_blocked_cycles::no_mshrs nan
system.cpu0.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu0.icache.fast_writes 0 # number of fast writes performed
system.cpu0.icache.cache_copies 0 # number of cache copies performed
-system.cpu0.icache.ReadReq_mshr_misses::cpu0.inst 539174 # number of ReadReq MSHR misses
-system.cpu0.icache.ReadReq_mshr_misses::total 539174 # number of ReadReq MSHR misses
-system.cpu0.icache.demand_mshr_misses::cpu0.inst 539174 # number of demand (read+write) MSHR misses
-system.cpu0.icache.demand_mshr_misses::total 539174 # number of demand (read+write) MSHR misses
-system.cpu0.icache.overall_mshr_misses::cpu0.inst 539174 # number of overall MSHR misses
-system.cpu0.icache.overall_mshr_misses::total 539174 # number of overall MSHR misses
-system.cpu0.icache.ReadReq_mshr_miss_latency::cpu0.inst 6673548256 # number of ReadReq MSHR miss cycles
-system.cpu0.icache.ReadReq_mshr_miss_latency::total 6673548256 # number of ReadReq MSHR miss cycles
-system.cpu0.icache.demand_mshr_miss_latency::cpu0.inst 6673548256 # number of demand (read+write) MSHR miss cycles
-system.cpu0.icache.demand_mshr_miss_latency::total 6673548256 # number of demand (read+write) MSHR miss cycles
-system.cpu0.icache.overall_mshr_miss_latency::cpu0.inst 6673548256 # number of overall MSHR miss cycles
-system.cpu0.icache.overall_mshr_miss_latency::total 6673548256 # number of overall MSHR miss cycles
-system.cpu0.icache.ReadReq_mshr_miss_rate::cpu0.inst 0.014083 # mshr miss rate for ReadReq accesses
-system.cpu0.icache.ReadReq_mshr_miss_rate::total 0.014083 # mshr miss rate for ReadReq accesses
-system.cpu0.icache.demand_mshr_miss_rate::cpu0.inst 0.014083 # mshr miss rate for demand accesses
-system.cpu0.icache.demand_mshr_miss_rate::total 0.014083 # mshr miss rate for demand accesses
-system.cpu0.icache.overall_mshr_miss_rate::cpu0.inst 0.014083 # mshr miss rate for overall accesses
-system.cpu0.icache.overall_mshr_miss_rate::total 0.014083 # mshr miss rate for overall accesses
-system.cpu0.icache.ReadReq_avg_mshr_miss_latency::cpu0.inst 12377.355466 # average ReadReq mshr miss latency
-system.cpu0.icache.ReadReq_avg_mshr_miss_latency::total 12377.355466 # average ReadReq mshr miss latency
-system.cpu0.icache.demand_avg_mshr_miss_latency::cpu0.inst 12377.355466 # average overall mshr miss latency
-system.cpu0.icache.demand_avg_mshr_miss_latency::total 12377.355466 # average overall mshr miss latency
-system.cpu0.icache.overall_avg_mshr_miss_latency::cpu0.inst 12377.355466 # average overall mshr miss latency
-system.cpu0.icache.overall_avg_mshr_miss_latency::total 12377.355466 # average overall mshr miss latency
+system.cpu0.icache.ReadReq_mshr_misses::cpu0.inst 703719 # number of ReadReq MSHR misses
+system.cpu0.icache.ReadReq_mshr_misses::total 703719 # number of ReadReq MSHR misses
+system.cpu0.icache.demand_mshr_misses::cpu0.inst 703719 # number of demand (read+write) MSHR misses
+system.cpu0.icache.demand_mshr_misses::total 703719 # number of demand (read+write) MSHR misses
+system.cpu0.icache.overall_mshr_misses::cpu0.inst 703719 # number of overall MSHR misses
+system.cpu0.icache.overall_mshr_misses::total 703719 # number of overall MSHR misses
+system.cpu0.icache.ReadReq_mshr_miss_latency::cpu0.inst 8605152503 # number of ReadReq MSHR miss cycles
+system.cpu0.icache.ReadReq_mshr_miss_latency::total 8605152503 # number of ReadReq MSHR miss cycles
+system.cpu0.icache.demand_mshr_miss_latency::cpu0.inst 8605152503 # number of demand (read+write) MSHR miss cycles
+system.cpu0.icache.demand_mshr_miss_latency::total 8605152503 # number of demand (read+write) MSHR miss cycles
+system.cpu0.icache.overall_mshr_miss_latency::cpu0.inst 8605152503 # number of overall MSHR miss cycles
+system.cpu0.icache.overall_mshr_miss_latency::total 8605152503 # number of overall MSHR miss cycles
+system.cpu0.icache.ReadReq_mshr_miss_rate::cpu0.inst 0.014619 # mshr miss rate for ReadReq accesses
+system.cpu0.icache.ReadReq_mshr_miss_rate::total 0.014619 # mshr miss rate for ReadReq accesses
+system.cpu0.icache.demand_mshr_miss_rate::cpu0.inst 0.014619 # mshr miss rate for demand accesses
+system.cpu0.icache.demand_mshr_miss_rate::total 0.014619 # mshr miss rate for demand accesses
+system.cpu0.icache.overall_mshr_miss_rate::cpu0.inst 0.014619 # mshr miss rate for overall accesses
+system.cpu0.icache.overall_mshr_miss_rate::total 0.014619 # mshr miss rate for overall accesses
+system.cpu0.icache.ReadReq_avg_mshr_miss_latency::cpu0.inst 12228.108809 # average ReadReq mshr miss latency
+system.cpu0.icache.ReadReq_avg_mshr_miss_latency::total 12228.108809 # average ReadReq mshr miss latency
+system.cpu0.icache.demand_avg_mshr_miss_latency::cpu0.inst 12228.108809 # average overall mshr miss latency
+system.cpu0.icache.demand_avg_mshr_miss_latency::total 12228.108809 # average overall mshr miss latency
+system.cpu0.icache.overall_avg_mshr_miss_latency::cpu0.inst 12228.108809 # average overall mshr miss latency
+system.cpu0.icache.overall_avg_mshr_miss_latency::total 12228.108809 # average overall mshr miss latency
system.cpu0.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu0.dcache.tags.replacements 871192 # number of replacements
-system.cpu0.dcache.tags.tagsinuse 481.742326 # Cycle average of tags in use
-system.cpu0.dcache.tags.total_refs 9465806 # Total number of references to valid blocks.
-system.cpu0.dcache.tags.sampled_refs 871704 # Sample count of references to valid blocks.
-system.cpu0.dcache.tags.avg_refs 10.858968 # Average number of references to valid blocks.
+system.cpu0.dcache.tags.replacements 1191194 # number of replacements
+system.cpu0.dcache.tags.tagsinuse 505.224955 # Cycle average of tags in use
+system.cpu0.dcache.tags.total_refs 11513307 # Total number of references to valid blocks.
+system.cpu0.dcache.tags.sampled_refs 1191706 # Sample count of references to valid blocks.
+system.cpu0.dcache.tags.avg_refs 9.661197 # Average number of references to valid blocks.
system.cpu0.dcache.tags.warmup_cycle 108210250 # Cycle when the warmup percentage was hit.
-system.cpu0.dcache.tags.occ_blocks::cpu0.data 481.742326 # Average occupied blocks per requestor
-system.cpu0.dcache.tags.occ_percent::cpu0.data 0.940903 # Average percentage of cache occupancy
-system.cpu0.dcache.tags.occ_percent::total 0.940903 # Average percentage of cache occupancy
+system.cpu0.dcache.tags.occ_blocks::cpu0.data 505.224955 # Average occupied blocks per requestor
+system.cpu0.dcache.tags.occ_percent::cpu0.data 0.986767 # Average percentage of cache occupancy
+system.cpu0.dcache.tags.occ_percent::total 0.986767 # Average percentage of cache occupancy
system.cpu0.dcache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu0.dcache.tags.age_task_id_blocks_1024::0 125 # Occupied blocks per task id
-system.cpu0.dcache.tags.age_task_id_blocks_1024::1 319 # Occupied blocks per task id
-system.cpu0.dcache.tags.age_task_id_blocks_1024::2 68 # Occupied blocks per task id
+system.cpu0.dcache.tags.age_task_id_blocks_1024::0 123 # Occupied blocks per task id
+system.cpu0.dcache.tags.age_task_id_blocks_1024::1 320 # Occupied blocks per task id
+system.cpu0.dcache.tags.age_task_id_blocks_1024::2 69 # Occupied blocks per task id
system.cpu0.dcache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu0.dcache.tags.tag_accesses 42232679 # Number of tag accesses
-system.cpu0.dcache.tags.data_accesses 42232679 # Number of data accesses
-system.cpu0.dcache.ReadReq_hits::cpu0.data 5299779 # number of ReadReq hits
-system.cpu0.dcache.ReadReq_hits::total 5299779 # number of ReadReq hits
-system.cpu0.dcache.WriteReq_hits::cpu0.data 3905718 # number of WriteReq hits
-system.cpu0.dcache.WriteReq_hits::total 3905718 # number of WriteReq hits
-system.cpu0.dcache.LoadLockedReq_hits::cpu0.data 124794 # number of LoadLockedReq hits
-system.cpu0.dcache.LoadLockedReq_hits::total 124794 # number of LoadLockedReq hits
-system.cpu0.dcache.StoreCondReq_hits::cpu0.data 131579 # number of StoreCondReq hits
-system.cpu0.dcache.StoreCondReq_hits::total 131579 # number of StoreCondReq hits
-system.cpu0.dcache.demand_hits::cpu0.data 9205497 # number of demand (read+write) hits
-system.cpu0.dcache.demand_hits::total 9205497 # number of demand (read+write) hits
-system.cpu0.dcache.overall_hits::cpu0.data 9205497 # number of overall hits
-system.cpu0.dcache.overall_hits::total 9205497 # number of overall hits
-system.cpu0.dcache.ReadReq_misses::cpu0.data 645318 # number of ReadReq misses
-system.cpu0.dcache.ReadReq_misses::total 645318 # number of ReadReq misses
-system.cpu0.dcache.WriteReq_misses::cpu0.data 224183 # number of WriteReq misses
-system.cpu0.dcache.WriteReq_misses::total 224183 # number of WriteReq misses
-system.cpu0.dcache.LoadLockedReq_misses::cpu0.data 7829 # number of LoadLockedReq misses
-system.cpu0.dcache.LoadLockedReq_misses::total 7829 # number of LoadLockedReq misses
-system.cpu0.dcache.StoreCondReq_misses::cpu0.data 497 # number of StoreCondReq misses
-system.cpu0.dcache.StoreCondReq_misses::total 497 # number of StoreCondReq misses
-system.cpu0.dcache.demand_misses::cpu0.data 869501 # number of demand (read+write) misses
-system.cpu0.dcache.demand_misses::total 869501 # number of demand (read+write) misses
-system.cpu0.dcache.overall_misses::cpu0.data 869501 # number of overall misses
-system.cpu0.dcache.overall_misses::total 869501 # number of overall misses
-system.cpu0.dcache.ReadReq_miss_latency::cpu0.data 23374202500 # number of ReadReq miss cycles
-system.cpu0.dcache.ReadReq_miss_latency::total 23374202500 # number of ReadReq miss cycles
-system.cpu0.dcache.WriteReq_miss_latency::cpu0.data 9262527483 # number of WriteReq miss cycles
-system.cpu0.dcache.WriteReq_miss_latency::total 9262527483 # number of WriteReq miss cycles
-system.cpu0.dcache.LoadLockedReq_miss_latency::cpu0.data 102834500 # number of LoadLockedReq miss cycles
-system.cpu0.dcache.LoadLockedReq_miss_latency::total 102834500 # number of LoadLockedReq miss cycles
-system.cpu0.dcache.StoreCondReq_miss_latency::cpu0.data 3584562 # number of StoreCondReq miss cycles
-system.cpu0.dcache.StoreCondReq_miss_latency::total 3584562 # number of StoreCondReq miss cycles
-system.cpu0.dcache.demand_miss_latency::cpu0.data 32636729983 # number of demand (read+write) miss cycles
-system.cpu0.dcache.demand_miss_latency::total 32636729983 # number of demand (read+write) miss cycles
-system.cpu0.dcache.overall_miss_latency::cpu0.data 32636729983 # number of overall miss cycles
-system.cpu0.dcache.overall_miss_latency::total 32636729983 # number of overall miss cycles
-system.cpu0.dcache.ReadReq_accesses::cpu0.data 5945097 # number of ReadReq accesses(hits+misses)
-system.cpu0.dcache.ReadReq_accesses::total 5945097 # number of ReadReq accesses(hits+misses)
-system.cpu0.dcache.WriteReq_accesses::cpu0.data 4129901 # number of WriteReq accesses(hits+misses)
-system.cpu0.dcache.WriteReq_accesses::total 4129901 # number of WriteReq accesses(hits+misses)
-system.cpu0.dcache.LoadLockedReq_accesses::cpu0.data 132623 # number of LoadLockedReq accesses(hits+misses)
-system.cpu0.dcache.LoadLockedReq_accesses::total 132623 # number of LoadLockedReq accesses(hits+misses)
-system.cpu0.dcache.StoreCondReq_accesses::cpu0.data 132076 # number of StoreCondReq accesses(hits+misses)
-system.cpu0.dcache.StoreCondReq_accesses::total 132076 # number of StoreCondReq accesses(hits+misses)
-system.cpu0.dcache.demand_accesses::cpu0.data 10074998 # number of demand (read+write) accesses
-system.cpu0.dcache.demand_accesses::total 10074998 # number of demand (read+write) accesses
-system.cpu0.dcache.overall_accesses::cpu0.data 10074998 # number of overall (read+write) accesses
-system.cpu0.dcache.overall_accesses::total 10074998 # number of overall (read+write) accesses
-system.cpu0.dcache.ReadReq_miss_rate::cpu0.data 0.108546 # miss rate for ReadReq accesses
-system.cpu0.dcache.ReadReq_miss_rate::total 0.108546 # miss rate for ReadReq accesses
-system.cpu0.dcache.WriteReq_miss_rate::cpu0.data 0.054283 # miss rate for WriteReq accesses
-system.cpu0.dcache.WriteReq_miss_rate::total 0.054283 # miss rate for WriteReq accesses
-system.cpu0.dcache.LoadLockedReq_miss_rate::cpu0.data 0.059032 # miss rate for LoadLockedReq accesses
-system.cpu0.dcache.LoadLockedReq_miss_rate::total 0.059032 # miss rate for LoadLockedReq accesses
-system.cpu0.dcache.StoreCondReq_miss_rate::cpu0.data 0.003763 # miss rate for StoreCondReq accesses
-system.cpu0.dcache.StoreCondReq_miss_rate::total 0.003763 # miss rate for StoreCondReq accesses
-system.cpu0.dcache.demand_miss_rate::cpu0.data 0.086303 # miss rate for demand accesses
-system.cpu0.dcache.demand_miss_rate::total 0.086303 # miss rate for demand accesses
-system.cpu0.dcache.overall_miss_rate::cpu0.data 0.086303 # miss rate for overall accesses
-system.cpu0.dcache.overall_miss_rate::total 0.086303 # miss rate for overall accesses
-system.cpu0.dcache.ReadReq_avg_miss_latency::cpu0.data 36221.215742 # average ReadReq miss latency
-system.cpu0.dcache.ReadReq_avg_miss_latency::total 36221.215742 # average ReadReq miss latency
-system.cpu0.dcache.WriteReq_avg_miss_latency::cpu0.data 41316.814758 # average WriteReq miss latency
-system.cpu0.dcache.WriteReq_avg_miss_latency::total 41316.814758 # average WriteReq miss latency
-system.cpu0.dcache.LoadLockedReq_avg_miss_latency::cpu0.data 13135.074722 # average LoadLockedReq miss latency
-system.cpu0.dcache.LoadLockedReq_avg_miss_latency::total 13135.074722 # average LoadLockedReq miss latency
-system.cpu0.dcache.StoreCondReq_avg_miss_latency::cpu0.data 7212.398390 # average StoreCondReq miss latency
-system.cpu0.dcache.StoreCondReq_avg_miss_latency::total 7212.398390 # average StoreCondReq miss latency
-system.cpu0.dcache.demand_avg_miss_latency::cpu0.data 37535.011441 # average overall miss latency
-system.cpu0.dcache.demand_avg_miss_latency::total 37535.011441 # average overall miss latency
-system.cpu0.dcache.overall_avg_miss_latency::cpu0.data 37535.011441 # average overall miss latency
-system.cpu0.dcache.overall_avg_miss_latency::total 37535.011441 # average overall miss latency
+system.cpu0.dcache.tags.tag_accesses 52084143 # Number of tag accesses
+system.cpu0.dcache.tags.data_accesses 52084143 # Number of data accesses
+system.cpu0.dcache.ReadReq_hits::cpu0.data 6477469 # number of ReadReq hits
+system.cpu0.dcache.ReadReq_hits::total 6477469 # number of ReadReq hits
+system.cpu0.dcache.WriteReq_hits::cpu0.data 4731394 # number of WriteReq hits
+system.cpu0.dcache.WriteReq_hits::total 4731394 # number of WriteReq hits
+system.cpu0.dcache.LoadLockedReq_hits::cpu0.data 141563 # number of LoadLockedReq hits
+system.cpu0.dcache.LoadLockedReq_hits::total 141563 # number of LoadLockedReq hits
+system.cpu0.dcache.StoreCondReq_hits::cpu0.data 149256 # number of StoreCondReq hits
+system.cpu0.dcache.StoreCondReq_hits::total 149256 # number of StoreCondReq hits
+system.cpu0.dcache.demand_hits::cpu0.data 11208863 # number of demand (read+write) hits
+system.cpu0.dcache.demand_hits::total 11208863 # number of demand (read+write) hits
+system.cpu0.dcache.overall_hits::cpu0.data 11208863 # number of overall hits
+system.cpu0.dcache.overall_hits::total 11208863 # number of overall hits
+system.cpu0.dcache.ReadReq_misses::cpu0.data 942620 # number of ReadReq misses
+system.cpu0.dcache.ReadReq_misses::total 942620 # number of ReadReq misses
+system.cpu0.dcache.WriteReq_misses::cpu0.data 258040 # number of WriteReq misses
+system.cpu0.dcache.WriteReq_misses::total 258040 # number of WriteReq misses
+system.cpu0.dcache.LoadLockedReq_misses::cpu0.data 13696 # number of LoadLockedReq misses
+system.cpu0.dcache.LoadLockedReq_misses::total 13696 # number of LoadLockedReq misses
+system.cpu0.dcache.StoreCondReq_misses::cpu0.data 5452 # number of StoreCondReq misses
+system.cpu0.dcache.StoreCondReq_misses::total 5452 # number of StoreCondReq misses
+system.cpu0.dcache.demand_misses::cpu0.data 1200660 # number of demand (read+write) misses
+system.cpu0.dcache.demand_misses::total 1200660 # number of demand (read+write) misses
+system.cpu0.dcache.overall_misses::cpu0.data 1200660 # number of overall misses
+system.cpu0.dcache.overall_misses::total 1200660 # number of overall misses
+system.cpu0.dcache.ReadReq_miss_latency::cpu0.data 27232981250 # number of ReadReq miss cycles
+system.cpu0.dcache.ReadReq_miss_latency::total 27232981250 # number of ReadReq miss cycles
+system.cpu0.dcache.WriteReq_miss_latency::cpu0.data 10355566942 # number of WriteReq miss cycles
+system.cpu0.dcache.WriteReq_miss_latency::total 10355566942 # number of WriteReq miss cycles
+system.cpu0.dcache.LoadLockedReq_miss_latency::cpu0.data 149859500 # number of LoadLockedReq miss cycles
+system.cpu0.dcache.LoadLockedReq_miss_latency::total 149859500 # number of LoadLockedReq miss cycles
+system.cpu0.dcache.StoreCondReq_miss_latency::cpu0.data 42011389 # number of StoreCondReq miss cycles
+system.cpu0.dcache.StoreCondReq_miss_latency::total 42011389 # number of StoreCondReq miss cycles
+system.cpu0.dcache.demand_miss_latency::cpu0.data 37588548192 # number of demand (read+write) miss cycles
+system.cpu0.dcache.demand_miss_latency::total 37588548192 # number of demand (read+write) miss cycles
+system.cpu0.dcache.overall_miss_latency::cpu0.data 37588548192 # number of overall miss cycles
+system.cpu0.dcache.overall_miss_latency::total 37588548192 # number of overall miss cycles
+system.cpu0.dcache.ReadReq_accesses::cpu0.data 7420089 # number of ReadReq accesses(hits+misses)
+system.cpu0.dcache.ReadReq_accesses::total 7420089 # number of ReadReq accesses(hits+misses)
+system.cpu0.dcache.WriteReq_accesses::cpu0.data 4989434 # number of WriteReq accesses(hits+misses)
+system.cpu0.dcache.WriteReq_accesses::total 4989434 # number of WriteReq accesses(hits+misses)
+system.cpu0.dcache.LoadLockedReq_accesses::cpu0.data 155259 # number of LoadLockedReq accesses(hits+misses)
+system.cpu0.dcache.LoadLockedReq_accesses::total 155259 # number of LoadLockedReq accesses(hits+misses)
+system.cpu0.dcache.StoreCondReq_accesses::cpu0.data 154708 # number of StoreCondReq accesses(hits+misses)
+system.cpu0.dcache.StoreCondReq_accesses::total 154708 # number of StoreCondReq accesses(hits+misses)
+system.cpu0.dcache.demand_accesses::cpu0.data 12409523 # number of demand (read+write) accesses
+system.cpu0.dcache.demand_accesses::total 12409523 # number of demand (read+write) accesses
+system.cpu0.dcache.overall_accesses::cpu0.data 12409523 # number of overall (read+write) accesses
+system.cpu0.dcache.overall_accesses::total 12409523 # number of overall (read+write) accesses
+system.cpu0.dcache.ReadReq_miss_rate::cpu0.data 0.127036 # miss rate for ReadReq accesses
+system.cpu0.dcache.ReadReq_miss_rate::total 0.127036 # miss rate for ReadReq accesses
+system.cpu0.dcache.WriteReq_miss_rate::cpu0.data 0.051717 # miss rate for WriteReq accesses
+system.cpu0.dcache.WriteReq_miss_rate::total 0.051717 # miss rate for WriteReq accesses
+system.cpu0.dcache.LoadLockedReq_miss_rate::cpu0.data 0.088214 # miss rate for LoadLockedReq accesses
+system.cpu0.dcache.LoadLockedReq_miss_rate::total 0.088214 # miss rate for LoadLockedReq accesses
+system.cpu0.dcache.StoreCondReq_miss_rate::cpu0.data 0.035241 # miss rate for StoreCondReq accesses
+system.cpu0.dcache.StoreCondReq_miss_rate::total 0.035241 # miss rate for StoreCondReq accesses
+system.cpu0.dcache.demand_miss_rate::cpu0.data 0.096753 # miss rate for demand accesses
+system.cpu0.dcache.demand_miss_rate::total 0.096753 # miss rate for demand accesses
+system.cpu0.dcache.overall_miss_rate::cpu0.data 0.096753 # miss rate for overall accesses
+system.cpu0.dcache.overall_miss_rate::total 0.096753 # miss rate for overall accesses
+system.cpu0.dcache.ReadReq_avg_miss_latency::cpu0.data 28890.731419 # average ReadReq miss latency
+system.cpu0.dcache.ReadReq_avg_miss_latency::total 28890.731419 # average ReadReq miss latency
+system.cpu0.dcache.WriteReq_avg_miss_latency::cpu0.data 40131.634406 # average WriteReq miss latency
+system.cpu0.dcache.WriteReq_avg_miss_latency::total 40131.634406 # average WriteReq miss latency
+system.cpu0.dcache.LoadLockedReq_avg_miss_latency::cpu0.data 10941.844334 # average LoadLockedReq miss latency
+system.cpu0.dcache.LoadLockedReq_avg_miss_latency::total 10941.844334 # average LoadLockedReq miss latency
+system.cpu0.dcache.StoreCondReq_avg_miss_latency::cpu0.data 7705.683969 # average StoreCondReq miss latency
+system.cpu0.dcache.StoreCondReq_avg_miss_latency::total 7705.683969 # average StoreCondReq miss latency
+system.cpu0.dcache.demand_avg_miss_latency::cpu0.data 31306.571546 # average overall miss latency
+system.cpu0.dcache.demand_avg_miss_latency::total 31306.571546 # average overall miss latency
+system.cpu0.dcache.overall_avg_miss_latency::cpu0.data 31306.571546 # average overall miss latency
+system.cpu0.dcache.overall_avg_miss_latency::total 31306.571546 # average overall miss latency
system.cpu0.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu0.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu0.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -1243,62 +1258,62 @@ system.cpu0.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu0.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu0.dcache.fast_writes 0 # number of fast writes performed
system.cpu0.dcache.cache_copies 0 # number of cache copies performed
-system.cpu0.dcache.writebacks::writebacks 405151 # number of writebacks
-system.cpu0.dcache.writebacks::total 405151 # number of writebacks
-system.cpu0.dcache.ReadReq_mshr_misses::cpu0.data 645318 # number of ReadReq MSHR misses
-system.cpu0.dcache.ReadReq_mshr_misses::total 645318 # number of ReadReq MSHR misses
-system.cpu0.dcache.WriteReq_mshr_misses::cpu0.data 224183 # number of WriteReq MSHR misses
-system.cpu0.dcache.WriteReq_mshr_misses::total 224183 # number of WriteReq MSHR misses
-system.cpu0.dcache.LoadLockedReq_mshr_misses::cpu0.data 7829 # number of LoadLockedReq MSHR misses
-system.cpu0.dcache.LoadLockedReq_mshr_misses::total 7829 # number of LoadLockedReq MSHR misses
-system.cpu0.dcache.StoreCondReq_mshr_misses::cpu0.data 497 # number of StoreCondReq MSHR misses
-system.cpu0.dcache.StoreCondReq_mshr_misses::total 497 # number of StoreCondReq MSHR misses
-system.cpu0.dcache.demand_mshr_misses::cpu0.data 869501 # number of demand (read+write) MSHR misses
-system.cpu0.dcache.demand_mshr_misses::total 869501 # number of demand (read+write) MSHR misses
-system.cpu0.dcache.overall_mshr_misses::cpu0.data 869501 # number of overall MSHR misses
-system.cpu0.dcache.overall_mshr_misses::total 869501 # number of overall MSHR misses
-system.cpu0.dcache.ReadReq_mshr_miss_latency::cpu0.data 21958327500 # number of ReadReq MSHR miss cycles
-system.cpu0.dcache.ReadReq_mshr_miss_latency::total 21958327500 # number of ReadReq MSHR miss cycles
-system.cpu0.dcache.WriteReq_mshr_miss_latency::cpu0.data 8765186517 # number of WriteReq MSHR miss cycles
-system.cpu0.dcache.WriteReq_mshr_miss_latency::total 8765186517 # number of WriteReq MSHR miss cycles
-system.cpu0.dcache.LoadLockedReq_mshr_miss_latency::cpu0.data 87163500 # number of LoadLockedReq MSHR miss cycles
-system.cpu0.dcache.LoadLockedReq_mshr_miss_latency::total 87163500 # number of LoadLockedReq MSHR miss cycles
-system.cpu0.dcache.StoreCondReq_mshr_miss_latency::cpu0.data 2590438 # number of StoreCondReq MSHR miss cycles
-system.cpu0.dcache.StoreCondReq_mshr_miss_latency::total 2590438 # number of StoreCondReq MSHR miss cycles
-system.cpu0.dcache.demand_mshr_miss_latency::cpu0.data 30723514017 # number of demand (read+write) MSHR miss cycles
-system.cpu0.dcache.demand_mshr_miss_latency::total 30723514017 # number of demand (read+write) MSHR miss cycles
-system.cpu0.dcache.overall_mshr_miss_latency::cpu0.data 30723514017 # number of overall MSHR miss cycles
-system.cpu0.dcache.overall_mshr_miss_latency::total 30723514017 # number of overall MSHR miss cycles
-system.cpu0.dcache.ReadReq_mshr_uncacheable_latency::cpu0.data 1004927000 # number of ReadReq MSHR uncacheable cycles
-system.cpu0.dcache.ReadReq_mshr_uncacheable_latency::total 1004927000 # number of ReadReq MSHR uncacheable cycles
-system.cpu0.dcache.WriteReq_mshr_uncacheable_latency::cpu0.data 1718158000 # number of WriteReq MSHR uncacheable cycles
-system.cpu0.dcache.WriteReq_mshr_uncacheable_latency::total 1718158000 # number of WriteReq MSHR uncacheable cycles
-system.cpu0.dcache.overall_mshr_uncacheable_latency::cpu0.data 2723085000 # number of overall MSHR uncacheable cycles
-system.cpu0.dcache.overall_mshr_uncacheable_latency::total 2723085000 # number of overall MSHR uncacheable cycles
-system.cpu0.dcache.ReadReq_mshr_miss_rate::cpu0.data 0.108546 # mshr miss rate for ReadReq accesses
-system.cpu0.dcache.ReadReq_mshr_miss_rate::total 0.108546 # mshr miss rate for ReadReq accesses
-system.cpu0.dcache.WriteReq_mshr_miss_rate::cpu0.data 0.054283 # mshr miss rate for WriteReq accesses
-system.cpu0.dcache.WriteReq_mshr_miss_rate::total 0.054283 # mshr miss rate for WriteReq accesses
-system.cpu0.dcache.LoadLockedReq_mshr_miss_rate::cpu0.data 0.059032 # mshr miss rate for LoadLockedReq accesses
-system.cpu0.dcache.LoadLockedReq_mshr_miss_rate::total 0.059032 # mshr miss rate for LoadLockedReq accesses
-system.cpu0.dcache.StoreCondReq_mshr_miss_rate::cpu0.data 0.003763 # mshr miss rate for StoreCondReq accesses
-system.cpu0.dcache.StoreCondReq_mshr_miss_rate::total 0.003763 # mshr miss rate for StoreCondReq accesses
-system.cpu0.dcache.demand_mshr_miss_rate::cpu0.data 0.086303 # mshr miss rate for demand accesses
-system.cpu0.dcache.demand_mshr_miss_rate::total 0.086303 # mshr miss rate for demand accesses
-system.cpu0.dcache.overall_mshr_miss_rate::cpu0.data 0.086303 # mshr miss rate for overall accesses
-system.cpu0.dcache.overall_mshr_miss_rate::total 0.086303 # mshr miss rate for overall accesses
-system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::cpu0.data 34027.142432 # average ReadReq mshr miss latency
-system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::total 34027.142432 # average ReadReq mshr miss latency
-system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::cpu0.data 39098.354991 # average WriteReq mshr miss latency
-system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::total 39098.354991 # average WriteReq mshr miss latency
-system.cpu0.dcache.LoadLockedReq_avg_mshr_miss_latency::cpu0.data 11133.414229 # average LoadLockedReq mshr miss latency
-system.cpu0.dcache.LoadLockedReq_avg_mshr_miss_latency::total 11133.414229 # average LoadLockedReq mshr miss latency
-system.cpu0.dcache.StoreCondReq_avg_mshr_miss_latency::cpu0.data 5212.148893 # average StoreCondReq mshr miss latency
-system.cpu0.dcache.StoreCondReq_avg_mshr_miss_latency::total 5212.148893 # average StoreCondReq mshr miss latency
-system.cpu0.dcache.demand_avg_mshr_miss_latency::cpu0.data 35334.650583 # average overall mshr miss latency
-system.cpu0.dcache.demand_avg_mshr_miss_latency::total 35334.650583 # average overall mshr miss latency
-system.cpu0.dcache.overall_avg_mshr_miss_latency::cpu0.data 35334.650583 # average overall mshr miss latency
-system.cpu0.dcache.overall_avg_mshr_miss_latency::total 35334.650583 # average overall mshr miss latency
+system.cpu0.dcache.writebacks::writebacks 686359 # number of writebacks
+system.cpu0.dcache.writebacks::total 686359 # number of writebacks
+system.cpu0.dcache.ReadReq_mshr_misses::cpu0.data 942620 # number of ReadReq MSHR misses
+system.cpu0.dcache.ReadReq_mshr_misses::total 942620 # number of ReadReq MSHR misses
+system.cpu0.dcache.WriteReq_mshr_misses::cpu0.data 258040 # number of WriteReq MSHR misses
+system.cpu0.dcache.WriteReq_mshr_misses::total 258040 # number of WriteReq MSHR misses
+system.cpu0.dcache.LoadLockedReq_mshr_misses::cpu0.data 13696 # number of LoadLockedReq MSHR misses
+system.cpu0.dcache.LoadLockedReq_mshr_misses::total 13696 # number of LoadLockedReq MSHR misses
+system.cpu0.dcache.StoreCondReq_mshr_misses::cpu0.data 5452 # number of StoreCondReq MSHR misses
+system.cpu0.dcache.StoreCondReq_mshr_misses::total 5452 # number of StoreCondReq MSHR misses
+system.cpu0.dcache.demand_mshr_misses::cpu0.data 1200660 # number of demand (read+write) MSHR misses
+system.cpu0.dcache.demand_mshr_misses::total 1200660 # number of demand (read+write) MSHR misses
+system.cpu0.dcache.overall_mshr_misses::cpu0.data 1200660 # number of overall MSHR misses
+system.cpu0.dcache.overall_mshr_misses::total 1200660 # number of overall MSHR misses
+system.cpu0.dcache.ReadReq_mshr_miss_latency::cpu0.data 25222171750 # number of ReadReq MSHR miss cycles
+system.cpu0.dcache.ReadReq_mshr_miss_latency::total 25222171750 # number of ReadReq MSHR miss cycles
+system.cpu0.dcache.WriteReq_mshr_miss_latency::cpu0.data 9786377058 # number of WriteReq MSHR miss cycles
+system.cpu0.dcache.WriteReq_mshr_miss_latency::total 9786377058 # number of WriteReq MSHR miss cycles
+system.cpu0.dcache.LoadLockedReq_mshr_miss_latency::cpu0.data 122453500 # number of LoadLockedReq MSHR miss cycles
+system.cpu0.dcache.LoadLockedReq_mshr_miss_latency::total 122453500 # number of LoadLockedReq MSHR miss cycles
+system.cpu0.dcache.StoreCondReq_mshr_miss_latency::cpu0.data 31105611 # number of StoreCondReq MSHR miss cycles
+system.cpu0.dcache.StoreCondReq_mshr_miss_latency::total 31105611 # number of StoreCondReq MSHR miss cycles
+system.cpu0.dcache.demand_mshr_miss_latency::cpu0.data 35008548808 # number of demand (read+write) MSHR miss cycles
+system.cpu0.dcache.demand_mshr_miss_latency::total 35008548808 # number of demand (read+write) MSHR miss cycles
+system.cpu0.dcache.overall_mshr_miss_latency::cpu0.data 35008548808 # number of overall MSHR miss cycles
+system.cpu0.dcache.overall_mshr_miss_latency::total 35008548808 # number of overall MSHR miss cycles
+system.cpu0.dcache.ReadReq_mshr_uncacheable_latency::cpu0.data 1465625500 # number of ReadReq MSHR uncacheable cycles
+system.cpu0.dcache.ReadReq_mshr_uncacheable_latency::total 1465625500 # number of ReadReq MSHR uncacheable cycles
+system.cpu0.dcache.WriteReq_mshr_uncacheable_latency::cpu0.data 2277904000 # number of WriteReq MSHR uncacheable cycles
+system.cpu0.dcache.WriteReq_mshr_uncacheable_latency::total 2277904000 # number of WriteReq MSHR uncacheable cycles
+system.cpu0.dcache.overall_mshr_uncacheable_latency::cpu0.data 3743529500 # number of overall MSHR uncacheable cycles
+system.cpu0.dcache.overall_mshr_uncacheable_latency::total 3743529500 # number of overall MSHR uncacheable cycles
+system.cpu0.dcache.ReadReq_mshr_miss_rate::cpu0.data 0.127036 # mshr miss rate for ReadReq accesses
+system.cpu0.dcache.ReadReq_mshr_miss_rate::total 0.127036 # mshr miss rate for ReadReq accesses
+system.cpu0.dcache.WriteReq_mshr_miss_rate::cpu0.data 0.051717 # mshr miss rate for WriteReq accesses
+system.cpu0.dcache.WriteReq_mshr_miss_rate::total 0.051717 # mshr miss rate for WriteReq accesses
+system.cpu0.dcache.LoadLockedReq_mshr_miss_rate::cpu0.data 0.088214 # mshr miss rate for LoadLockedReq accesses
+system.cpu0.dcache.LoadLockedReq_mshr_miss_rate::total 0.088214 # mshr miss rate for LoadLockedReq accesses
+system.cpu0.dcache.StoreCondReq_mshr_miss_rate::cpu0.data 0.035241 # mshr miss rate for StoreCondReq accesses
+system.cpu0.dcache.StoreCondReq_mshr_miss_rate::total 0.035241 # mshr miss rate for StoreCondReq accesses
+system.cpu0.dcache.demand_mshr_miss_rate::cpu0.data 0.096753 # mshr miss rate for demand accesses
+system.cpu0.dcache.demand_mshr_miss_rate::total 0.096753 # mshr miss rate for demand accesses
+system.cpu0.dcache.overall_mshr_miss_rate::cpu0.data 0.096753 # mshr miss rate for overall accesses
+system.cpu0.dcache.overall_mshr_miss_rate::total 0.096753 # mshr miss rate for overall accesses
+system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::cpu0.data 26757.518141 # average ReadReq mshr miss latency
+system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::total 26757.518141 # average ReadReq mshr miss latency
+system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::cpu0.data 37925.814052 # average WriteReq mshr miss latency
+system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::total 37925.814052 # average WriteReq mshr miss latency
+system.cpu0.dcache.LoadLockedReq_avg_mshr_miss_latency::cpu0.data 8940.822138 # average LoadLockedReq mshr miss latency
+system.cpu0.dcache.LoadLockedReq_avg_mshr_miss_latency::total 8940.822138 # average LoadLockedReq mshr miss latency
+system.cpu0.dcache.StoreCondReq_avg_mshr_miss_latency::cpu0.data 5705.357850 # average StoreCondReq mshr miss latency
+system.cpu0.dcache.StoreCondReq_avg_mshr_miss_latency::total 5705.357850 # average StoreCondReq mshr miss latency
+system.cpu0.dcache.demand_avg_mshr_miss_latency::cpu0.data 29157.753909 # average overall mshr miss latency
+system.cpu0.dcache.demand_avg_mshr_miss_latency::total 29157.753909 # average overall mshr miss latency
+system.cpu0.dcache.overall_avg_mshr_miss_latency::cpu0.data 29157.753909 # average overall mshr miss latency
+system.cpu0.dcache.overall_avg_mshr_miss_latency::total 29157.753909 # average overall mshr miss latency
system.cpu0.dcache.ReadReq_avg_mshr_uncacheable_latency::cpu0.data inf # average ReadReq mshr uncacheable latency
system.cpu0.dcache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu0.dcache.WriteReq_avg_mshr_uncacheable_latency::cpu0.data inf # average WriteReq mshr uncacheable latency
@@ -1310,22 +1325,22 @@ system.cpu1.dtb.fetch_hits 0 # IT
system.cpu1.dtb.fetch_misses 0 # ITB misses
system.cpu1.dtb.fetch_acv 0 # ITB acv
system.cpu1.dtb.fetch_accesses 0 # ITB accesses
-system.cpu1.dtb.read_hits 3617054 # DTB read hits
+system.cpu1.dtb.read_hits 2348280 # DTB read hits
system.cpu1.dtb.read_misses 2620 # DTB read misses
system.cpu1.dtb.read_acv 0 # DTB read access violations
system.cpu1.dtb.read_accesses 205337 # DTB read accesses
-system.cpu1.dtb.write_hits 2433875 # DTB write hits
+system.cpu1.dtb.write_hits 1676993 # DTB write hits
system.cpu1.dtb.write_misses 235 # DTB write misses
system.cpu1.dtb.write_acv 24 # DTB write access violations
system.cpu1.dtb.write_accesses 89739 # DTB write accesses
-system.cpu1.dtb.data_hits 6050929 # DTB hits
+system.cpu1.dtb.data_hits 4025273 # DTB hits
system.cpu1.dtb.data_misses 2855 # DTB misses
system.cpu1.dtb.data_acv 24 # DTB access violations
system.cpu1.dtb.data_accesses 295076 # DTB accesses
-system.cpu1.itb.fetch_hits 1988100 # ITB hits
+system.cpu1.itb.fetch_hits 1801078 # ITB hits
system.cpu1.itb.fetch_misses 1064 # ITB misses
system.cpu1.itb.fetch_acv 0 # ITB acv
-system.cpu1.itb.fetch_accesses 1989164 # ITB accesses
+system.cpu1.itb.fetch_accesses 1802142 # ITB accesses
system.cpu1.itb.read_hits 0 # DTB read hits
system.cpu1.itb.read_misses 0 # DTB read misses
system.cpu1.itb.read_acv 0 # DTB read access violations
@@ -1338,87 +1353,87 @@ system.cpu1.itb.data_hits 0 # DT
system.cpu1.itb.data_misses 0 # DTB misses
system.cpu1.itb.data_acv 0 # DTB access violations
system.cpu1.itb.data_accesses 0 # DTB accesses
-system.cpu1.numCycles 3923841470 # number of cpu cycles simulated
+system.cpu1.numCycles 3921880878 # number of cpu cycles simulated
system.cpu1.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu1.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu1.committedInsts 21095754 # Number of instructions committed
-system.cpu1.committedOps 21095754 # Number of ops (including micro ops) committed
-system.cpu1.num_int_alu_accesses 19410964 # Number of integer alu accesses
-system.cpu1.num_fp_alu_accesses 175175 # Number of float alu accesses
-system.cpu1.num_func_calls 648514 # number of times a function call or return occured
-system.cpu1.num_conditional_control_insts 2286581 # number of instructions that are conditional controls
-system.cpu1.num_int_insts 19410964 # number of integer instructions
-system.cpu1.num_fp_insts 175175 # number of float instructions
-system.cpu1.num_int_register_reads 26520307 # number of times the integer registers were read
-system.cpu1.num_int_register_writes 14289908 # number of times the integer registers were written
-system.cpu1.num_fp_register_reads 90745 # number of times the floating registers were read
-system.cpu1.num_fp_register_writes 92744 # number of times the floating registers were written
-system.cpu1.num_mem_refs 6073169 # number of memory refs
-system.cpu1.num_load_insts 3630901 # Number of load instructions
-system.cpu1.num_store_insts 2442268 # Number of store instructions
-system.cpu1.num_idle_cycles 3837673362.965370 # Number of idle cycles
-system.cpu1.num_busy_cycles 86168107.034630 # Number of busy cycles
-system.cpu1.not_idle_fraction 0.021960 # Percentage of non-idle cycles
-system.cpu1.idle_fraction 0.978040 # Percentage of idle cycles
-system.cpu1.Branches 3165037 # Number of branches fetched
-system.cpu1.op_class::No_OpClass 1250062 5.92% 5.92% # Class of executed instruction
-system.cpu1.op_class::IntAlu 13186802 62.50% 68.43% # Class of executed instruction
-system.cpu1.op_class::IntMult 30198 0.14% 68.57% # Class of executed instruction
-system.cpu1.op_class::IntDiv 0 0.00% 68.57% # Class of executed instruction
-system.cpu1.op_class::FloatAdd 13644 0.06% 68.63% # Class of executed instruction
-system.cpu1.op_class::FloatCmp 0 0.00% 68.63% # Class of executed instruction
-system.cpu1.op_class::FloatCvt 0 0.00% 68.63% # Class of executed instruction
-system.cpu1.op_class::FloatMult 0 0.00% 68.63% # Class of executed instruction
-system.cpu1.op_class::FloatDiv 1759 0.01% 68.64% # Class of executed instruction
-system.cpu1.op_class::FloatSqrt 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdAdd 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdAddAcc 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdAlu 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdCmp 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdCvt 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdMisc 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdMult 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdMultAcc 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdShift 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdShiftAcc 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdSqrt 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdFloatAdd 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdFloatAlu 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdFloatCmp 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdFloatCvt 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdFloatDiv 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdFloatMisc 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdFloatMult 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdFloatMultAcc 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::SimdFloatSqrt 0 0.00% 68.64% # Class of executed instruction
-system.cpu1.op_class::MemRead 3726078 17.66% 86.30% # Class of executed instruction
-system.cpu1.op_class::MemWrite 2443288 11.58% 97.88% # Class of executed instruction
-system.cpu1.op_class::IprAccess 446802 2.12% 100.00% # Class of executed instruction
+system.cpu1.committedInsts 12764610 # Number of instructions committed
+system.cpu1.committedOps 12764610 # Number of ops (including micro ops) committed
+system.cpu1.num_int_alu_accesses 11762987 # Number of integer alu accesses
+system.cpu1.num_fp_alu_accesses 170364 # Number of float alu accesses
+system.cpu1.num_func_calls 404048 # number of times a function call or return occured
+system.cpu1.num_conditional_control_insts 1265459 # number of instructions that are conditional controls
+system.cpu1.num_int_insts 11762987 # number of integer instructions
+system.cpu1.num_fp_insts 170364 # number of float instructions
+system.cpu1.num_int_register_reads 16177090 # number of times the integer registers were read
+system.cpu1.num_int_register_writes 8656212 # number of times the integer registers were written
+system.cpu1.num_fp_register_reads 88600 # number of times the floating registers were read
+system.cpu1.num_fp_register_writes 90534 # number of times the floating registers were written
+system.cpu1.num_mem_refs 4047820 # number of memory refs
+system.cpu1.num_load_insts 2361802 # Number of load instructions
+system.cpu1.num_store_insts 1686018 # Number of store instructions
+system.cpu1.num_idle_cycles 3873240792.459649 # Number of idle cycles
+system.cpu1.num_busy_cycles 48640085.540351 # Number of busy cycles
+system.cpu1.not_idle_fraction 0.012402 # Percentage of non-idle cycles
+system.cpu1.idle_fraction 0.987598 # Percentage of idle cycles
+system.cpu1.Branches 1821460 # Number of branches fetched
+system.cpu1.op_class::No_OpClass 690637 5.41% 5.41% # Class of executed instruction
+system.cpu1.op_class::IntAlu 7566798 59.27% 64.68% # Class of executed instruction
+system.cpu1.op_class::IntMult 21839 0.17% 64.85% # Class of executed instruction
+system.cpu1.op_class::IntDiv 0 0.00% 64.85% # Class of executed instruction
+system.cpu1.op_class::FloatAdd 13058 0.10% 64.95% # Class of executed instruction
+system.cpu1.op_class::FloatCmp 0 0.00% 64.95% # Class of executed instruction
+system.cpu1.op_class::FloatCvt 0 0.00% 64.95% # Class of executed instruction
+system.cpu1.op_class::FloatMult 0 0.00% 64.95% # Class of executed instruction
+system.cpu1.op_class::FloatDiv 1759 0.01% 64.96% # Class of executed instruction
+system.cpu1.op_class::FloatSqrt 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdAdd 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdAddAcc 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdAlu 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdCmp 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdCvt 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdMisc 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdMult 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdMultAcc 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdShift 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdShiftAcc 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdSqrt 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdFloatAdd 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdFloatAlu 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdFloatCmp 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdFloatCvt 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdFloatDiv 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdFloatMisc 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdFloatMult 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdFloatMultAcc 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::SimdFloatSqrt 0 0.00% 64.96% # Class of executed instruction
+system.cpu1.op_class::MemRead 2432293 19.05% 84.01% # Class of executed instruction
+system.cpu1.op_class::MemWrite 1686990 13.21% 97.23% # Class of executed instruction
+system.cpu1.op_class::IprAccess 354115 2.77% 100.00% # Class of executed instruction
system.cpu1.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu1.op_class::total 21098633 # Class of executed instruction
+system.cpu1.op_class::total 12767489 # Class of executed instruction
system.cpu1.kern.inst.arm 0 # number of arm instructions executed
-system.cpu1.kern.inst.quiesce 3863 # number of quiesce instructions executed
-system.cpu1.kern.inst.hwrei 100733 # number of hwrei instructions executed
-system.cpu1.kern.ipl_count::0 37218 40.29% 40.29% # number of times we switched to this ipl
-system.cpu1.kern.ipl_count::22 1970 2.13% 42.42% # number of times we switched to this ipl
-system.cpu1.kern.ipl_count::30 86 0.09% 42.51% # number of times we switched to this ipl
-system.cpu1.kern.ipl_count::31 53108 57.49% 100.00% # number of times we switched to this ipl
-system.cpu1.kern.ipl_count::total 92382 # number of times we switched to this ipl
-system.cpu1.kern.ipl_good::0 36366 48.68% 48.68% # number of times we switched to this ipl from a different ipl
-system.cpu1.kern.ipl_good::22 1970 2.64% 51.32% # number of times we switched to this ipl from a different ipl
-system.cpu1.kern.ipl_good::30 86 0.12% 51.43% # number of times we switched to this ipl from a different ipl
-system.cpu1.kern.ipl_good::31 36280 48.57% 100.00% # number of times we switched to this ipl from a different ipl
-system.cpu1.kern.ipl_good::total 74702 # number of times we switched to this ipl from a different ipl
-system.cpu1.kern.ipl_ticks::0 1906657223000 97.18% 97.18% # number of cycles we spent at this ipl
-system.cpu1.kern.ipl_ticks::22 706239500 0.04% 97.22% # number of cycles we spent at this ipl
-system.cpu1.kern.ipl_ticks::30 59367000 0.00% 97.22% # number of cycles we spent at this ipl
-system.cpu1.kern.ipl_ticks::31 54497875500 2.78% 100.00% # number of cycles we spent at this ipl
-system.cpu1.kern.ipl_ticks::total 1961920705000 # number of cycles we spent at this ipl
-system.cpu1.kern.ipl_used::0 0.977108 # fraction of swpipl calls that actually changed the ipl
+system.cpu1.kern.inst.quiesce 2740 # number of quiesce instructions executed
+system.cpu1.kern.inst.hwrei 77083 # number of hwrei instructions executed
+system.cpu1.kern.ipl_count::0 26133 38.19% 38.19% # number of times we switched to this ipl
+system.cpu1.kern.ipl_count::22 1969 2.88% 41.07% # number of times we switched to this ipl
+system.cpu1.kern.ipl_count::30 506 0.74% 41.81% # number of times we switched to this ipl
+system.cpu1.kern.ipl_count::31 39822 58.19% 100.00% # number of times we switched to this ipl
+system.cpu1.kern.ipl_count::total 68430 # number of times we switched to this ipl
+system.cpu1.kern.ipl_good::0 25289 48.13% 48.13% # number of times we switched to this ipl from a different ipl
+system.cpu1.kern.ipl_good::22 1969 3.75% 51.87% # number of times we switched to this ipl from a different ipl
+system.cpu1.kern.ipl_good::30 506 0.96% 52.84% # number of times we switched to this ipl from a different ipl
+system.cpu1.kern.ipl_good::31 24783 47.16% 100.00% # number of times we switched to this ipl from a different ipl
+system.cpu1.kern.ipl_good::total 52547 # number of times we switched to this ipl from a different ipl
+system.cpu1.kern.ipl_ticks::0 1909614154000 97.38% 97.38% # number of cycles we spent at this ipl
+system.cpu1.kern.ipl_ticks::22 700846000 0.04% 97.42% # number of cycles we spent at this ipl
+system.cpu1.kern.ipl_ticks::30 353816000 0.02% 97.44% # number of cycles we spent at this ipl
+system.cpu1.kern.ipl_ticks::31 50271593000 2.56% 100.00% # number of cycles we spent at this ipl
+system.cpu1.kern.ipl_ticks::total 1960940409000 # number of cycles we spent at this ipl
+system.cpu1.kern.ipl_used::0 0.967704 # fraction of swpipl calls that actually changed the ipl
system.cpu1.kern.ipl_used::22 1 # fraction of swpipl calls that actually changed the ipl
system.cpu1.kern.ipl_used::30 1 # fraction of swpipl calls that actually changed the ipl
-system.cpu1.kern.ipl_used::31 0.683136 # fraction of swpipl calls that actually changed the ipl
-system.cpu1.kern.ipl_used::total 0.808621 # fraction of swpipl calls that actually changed the ipl
+system.cpu1.kern.ipl_used::31 0.622344 # fraction of swpipl calls that actually changed the ipl
+system.cpu1.kern.ipl_used::total 0.767894 # fraction of swpipl calls that actually changed the ipl
system.cpu1.kern.syscall::3 10 10.87% 10.87% # number of syscalls executed
system.cpu1.kern.syscall::6 9 9.78% 20.65% # number of syscalls executed
system.cpu1.kern.syscall::15 1 1.09% 21.74% # number of syscalls executed
@@ -1434,87 +1449,87 @@ system.cpu1.kern.syscall::74 9 9.78% 96.74% # nu
system.cpu1.kern.syscall::132 3 3.26% 100.00% # number of syscalls executed
system.cpu1.kern.syscall::total 92 # number of syscalls executed
system.cpu1.kern.callpal::cserve 1 0.00% 0.00% # number of callpals executed
-system.cpu1.kern.callpal::wripir 16 0.02% 0.02% # number of callpals executed
-system.cpu1.kern.callpal::wrmces 1 0.00% 0.02% # number of callpals executed
-system.cpu1.kern.callpal::wrfen 1 0.00% 0.02% # number of callpals executed
-system.cpu1.kern.callpal::swpctx 2020 2.13% 2.15% # number of callpals executed
-system.cpu1.kern.callpal::tbi 3 0.00% 2.16% # number of callpals executed
-system.cpu1.kern.callpal::wrent 7 0.01% 2.16% # number of callpals executed
-system.cpu1.kern.callpal::swpipl 87059 91.90% 94.06% # number of callpals executed
-system.cpu1.kern.callpal::rdps 2187 2.31% 96.37% # number of callpals executed
-system.cpu1.kern.callpal::wrkgp 1 0.00% 96.37% # number of callpals executed
-system.cpu1.kern.callpal::wrusp 3 0.00% 96.38% # number of callpals executed
-system.cpu1.kern.callpal::whami 3 0.00% 96.38% # number of callpals executed
-system.cpu1.kern.callpal::rti 3266 3.45% 99.83% # number of callpals executed
-system.cpu1.kern.callpal::callsys 121 0.13% 99.95% # number of callpals executed
-system.cpu1.kern.callpal::imb 42 0.04% 100.00% # number of callpals executed
+system.cpu1.kern.callpal::wripir 424 0.60% 0.60% # number of callpals executed
+system.cpu1.kern.callpal::wrmces 1 0.00% 0.60% # number of callpals executed
+system.cpu1.kern.callpal::wrfen 1 0.00% 0.60% # number of callpals executed
+system.cpu1.kern.callpal::swpctx 1955 2.77% 3.37% # number of callpals executed
+system.cpu1.kern.callpal::tbi 3 0.00% 3.38% # number of callpals executed
+system.cpu1.kern.callpal::wrent 7 0.01% 3.39% # number of callpals executed
+system.cpu1.kern.callpal::swpipl 62269 88.12% 91.51% # number of callpals executed
+system.cpu1.kern.callpal::rdps 2146 3.04% 94.54% # number of callpals executed
+system.cpu1.kern.callpal::wrkgp 1 0.00% 94.54% # number of callpals executed
+system.cpu1.kern.callpal::wrusp 3 0.00% 94.55% # number of callpals executed
+system.cpu1.kern.callpal::whami 3 0.00% 94.55% # number of callpals executed
+system.cpu1.kern.callpal::rti 3685 5.21% 99.77% # number of callpals executed
+system.cpu1.kern.callpal::callsys 121 0.17% 99.94% # number of callpals executed
+system.cpu1.kern.callpal::imb 42 0.06% 100.00% # number of callpals executed
system.cpu1.kern.callpal::rdunique 1 0.00% 100.00% # number of callpals executed
-system.cpu1.kern.callpal::total 94732 # number of callpals executed
-system.cpu1.kern.mode_switch::kernel 2415 # number of protection mode switches
+system.cpu1.kern.callpal::total 70663 # number of callpals executed
+system.cpu1.kern.mode_switch::kernel 1918 # number of protection mode switches
system.cpu1.kern.mode_switch::user 367 # number of protection mode switches
-system.cpu1.kern.mode_switch::idle 2037 # number of protection mode switches
-system.cpu1.kern.mode_good::kernel 415
+system.cpu1.kern.mode_switch::idle 2888 # number of protection mode switches
+system.cpu1.kern.mode_good::kernel 797
system.cpu1.kern.mode_good::user 367
-system.cpu1.kern.mode_good::idle 48
-system.cpu1.kern.mode_switch_good::kernel 0.171843 # fraction of useful protection mode switches
+system.cpu1.kern.mode_good::idle 430
+system.cpu1.kern.mode_switch_good::kernel 0.415537 # fraction of useful protection mode switches
system.cpu1.kern.mode_switch_good::user 1 # fraction of useful protection mode switches
-system.cpu1.kern.mode_switch_good::idle 0.023564 # fraction of useful protection mode switches
-system.cpu1.kern.mode_switch_good::total 0.172235 # fraction of useful protection mode switches
-system.cpu1.kern.mode_ticks::kernel 65779284000 3.35% 3.35% # number of ticks spent at the given mode
-system.cpu1.kern.mode_ticks::user 1486343500 0.08% 3.43% # number of ticks spent at the given mode
-system.cpu1.kern.mode_ticks::idle 1893759051500 96.57% 100.00% # number of ticks spent at the given mode
-system.cpu1.kern.swap_context 2021 # number of times the context was actually changed
-system.cpu1.icache.tags.replacements 463035 # number of replacements
-system.cpu1.icache.tags.tagsinuse 500.061178 # Cycle average of tags in use
-system.cpu1.icache.tags.total_refs 20635046 # Total number of references to valid blocks.
-system.cpu1.icache.tags.sampled_refs 463547 # Sample count of references to valid blocks.
-system.cpu1.icache.tags.avg_refs 44.515542 # Average number of references to valid blocks.
-system.cpu1.icache.tags.warmup_cycle 97712638250 # Cycle when the warmup percentage was hit.
-system.cpu1.icache.tags.occ_blocks::cpu1.inst 500.061178 # Average occupied blocks per requestor
-system.cpu1.icache.tags.occ_percent::cpu1.inst 0.976682 # Average percentage of cache occupancy
-system.cpu1.icache.tags.occ_percent::total 0.976682 # Average percentage of cache occupancy
-system.cpu1.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu1.icache.tags.age_task_id_blocks_1024::2 108 # Occupied blocks per task id
-system.cpu1.icache.tags.age_task_id_blocks_1024::3 404 # Occupied blocks per task id
-system.cpu1.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu1.icache.tags.tag_accesses 21562220 # Number of tag accesses
-system.cpu1.icache.tags.data_accesses 21562220 # Number of data accesses
-system.cpu1.icache.ReadReq_hits::cpu1.inst 20635046 # number of ReadReq hits
-system.cpu1.icache.ReadReq_hits::total 20635046 # number of ReadReq hits
-system.cpu1.icache.demand_hits::cpu1.inst 20635046 # number of demand (read+write) hits
-system.cpu1.icache.demand_hits::total 20635046 # number of demand (read+write) hits
-system.cpu1.icache.overall_hits::cpu1.inst 20635046 # number of overall hits
-system.cpu1.icache.overall_hits::total 20635046 # number of overall hits
-system.cpu1.icache.ReadReq_misses::cpu1.inst 463587 # number of ReadReq misses
-system.cpu1.icache.ReadReq_misses::total 463587 # number of ReadReq misses
-system.cpu1.icache.demand_misses::cpu1.inst 463587 # number of demand (read+write) misses
-system.cpu1.icache.demand_misses::total 463587 # number of demand (read+write) misses
-system.cpu1.icache.overall_misses::cpu1.inst 463587 # number of overall misses
-system.cpu1.icache.overall_misses::total 463587 # number of overall misses
-system.cpu1.icache.ReadReq_miss_latency::cpu1.inst 6202855739 # number of ReadReq miss cycles
-system.cpu1.icache.ReadReq_miss_latency::total 6202855739 # number of ReadReq miss cycles
-system.cpu1.icache.demand_miss_latency::cpu1.inst 6202855739 # number of demand (read+write) miss cycles
-system.cpu1.icache.demand_miss_latency::total 6202855739 # number of demand (read+write) miss cycles
-system.cpu1.icache.overall_miss_latency::cpu1.inst 6202855739 # number of overall miss cycles
-system.cpu1.icache.overall_miss_latency::total 6202855739 # number of overall miss cycles
-system.cpu1.icache.ReadReq_accesses::cpu1.inst 21098633 # number of ReadReq accesses(hits+misses)
-system.cpu1.icache.ReadReq_accesses::total 21098633 # number of ReadReq accesses(hits+misses)
-system.cpu1.icache.demand_accesses::cpu1.inst 21098633 # number of demand (read+write) accesses
-system.cpu1.icache.demand_accesses::total 21098633 # number of demand (read+write) accesses
-system.cpu1.icache.overall_accesses::cpu1.inst 21098633 # number of overall (read+write) accesses
-system.cpu1.icache.overall_accesses::total 21098633 # number of overall (read+write) accesses
-system.cpu1.icache.ReadReq_miss_rate::cpu1.inst 0.021972 # miss rate for ReadReq accesses
-system.cpu1.icache.ReadReq_miss_rate::total 0.021972 # miss rate for ReadReq accesses
-system.cpu1.icache.demand_miss_rate::cpu1.inst 0.021972 # miss rate for demand accesses
-system.cpu1.icache.demand_miss_rate::total 0.021972 # miss rate for demand accesses
-system.cpu1.icache.overall_miss_rate::cpu1.inst 0.021972 # miss rate for overall accesses
-system.cpu1.icache.overall_miss_rate::total 0.021972 # miss rate for overall accesses
-system.cpu1.icache.ReadReq_avg_miss_latency::cpu1.inst 13380.133047 # average ReadReq miss latency
-system.cpu1.icache.ReadReq_avg_miss_latency::total 13380.133047 # average ReadReq miss latency
-system.cpu1.icache.demand_avg_miss_latency::cpu1.inst 13380.133047 # average overall miss latency
-system.cpu1.icache.demand_avg_miss_latency::total 13380.133047 # average overall miss latency
-system.cpu1.icache.overall_avg_miss_latency::cpu1.inst 13380.133047 # average overall miss latency
-system.cpu1.icache.overall_avg_miss_latency::total 13380.133047 # average overall miss latency
+system.cpu1.kern.mode_switch_good::idle 0.148892 # fraction of useful protection mode switches
+system.cpu1.kern.mode_switch_good::total 0.308138 # fraction of useful protection mode switches
+system.cpu1.kern.mode_ticks::kernel 17565031500 0.90% 0.90% # number of ticks spent at the given mode
+system.cpu1.kern.mode_ticks::user 1483893000 0.08% 0.97% # number of ticks spent at the given mode
+system.cpu1.kern.mode_ticks::idle 1941003590000 99.03% 100.00% # number of ticks spent at the given mode
+system.cpu1.kern.swap_context 1956 # number of times the context was actually changed
+system.cpu1.icache.tags.replacements 311453 # number of replacements
+system.cpu1.icache.tags.tagsinuse 446.345950 # Cycle average of tags in use
+system.cpu1.icache.tags.total_refs 12455485 # Total number of references to valid blocks.
+system.cpu1.icache.tags.sampled_refs 311964 # Sample count of references to valid blocks.
+system.cpu1.icache.tags.avg_refs 39.926033 # Average number of references to valid blocks.
+system.cpu1.icache.tags.warmup_cycle 1960014862500 # Cycle when the warmup percentage was hit.
+system.cpu1.icache.tags.occ_blocks::cpu1.inst 446.345950 # Average occupied blocks per requestor
+system.cpu1.icache.tags.occ_percent::cpu1.inst 0.871769 # Average percentage of cache occupancy
+system.cpu1.icache.tags.occ_percent::total 0.871769 # Average percentage of cache occupancy
+system.cpu1.icache.tags.occ_task_id_blocks::1024 511 # Occupied blocks per task id
+system.cpu1.icache.tags.age_task_id_blocks_1024::2 71 # Occupied blocks per task id
+system.cpu1.icache.tags.age_task_id_blocks_1024::3 440 # Occupied blocks per task id
+system.cpu1.icache.tags.occ_task_id_percent::1024 0.998047 # Percentage of cache occupancy per task id
+system.cpu1.icache.tags.tag_accesses 13079493 # Number of tag accesses
+system.cpu1.icache.tags.data_accesses 13079493 # Number of data accesses
+system.cpu1.icache.ReadReq_hits::cpu1.inst 12455485 # number of ReadReq hits
+system.cpu1.icache.ReadReq_hits::total 12455485 # number of ReadReq hits
+system.cpu1.icache.demand_hits::cpu1.inst 12455485 # number of demand (read+write) hits
+system.cpu1.icache.demand_hits::total 12455485 # number of demand (read+write) hits
+system.cpu1.icache.overall_hits::cpu1.inst 12455485 # number of overall hits
+system.cpu1.icache.overall_hits::total 12455485 # number of overall hits
+system.cpu1.icache.ReadReq_misses::cpu1.inst 312004 # number of ReadReq misses
+system.cpu1.icache.ReadReq_misses::total 312004 # number of ReadReq misses
+system.cpu1.icache.demand_misses::cpu1.inst 312004 # number of demand (read+write) misses
+system.cpu1.icache.demand_misses::total 312004 # number of demand (read+write) misses
+system.cpu1.icache.overall_misses::cpu1.inst 312004 # number of overall misses
+system.cpu1.icache.overall_misses::total 312004 # number of overall misses
+system.cpu1.icache.ReadReq_miss_latency::cpu1.inst 4105450991 # number of ReadReq miss cycles
+system.cpu1.icache.ReadReq_miss_latency::total 4105450991 # number of ReadReq miss cycles
+system.cpu1.icache.demand_miss_latency::cpu1.inst 4105450991 # number of demand (read+write) miss cycles
+system.cpu1.icache.demand_miss_latency::total 4105450991 # number of demand (read+write) miss cycles
+system.cpu1.icache.overall_miss_latency::cpu1.inst 4105450991 # number of overall miss cycles
+system.cpu1.icache.overall_miss_latency::total 4105450991 # number of overall miss cycles
+system.cpu1.icache.ReadReq_accesses::cpu1.inst 12767489 # number of ReadReq accesses(hits+misses)
+system.cpu1.icache.ReadReq_accesses::total 12767489 # number of ReadReq accesses(hits+misses)
+system.cpu1.icache.demand_accesses::cpu1.inst 12767489 # number of demand (read+write) accesses
+system.cpu1.icache.demand_accesses::total 12767489 # number of demand (read+write) accesses
+system.cpu1.icache.overall_accesses::cpu1.inst 12767489 # number of overall (read+write) accesses
+system.cpu1.icache.overall_accesses::total 12767489 # number of overall (read+write) accesses
+system.cpu1.icache.ReadReq_miss_rate::cpu1.inst 0.024437 # miss rate for ReadReq accesses
+system.cpu1.icache.ReadReq_miss_rate::total 0.024437 # miss rate for ReadReq accesses
+system.cpu1.icache.demand_miss_rate::cpu1.inst 0.024437 # miss rate for demand accesses
+system.cpu1.icache.demand_miss_rate::total 0.024437 # miss rate for demand accesses
+system.cpu1.icache.overall_miss_rate::cpu1.inst 0.024437 # miss rate for overall accesses
+system.cpu1.icache.overall_miss_rate::total 0.024437 # miss rate for overall accesses
+system.cpu1.icache.ReadReq_avg_miss_latency::cpu1.inst 13158.328070 # average ReadReq miss latency
+system.cpu1.icache.ReadReq_avg_miss_latency::total 13158.328070 # average ReadReq miss latency
+system.cpu1.icache.demand_avg_miss_latency::cpu1.inst 13158.328070 # average overall miss latency
+system.cpu1.icache.demand_avg_miss_latency::total 13158.328070 # average overall miss latency
+system.cpu1.icache.overall_avg_miss_latency::cpu1.inst 13158.328070 # average overall miss latency
+system.cpu1.icache.overall_avg_miss_latency::total 13158.328070 # average overall miss latency
system.cpu1.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu1.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu1.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -1523,118 +1538,118 @@ system.cpu1.icache.avg_blocked_cycles::no_mshrs nan
system.cpu1.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu1.icache.fast_writes 0 # number of fast writes performed
system.cpu1.icache.cache_copies 0 # number of cache copies performed
-system.cpu1.icache.ReadReq_mshr_misses::cpu1.inst 463587 # number of ReadReq MSHR misses
-system.cpu1.icache.ReadReq_mshr_misses::total 463587 # number of ReadReq MSHR misses
-system.cpu1.icache.demand_mshr_misses::cpu1.inst 463587 # number of demand (read+write) MSHR misses
-system.cpu1.icache.demand_mshr_misses::total 463587 # number of demand (read+write) MSHR misses
-system.cpu1.icache.overall_mshr_misses::cpu1.inst 463587 # number of overall MSHR misses
-system.cpu1.icache.overall_mshr_misses::total 463587 # number of overall MSHR misses
-system.cpu1.icache.ReadReq_mshr_miss_latency::cpu1.inst 5274833261 # number of ReadReq MSHR miss cycles
-system.cpu1.icache.ReadReq_mshr_miss_latency::total 5274833261 # number of ReadReq MSHR miss cycles
-system.cpu1.icache.demand_mshr_miss_latency::cpu1.inst 5274833261 # number of demand (read+write) MSHR miss cycles
-system.cpu1.icache.demand_mshr_miss_latency::total 5274833261 # number of demand (read+write) MSHR miss cycles
-system.cpu1.icache.overall_mshr_miss_latency::cpu1.inst 5274833261 # number of overall MSHR miss cycles
-system.cpu1.icache.overall_mshr_miss_latency::total 5274833261 # number of overall MSHR miss cycles
-system.cpu1.icache.ReadReq_mshr_miss_rate::cpu1.inst 0.021972 # mshr miss rate for ReadReq accesses
-system.cpu1.icache.ReadReq_mshr_miss_rate::total 0.021972 # mshr miss rate for ReadReq accesses
-system.cpu1.icache.demand_mshr_miss_rate::cpu1.inst 0.021972 # mshr miss rate for demand accesses
-system.cpu1.icache.demand_mshr_miss_rate::total 0.021972 # mshr miss rate for demand accesses
-system.cpu1.icache.overall_mshr_miss_rate::cpu1.inst 0.021972 # mshr miss rate for overall accesses
-system.cpu1.icache.overall_mshr_miss_rate::total 0.021972 # mshr miss rate for overall accesses
-system.cpu1.icache.ReadReq_avg_mshr_miss_latency::cpu1.inst 11378.302802 # average ReadReq mshr miss latency
-system.cpu1.icache.ReadReq_avg_mshr_miss_latency::total 11378.302802 # average ReadReq mshr miss latency
-system.cpu1.icache.demand_avg_mshr_miss_latency::cpu1.inst 11378.302802 # average overall mshr miss latency
-system.cpu1.icache.demand_avg_mshr_miss_latency::total 11378.302802 # average overall mshr miss latency
-system.cpu1.icache.overall_avg_mshr_miss_latency::cpu1.inst 11378.302802 # average overall mshr miss latency
-system.cpu1.icache.overall_avg_mshr_miss_latency::total 11378.302802 # average overall mshr miss latency
+system.cpu1.icache.ReadReq_mshr_misses::cpu1.inst 312004 # number of ReadReq MSHR misses
+system.cpu1.icache.ReadReq_mshr_misses::total 312004 # number of ReadReq MSHR misses
+system.cpu1.icache.demand_mshr_misses::cpu1.inst 312004 # number of demand (read+write) MSHR misses
+system.cpu1.icache.demand_mshr_misses::total 312004 # number of demand (read+write) MSHR misses
+system.cpu1.icache.overall_mshr_misses::cpu1.inst 312004 # number of overall MSHR misses
+system.cpu1.icache.overall_mshr_misses::total 312004 # number of overall MSHR misses
+system.cpu1.icache.ReadReq_mshr_miss_latency::cpu1.inst 3481247009 # number of ReadReq MSHR miss cycles
+system.cpu1.icache.ReadReq_mshr_miss_latency::total 3481247009 # number of ReadReq MSHR miss cycles
+system.cpu1.icache.demand_mshr_miss_latency::cpu1.inst 3481247009 # number of demand (read+write) MSHR miss cycles
+system.cpu1.icache.demand_mshr_miss_latency::total 3481247009 # number of demand (read+write) MSHR miss cycles
+system.cpu1.icache.overall_mshr_miss_latency::cpu1.inst 3481247009 # number of overall MSHR miss cycles
+system.cpu1.icache.overall_mshr_miss_latency::total 3481247009 # number of overall MSHR miss cycles
+system.cpu1.icache.ReadReq_mshr_miss_rate::cpu1.inst 0.024437 # mshr miss rate for ReadReq accesses
+system.cpu1.icache.ReadReq_mshr_miss_rate::total 0.024437 # mshr miss rate for ReadReq accesses
+system.cpu1.icache.demand_mshr_miss_rate::cpu1.inst 0.024437 # mshr miss rate for demand accesses
+system.cpu1.icache.demand_mshr_miss_rate::total 0.024437 # mshr miss rate for demand accesses
+system.cpu1.icache.overall_mshr_miss_rate::cpu1.inst 0.024437 # mshr miss rate for overall accesses
+system.cpu1.icache.overall_mshr_miss_rate::total 0.024437 # mshr miss rate for overall accesses
+system.cpu1.icache.ReadReq_avg_mshr_miss_latency::cpu1.inst 11157.699930 # average ReadReq mshr miss latency
+system.cpu1.icache.ReadReq_avg_mshr_miss_latency::total 11157.699930 # average ReadReq mshr miss latency
+system.cpu1.icache.demand_avg_mshr_miss_latency::cpu1.inst 11157.699930 # average overall mshr miss latency
+system.cpu1.icache.demand_avg_mshr_miss_latency::total 11157.699930 # average overall mshr miss latency
+system.cpu1.icache.overall_avg_mshr_miss_latency::cpu1.inst 11157.699930 # average overall mshr miss latency
+system.cpu1.icache.overall_avg_mshr_miss_latency::total 11157.699930 # average overall mshr miss latency
system.cpu1.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu1.dcache.tags.replacements 581700 # number of replacements
-system.cpu1.dcache.tags.tagsinuse 492.027042 # Cycle average of tags in use
-system.cpu1.dcache.tags.total_refs 5462019 # Total number of references to valid blocks.
-system.cpu1.dcache.tags.sampled_refs 582040 # Sample count of references to valid blocks.
-system.cpu1.dcache.tags.avg_refs 9.384267 # Average number of references to valid blocks.
-system.cpu1.dcache.tags.warmup_cycle 61159690250 # Cycle when the warmup percentage was hit.
-system.cpu1.dcache.tags.occ_blocks::cpu1.data 492.027042 # Average occupied blocks per requestor
-system.cpu1.dcache.tags.occ_percent::cpu1.data 0.960990 # Average percentage of cache occupancy
-system.cpu1.dcache.tags.occ_percent::total 0.960990 # Average percentage of cache occupancy
-system.cpu1.dcache.tags.occ_task_id_blocks::1024 340 # Occupied blocks per task id
-system.cpu1.dcache.tags.age_task_id_blocks_1024::2 42 # Occupied blocks per task id
-system.cpu1.dcache.tags.age_task_id_blocks_1024::3 298 # Occupied blocks per task id
-system.cpu1.dcache.tags.occ_task_id_percent::1024 0.664062 # Percentage of cache occupancy per task id
-system.cpu1.dcache.tags.tag_accesses 24828314 # Number of tag accesses
-system.cpu1.dcache.tags.data_accesses 24828314 # Number of data accesses
-system.cpu1.dcache.ReadReq_hits::cpu1.data 3080149 # number of ReadReq hits
-system.cpu1.dcache.ReadReq_hits::total 3080149 # number of ReadReq hits
-system.cpu1.dcache.WriteReq_hits::cpu1.data 2259986 # number of WriteReq hits
-system.cpu1.dcache.WriteReq_hits::total 2259986 # number of WriteReq hits
-system.cpu1.dcache.LoadLockedReq_hits::cpu1.data 60927 # number of LoadLockedReq hits
-system.cpu1.dcache.LoadLockedReq_hits::total 60927 # number of LoadLockedReq hits
-system.cpu1.dcache.StoreCondReq_hits::cpu1.data 71555 # number of StoreCondReq hits
-system.cpu1.dcache.StoreCondReq_hits::total 71555 # number of StoreCondReq hits
-system.cpu1.dcache.demand_hits::cpu1.data 5340135 # number of demand (read+write) hits
-system.cpu1.dcache.demand_hits::total 5340135 # number of demand (read+write) hits
-system.cpu1.dcache.overall_hits::cpu1.data 5340135 # number of overall hits
-system.cpu1.dcache.overall_hits::total 5340135 # number of overall hits
-system.cpu1.dcache.ReadReq_misses::cpu1.data 473178 # number of ReadReq misses
-system.cpu1.dcache.ReadReq_misses::total 473178 # number of ReadReq misses
-system.cpu1.dcache.WriteReq_misses::cpu1.data 102501 # number of WriteReq misses
-system.cpu1.dcache.WriteReq_misses::total 102501 # number of WriteReq misses
-system.cpu1.dcache.LoadLockedReq_misses::cpu1.data 11671 # number of LoadLockedReq misses
-system.cpu1.dcache.LoadLockedReq_misses::total 11671 # number of LoadLockedReq misses
-system.cpu1.dcache.StoreCondReq_misses::cpu1.data 568 # number of StoreCondReq misses
-system.cpu1.dcache.StoreCondReq_misses::total 568 # number of StoreCondReq misses
-system.cpu1.dcache.demand_misses::cpu1.data 575679 # number of demand (read+write) misses
-system.cpu1.dcache.demand_misses::total 575679 # number of demand (read+write) misses
-system.cpu1.dcache.overall_misses::cpu1.data 575679 # number of overall misses
-system.cpu1.dcache.overall_misses::total 575679 # number of overall misses
-system.cpu1.dcache.ReadReq_miss_latency::cpu1.data 5938208750 # number of ReadReq miss cycles
-system.cpu1.dcache.ReadReq_miss_latency::total 5938208750 # number of ReadReq miss cycles
-system.cpu1.dcache.WriteReq_miss_latency::cpu1.data 2338814234 # number of WriteReq miss cycles
-system.cpu1.dcache.WriteReq_miss_latency::total 2338814234 # number of WriteReq miss cycles
-system.cpu1.dcache.LoadLockedReq_miss_latency::cpu1.data 149892750 # number of LoadLockedReq miss cycles
-system.cpu1.dcache.LoadLockedReq_miss_latency::total 149892750 # number of LoadLockedReq miss cycles
-system.cpu1.dcache.StoreCondReq_miss_latency::cpu1.data 4181580 # number of StoreCondReq miss cycles
-system.cpu1.dcache.StoreCondReq_miss_latency::total 4181580 # number of StoreCondReq miss cycles
-system.cpu1.dcache.demand_miss_latency::cpu1.data 8277022984 # number of demand (read+write) miss cycles
-system.cpu1.dcache.demand_miss_latency::total 8277022984 # number of demand (read+write) miss cycles
-system.cpu1.dcache.overall_miss_latency::cpu1.data 8277022984 # number of overall miss cycles
-system.cpu1.dcache.overall_miss_latency::total 8277022984 # number of overall miss cycles
-system.cpu1.dcache.ReadReq_accesses::cpu1.data 3553327 # number of ReadReq accesses(hits+misses)
-system.cpu1.dcache.ReadReq_accesses::total 3553327 # number of ReadReq accesses(hits+misses)
-system.cpu1.dcache.WriteReq_accesses::cpu1.data 2362487 # number of WriteReq accesses(hits+misses)
-system.cpu1.dcache.WriteReq_accesses::total 2362487 # number of WriteReq accesses(hits+misses)
-system.cpu1.dcache.LoadLockedReq_accesses::cpu1.data 72598 # number of LoadLockedReq accesses(hits+misses)
-system.cpu1.dcache.LoadLockedReq_accesses::total 72598 # number of LoadLockedReq accesses(hits+misses)
-system.cpu1.dcache.StoreCondReq_accesses::cpu1.data 72123 # number of StoreCondReq accesses(hits+misses)
-system.cpu1.dcache.StoreCondReq_accesses::total 72123 # number of StoreCondReq accesses(hits+misses)
-system.cpu1.dcache.demand_accesses::cpu1.data 5915814 # number of demand (read+write) accesses
-system.cpu1.dcache.demand_accesses::total 5915814 # number of demand (read+write) accesses
-system.cpu1.dcache.overall_accesses::cpu1.data 5915814 # number of overall (read+write) accesses
-system.cpu1.dcache.overall_accesses::total 5915814 # number of overall (read+write) accesses
-system.cpu1.dcache.ReadReq_miss_rate::cpu1.data 0.133165 # miss rate for ReadReq accesses
-system.cpu1.dcache.ReadReq_miss_rate::total 0.133165 # miss rate for ReadReq accesses
-system.cpu1.dcache.WriteReq_miss_rate::cpu1.data 0.043387 # miss rate for WriteReq accesses
-system.cpu1.dcache.WriteReq_miss_rate::total 0.043387 # miss rate for WriteReq accesses
-system.cpu1.dcache.LoadLockedReq_miss_rate::cpu1.data 0.160762 # miss rate for LoadLockedReq accesses
-system.cpu1.dcache.LoadLockedReq_miss_rate::total 0.160762 # miss rate for LoadLockedReq accesses
-system.cpu1.dcache.StoreCondReq_miss_rate::cpu1.data 0.007875 # miss rate for StoreCondReq accesses
-system.cpu1.dcache.StoreCondReq_miss_rate::total 0.007875 # miss rate for StoreCondReq accesses
-system.cpu1.dcache.demand_miss_rate::cpu1.data 0.097312 # miss rate for demand accesses
-system.cpu1.dcache.demand_miss_rate::total 0.097312 # miss rate for demand accesses
-system.cpu1.dcache.overall_miss_rate::cpu1.data 0.097312 # miss rate for overall accesses
-system.cpu1.dcache.overall_miss_rate::total 0.097312 # miss rate for overall accesses
-system.cpu1.dcache.ReadReq_avg_miss_latency::cpu1.data 12549.629843 # average ReadReq miss latency
-system.cpu1.dcache.ReadReq_avg_miss_latency::total 12549.629843 # average ReadReq miss latency
-system.cpu1.dcache.WriteReq_avg_miss_latency::cpu1.data 22817.477234 # average WriteReq miss latency
-system.cpu1.dcache.WriteReq_avg_miss_latency::total 22817.477234 # average WriteReq miss latency
-system.cpu1.dcache.LoadLockedReq_avg_miss_latency::cpu1.data 12843.179676 # average LoadLockedReq miss latency
-system.cpu1.dcache.LoadLockedReq_avg_miss_latency::total 12843.179676 # average LoadLockedReq miss latency
-system.cpu1.dcache.StoreCondReq_avg_miss_latency::cpu1.data 7361.936620 # average StoreCondReq miss latency
-system.cpu1.dcache.StoreCondReq_avg_miss_latency::total 7361.936620 # average StoreCondReq miss latency
-system.cpu1.dcache.demand_avg_miss_latency::cpu1.data 14377.844222 # average overall miss latency
-system.cpu1.dcache.demand_avg_miss_latency::total 14377.844222 # average overall miss latency
-system.cpu1.dcache.overall_avg_miss_latency::cpu1.data 14377.844222 # average overall miss latency
-system.cpu1.dcache.overall_avg_miss_latency::total 14377.844222 # average overall miss latency
+system.cpu1.dcache.tags.replacements 155174 # number of replacements
+system.cpu1.dcache.tags.tagsinuse 486.308424 # Cycle average of tags in use
+system.cpu1.dcache.tags.total_refs 3855056 # Total number of references to valid blocks.
+system.cpu1.dcache.tags.sampled_refs 155503 # Sample count of references to valid blocks.
+system.cpu1.dcache.tags.avg_refs 24.790879 # Average number of references to valid blocks.
+system.cpu1.dcache.tags.warmup_cycle 1048852145500 # Cycle when the warmup percentage was hit.
+system.cpu1.dcache.tags.occ_blocks::cpu1.data 486.308424 # Average occupied blocks per requestor
+system.cpu1.dcache.tags.occ_percent::cpu1.data 0.949821 # Average percentage of cache occupancy
+system.cpu1.dcache.tags.occ_percent::total 0.949821 # Average percentage of cache occupancy
+system.cpu1.dcache.tags.occ_task_id_blocks::1024 329 # Occupied blocks per task id
+system.cpu1.dcache.tags.age_task_id_blocks_1024::2 32 # Occupied blocks per task id
+system.cpu1.dcache.tags.age_task_id_blocks_1024::3 297 # Occupied blocks per task id
+system.cpu1.dcache.tags.occ_task_id_percent::1024 0.642578 # Percentage of cache occupancy per task id
+system.cpu1.dcache.tags.tag_accesses 16322131 # Number of tag accesses
+system.cpu1.dcache.tags.data_accesses 16322131 # Number of data accesses
+system.cpu1.dcache.ReadReq_hits::cpu1.data 2189503 # number of ReadReq hits
+system.cpu1.dcache.ReadReq_hits::total 2189503 # number of ReadReq hits
+system.cpu1.dcache.WriteReq_hits::cpu1.data 1567525 # number of WriteReq hits
+system.cpu1.dcache.WriteReq_hits::total 1567525 # number of WriteReq hits
+system.cpu1.dcache.LoadLockedReq_hits::cpu1.data 46972 # number of LoadLockedReq hits
+system.cpu1.dcache.LoadLockedReq_hits::total 46972 # number of LoadLockedReq hits
+system.cpu1.dcache.StoreCondReq_hits::cpu1.data 49481 # number of StoreCondReq hits
+system.cpu1.dcache.StoreCondReq_hits::total 49481 # number of StoreCondReq hits
+system.cpu1.dcache.demand_hits::cpu1.data 3757028 # number of demand (read+write) hits
+system.cpu1.dcache.demand_hits::total 3757028 # number of demand (read+write) hits
+system.cpu1.dcache.overall_hits::cpu1.data 3757028 # number of overall hits
+system.cpu1.dcache.overall_hits::total 3757028 # number of overall hits
+system.cpu1.dcache.ReadReq_misses::cpu1.data 113756 # number of ReadReq misses
+system.cpu1.dcache.ReadReq_misses::total 113756 # number of ReadReq misses
+system.cpu1.dcache.WriteReq_misses::cpu1.data 55958 # number of WriteReq misses
+system.cpu1.dcache.WriteReq_misses::total 55958 # number of WriteReq misses
+system.cpu1.dcache.LoadLockedReq_misses::cpu1.data 8862 # number of LoadLockedReq misses
+system.cpu1.dcache.LoadLockedReq_misses::total 8862 # number of LoadLockedReq misses
+system.cpu1.dcache.StoreCondReq_misses::cpu1.data 5884 # number of StoreCondReq misses
+system.cpu1.dcache.StoreCondReq_misses::total 5884 # number of StoreCondReq misses
+system.cpu1.dcache.demand_misses::cpu1.data 169714 # number of demand (read+write) misses
+system.cpu1.dcache.demand_misses::total 169714 # number of demand (read+write) misses
+system.cpu1.dcache.overall_misses::cpu1.data 169714 # number of overall misses
+system.cpu1.dcache.overall_misses::total 169714 # number of overall misses
+system.cpu1.dcache.ReadReq_miss_latency::cpu1.data 1372027750 # number of ReadReq miss cycles
+system.cpu1.dcache.ReadReq_miss_latency::total 1372027750 # number of ReadReq miss cycles
+system.cpu1.dcache.WriteReq_miss_latency::cpu1.data 1020320505 # number of WriteReq miss cycles
+system.cpu1.dcache.WriteReq_miss_latency::total 1020320505 # number of WriteReq miss cycles
+system.cpu1.dcache.LoadLockedReq_miss_latency::cpu1.data 80442000 # number of LoadLockedReq miss cycles
+system.cpu1.dcache.LoadLockedReq_miss_latency::total 80442000 # number of LoadLockedReq miss cycles
+system.cpu1.dcache.StoreCondReq_miss_latency::cpu1.data 43305909 # number of StoreCondReq miss cycles
+system.cpu1.dcache.StoreCondReq_miss_latency::total 43305909 # number of StoreCondReq miss cycles
+system.cpu1.dcache.demand_miss_latency::cpu1.data 2392348255 # number of demand (read+write) miss cycles
+system.cpu1.dcache.demand_miss_latency::total 2392348255 # number of demand (read+write) miss cycles
+system.cpu1.dcache.overall_miss_latency::cpu1.data 2392348255 # number of overall miss cycles
+system.cpu1.dcache.overall_miss_latency::total 2392348255 # number of overall miss cycles
+system.cpu1.dcache.ReadReq_accesses::cpu1.data 2303259 # number of ReadReq accesses(hits+misses)
+system.cpu1.dcache.ReadReq_accesses::total 2303259 # number of ReadReq accesses(hits+misses)
+system.cpu1.dcache.WriteReq_accesses::cpu1.data 1623483 # number of WriteReq accesses(hits+misses)
+system.cpu1.dcache.WriteReq_accesses::total 1623483 # number of WriteReq accesses(hits+misses)
+system.cpu1.dcache.LoadLockedReq_accesses::cpu1.data 55834 # number of LoadLockedReq accesses(hits+misses)
+system.cpu1.dcache.LoadLockedReq_accesses::total 55834 # number of LoadLockedReq accesses(hits+misses)
+system.cpu1.dcache.StoreCondReq_accesses::cpu1.data 55365 # number of StoreCondReq accesses(hits+misses)
+system.cpu1.dcache.StoreCondReq_accesses::total 55365 # number of StoreCondReq accesses(hits+misses)
+system.cpu1.dcache.demand_accesses::cpu1.data 3926742 # number of demand (read+write) accesses
+system.cpu1.dcache.demand_accesses::total 3926742 # number of demand (read+write) accesses
+system.cpu1.dcache.overall_accesses::cpu1.data 3926742 # number of overall (read+write) accesses
+system.cpu1.dcache.overall_accesses::total 3926742 # number of overall (read+write) accesses
+system.cpu1.dcache.ReadReq_miss_rate::cpu1.data 0.049389 # miss rate for ReadReq accesses
+system.cpu1.dcache.ReadReq_miss_rate::total 0.049389 # miss rate for ReadReq accesses
+system.cpu1.dcache.WriteReq_miss_rate::cpu1.data 0.034468 # miss rate for WriteReq accesses
+system.cpu1.dcache.WriteReq_miss_rate::total 0.034468 # miss rate for WriteReq accesses
+system.cpu1.dcache.LoadLockedReq_miss_rate::cpu1.data 0.158720 # miss rate for LoadLockedReq accesses
+system.cpu1.dcache.LoadLockedReq_miss_rate::total 0.158720 # miss rate for LoadLockedReq accesses
+system.cpu1.dcache.StoreCondReq_miss_rate::cpu1.data 0.106277 # miss rate for StoreCondReq accesses
+system.cpu1.dcache.StoreCondReq_miss_rate::total 0.106277 # miss rate for StoreCondReq accesses
+system.cpu1.dcache.demand_miss_rate::cpu1.data 0.043220 # miss rate for demand accesses
+system.cpu1.dcache.demand_miss_rate::total 0.043220 # miss rate for demand accesses
+system.cpu1.dcache.overall_miss_rate::cpu1.data 0.043220 # miss rate for overall accesses
+system.cpu1.dcache.overall_miss_rate::total 0.043220 # miss rate for overall accesses
+system.cpu1.dcache.ReadReq_avg_miss_latency::cpu1.data 12061.146225 # average ReadReq miss latency
+system.cpu1.dcache.ReadReq_avg_miss_latency::total 12061.146225 # average ReadReq miss latency
+system.cpu1.dcache.WriteReq_avg_miss_latency::cpu1.data 18233.684281 # average WriteReq miss latency
+system.cpu1.dcache.WriteReq_avg_miss_latency::total 18233.684281 # average WriteReq miss latency
+system.cpu1.dcache.LoadLockedReq_avg_miss_latency::cpu1.data 9077.183480 # average LoadLockedReq miss latency
+system.cpu1.dcache.LoadLockedReq_avg_miss_latency::total 9077.183480 # average LoadLockedReq miss latency
+system.cpu1.dcache.StoreCondReq_avg_miss_latency::cpu1.data 7359.943746 # average StoreCondReq miss latency
+system.cpu1.dcache.StoreCondReq_avg_miss_latency::total 7359.943746 # average StoreCondReq miss latency
+system.cpu1.dcache.demand_avg_miss_latency::cpu1.data 14096.351833 # average overall miss latency
+system.cpu1.dcache.demand_avg_miss_latency::total 14096.351833 # average overall miss latency
+system.cpu1.dcache.overall_avg_miss_latency::cpu1.data 14096.351833 # average overall miss latency
+system.cpu1.dcache.overall_avg_miss_latency::total 14096.351833 # average overall miss latency
system.cpu1.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu1.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu1.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -1643,62 +1658,62 @@ system.cpu1.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu1.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu1.dcache.fast_writes 0 # number of fast writes performed
system.cpu1.dcache.cache_copies 0 # number of cache copies performed
-system.cpu1.dcache.writebacks::writebacks 444927 # number of writebacks
-system.cpu1.dcache.writebacks::total 444927 # number of writebacks
-system.cpu1.dcache.ReadReq_mshr_misses::cpu1.data 473178 # number of ReadReq MSHR misses
-system.cpu1.dcache.ReadReq_mshr_misses::total 473178 # number of ReadReq MSHR misses
-system.cpu1.dcache.WriteReq_mshr_misses::cpu1.data 102501 # number of WriteReq MSHR misses
-system.cpu1.dcache.WriteReq_mshr_misses::total 102501 # number of WriteReq MSHR misses
-system.cpu1.dcache.LoadLockedReq_mshr_misses::cpu1.data 11671 # number of LoadLockedReq MSHR misses
-system.cpu1.dcache.LoadLockedReq_mshr_misses::total 11671 # number of LoadLockedReq MSHR misses
-system.cpu1.dcache.StoreCondReq_mshr_misses::cpu1.data 568 # number of StoreCondReq MSHR misses
-system.cpu1.dcache.StoreCondReq_mshr_misses::total 568 # number of StoreCondReq MSHR misses
-system.cpu1.dcache.demand_mshr_misses::cpu1.data 575679 # number of demand (read+write) MSHR misses
-system.cpu1.dcache.demand_mshr_misses::total 575679 # number of demand (read+write) MSHR misses
-system.cpu1.dcache.overall_mshr_misses::cpu1.data 575679 # number of overall MSHR misses
-system.cpu1.dcache.overall_mshr_misses::total 575679 # number of overall MSHR misses
-system.cpu1.dcache.ReadReq_mshr_miss_latency::cpu1.data 4991497250 # number of ReadReq MSHR miss cycles
-system.cpu1.dcache.ReadReq_mshr_miss_latency::total 4991497250 # number of ReadReq MSHR miss cycles
-system.cpu1.dcache.WriteReq_mshr_miss_latency::cpu1.data 2127317766 # number of WriteReq MSHR miss cycles
-system.cpu1.dcache.WriteReq_mshr_miss_latency::total 2127317766 # number of WriteReq MSHR miss cycles
-system.cpu1.dcache.LoadLockedReq_mshr_miss_latency::cpu1.data 126550250 # number of LoadLockedReq MSHR miss cycles
-system.cpu1.dcache.LoadLockedReq_mshr_miss_latency::total 126550250 # number of LoadLockedReq MSHR miss cycles
-system.cpu1.dcache.StoreCondReq_mshr_miss_latency::cpu1.data 3045420 # number of StoreCondReq MSHR miss cycles
-system.cpu1.dcache.StoreCondReq_mshr_miss_latency::total 3045420 # number of StoreCondReq MSHR miss cycles
-system.cpu1.dcache.demand_mshr_miss_latency::cpu1.data 7118815016 # number of demand (read+write) MSHR miss cycles
-system.cpu1.dcache.demand_mshr_miss_latency::total 7118815016 # number of demand (read+write) MSHR miss cycles
-system.cpu1.dcache.overall_mshr_miss_latency::cpu1.data 7118815016 # number of overall MSHR miss cycles
-system.cpu1.dcache.overall_mshr_miss_latency::total 7118815016 # number of overall MSHR miss cycles
-system.cpu1.dcache.ReadReq_mshr_uncacheable_latency::cpu1.data 479658500 # number of ReadReq MSHR uncacheable cycles
-system.cpu1.dcache.ReadReq_mshr_uncacheable_latency::total 479658500 # number of ReadReq MSHR uncacheable cycles
-system.cpu1.dcache.WriteReq_mshr_uncacheable_latency::cpu1.data 907862000 # number of WriteReq MSHR uncacheable cycles
-system.cpu1.dcache.WriteReq_mshr_uncacheable_latency::total 907862000 # number of WriteReq MSHR uncacheable cycles
-system.cpu1.dcache.overall_mshr_uncacheable_latency::cpu1.data 1387520500 # number of overall MSHR uncacheable cycles
-system.cpu1.dcache.overall_mshr_uncacheable_latency::total 1387520500 # number of overall MSHR uncacheable cycles
-system.cpu1.dcache.ReadReq_mshr_miss_rate::cpu1.data 0.133165 # mshr miss rate for ReadReq accesses
-system.cpu1.dcache.ReadReq_mshr_miss_rate::total 0.133165 # mshr miss rate for ReadReq accesses
-system.cpu1.dcache.WriteReq_mshr_miss_rate::cpu1.data 0.043387 # mshr miss rate for WriteReq accesses
-system.cpu1.dcache.WriteReq_mshr_miss_rate::total 0.043387 # mshr miss rate for WriteReq accesses
-system.cpu1.dcache.LoadLockedReq_mshr_miss_rate::cpu1.data 0.160762 # mshr miss rate for LoadLockedReq accesses
-system.cpu1.dcache.LoadLockedReq_mshr_miss_rate::total 0.160762 # mshr miss rate for LoadLockedReq accesses
-system.cpu1.dcache.StoreCondReq_mshr_miss_rate::cpu1.data 0.007875 # mshr miss rate for StoreCondReq accesses
-system.cpu1.dcache.StoreCondReq_mshr_miss_rate::total 0.007875 # mshr miss rate for StoreCondReq accesses
-system.cpu1.dcache.demand_mshr_miss_rate::cpu1.data 0.097312 # mshr miss rate for demand accesses
-system.cpu1.dcache.demand_mshr_miss_rate::total 0.097312 # mshr miss rate for demand accesses
-system.cpu1.dcache.overall_mshr_miss_rate::cpu1.data 0.097312 # mshr miss rate for overall accesses
-system.cpu1.dcache.overall_mshr_miss_rate::total 0.097312 # mshr miss rate for overall accesses
-system.cpu1.dcache.ReadReq_avg_mshr_miss_latency::cpu1.data 10548.878540 # average ReadReq mshr miss latency
-system.cpu1.dcache.ReadReq_avg_mshr_miss_latency::total 10548.878540 # average ReadReq mshr miss latency
-system.cpu1.dcache.WriteReq_avg_mshr_miss_latency::cpu1.data 20754.117189 # average WriteReq mshr miss latency
-system.cpu1.dcache.WriteReq_avg_mshr_miss_latency::total 20754.117189 # average WriteReq mshr miss latency
-system.cpu1.dcache.LoadLockedReq_avg_mshr_miss_latency::cpu1.data 10843.136835 # average LoadLockedReq mshr miss latency
-system.cpu1.dcache.LoadLockedReq_avg_mshr_miss_latency::total 10843.136835 # average LoadLockedReq mshr miss latency
-system.cpu1.dcache.StoreCondReq_avg_mshr_miss_latency::cpu1.data 5361.654930 # average StoreCondReq mshr miss latency
-system.cpu1.dcache.StoreCondReq_avg_mshr_miss_latency::total 5361.654930 # average StoreCondReq mshr miss latency
-system.cpu1.dcache.demand_avg_mshr_miss_latency::cpu1.data 12365.945285 # average overall mshr miss latency
-system.cpu1.dcache.demand_avg_mshr_miss_latency::total 12365.945285 # average overall mshr miss latency
-system.cpu1.dcache.overall_avg_mshr_miss_latency::cpu1.data 12365.945285 # average overall mshr miss latency
-system.cpu1.dcache.overall_avg_mshr_miss_latency::total 12365.945285 # average overall mshr miss latency
+system.cpu1.dcache.writebacks::writebacks 106457 # number of writebacks
+system.cpu1.dcache.writebacks::total 106457 # number of writebacks
+system.cpu1.dcache.ReadReq_mshr_misses::cpu1.data 113756 # number of ReadReq MSHR misses
+system.cpu1.dcache.ReadReq_mshr_misses::total 113756 # number of ReadReq MSHR misses
+system.cpu1.dcache.WriteReq_mshr_misses::cpu1.data 55958 # number of WriteReq MSHR misses
+system.cpu1.dcache.WriteReq_mshr_misses::total 55958 # number of WriteReq MSHR misses
+system.cpu1.dcache.LoadLockedReq_mshr_misses::cpu1.data 8862 # number of LoadLockedReq MSHR misses
+system.cpu1.dcache.LoadLockedReq_mshr_misses::total 8862 # number of LoadLockedReq MSHR misses
+system.cpu1.dcache.StoreCondReq_mshr_misses::cpu1.data 5884 # number of StoreCondReq MSHR misses
+system.cpu1.dcache.StoreCondReq_mshr_misses::total 5884 # number of StoreCondReq MSHR misses
+system.cpu1.dcache.demand_mshr_misses::cpu1.data 169714 # number of demand (read+write) MSHR misses
+system.cpu1.dcache.demand_mshr_misses::total 169714 # number of demand (read+write) MSHR misses
+system.cpu1.dcache.overall_mshr_misses::cpu1.data 169714 # number of overall MSHR misses
+system.cpu1.dcache.overall_mshr_misses::total 169714 # number of overall MSHR misses
+system.cpu1.dcache.ReadReq_mshr_miss_latency::cpu1.data 1144439250 # number of ReadReq MSHR miss cycles
+system.cpu1.dcache.ReadReq_mshr_miss_latency::total 1144439250 # number of ReadReq MSHR miss cycles
+system.cpu1.dcache.WriteReq_mshr_miss_latency::cpu1.data 906162495 # number of WriteReq MSHR miss cycles
+system.cpu1.dcache.WriteReq_mshr_miss_latency::total 906162495 # number of WriteReq MSHR miss cycles
+system.cpu1.dcache.LoadLockedReq_mshr_miss_latency::cpu1.data 62718000 # number of LoadLockedReq MSHR miss cycles
+system.cpu1.dcache.LoadLockedReq_mshr_miss_latency::total 62718000 # number of LoadLockedReq MSHR miss cycles
+system.cpu1.dcache.StoreCondReq_mshr_miss_latency::cpu1.data 31536091 # number of StoreCondReq MSHR miss cycles
+system.cpu1.dcache.StoreCondReq_mshr_miss_latency::total 31536091 # number of StoreCondReq MSHR miss cycles
+system.cpu1.dcache.demand_mshr_miss_latency::cpu1.data 2050601745 # number of demand (read+write) MSHR miss cycles
+system.cpu1.dcache.demand_mshr_miss_latency::total 2050601745 # number of demand (read+write) MSHR miss cycles
+system.cpu1.dcache.overall_mshr_miss_latency::cpu1.data 2050601745 # number of overall MSHR miss cycles
+system.cpu1.dcache.overall_mshr_miss_latency::total 2050601745 # number of overall MSHR miss cycles
+system.cpu1.dcache.ReadReq_mshr_uncacheable_latency::cpu1.data 18765500 # number of ReadReq MSHR uncacheable cycles
+system.cpu1.dcache.ReadReq_mshr_uncacheable_latency::total 18765500 # number of ReadReq MSHR uncacheable cycles
+system.cpu1.dcache.WriteReq_mshr_uncacheable_latency::cpu1.data 713325000 # number of WriteReq MSHR uncacheable cycles
+system.cpu1.dcache.WriteReq_mshr_uncacheable_latency::total 713325000 # number of WriteReq MSHR uncacheable cycles
+system.cpu1.dcache.overall_mshr_uncacheable_latency::cpu1.data 732090500 # number of overall MSHR uncacheable cycles
+system.cpu1.dcache.overall_mshr_uncacheable_latency::total 732090500 # number of overall MSHR uncacheable cycles
+system.cpu1.dcache.ReadReq_mshr_miss_rate::cpu1.data 0.049389 # mshr miss rate for ReadReq accesses
+system.cpu1.dcache.ReadReq_mshr_miss_rate::total 0.049389 # mshr miss rate for ReadReq accesses
+system.cpu1.dcache.WriteReq_mshr_miss_rate::cpu1.data 0.034468 # mshr miss rate for WriteReq accesses
+system.cpu1.dcache.WriteReq_mshr_miss_rate::total 0.034468 # mshr miss rate for WriteReq accesses
+system.cpu1.dcache.LoadLockedReq_mshr_miss_rate::cpu1.data 0.158720 # mshr miss rate for LoadLockedReq accesses
+system.cpu1.dcache.LoadLockedReq_mshr_miss_rate::total 0.158720 # mshr miss rate for LoadLockedReq accesses
+system.cpu1.dcache.StoreCondReq_mshr_miss_rate::cpu1.data 0.106277 # mshr miss rate for StoreCondReq accesses
+system.cpu1.dcache.StoreCondReq_mshr_miss_rate::total 0.106277 # mshr miss rate for StoreCondReq accesses
+system.cpu1.dcache.demand_mshr_miss_rate::cpu1.data 0.043220 # mshr miss rate for demand accesses
+system.cpu1.dcache.demand_mshr_miss_rate::total 0.043220 # mshr miss rate for demand accesses
+system.cpu1.dcache.overall_mshr_miss_rate::cpu1.data 0.043220 # mshr miss rate for overall accesses
+system.cpu1.dcache.overall_mshr_miss_rate::total 0.043220 # mshr miss rate for overall accesses
+system.cpu1.dcache.ReadReq_avg_mshr_miss_latency::cpu1.data 10060.473733 # average ReadReq mshr miss latency
+system.cpu1.dcache.ReadReq_avg_mshr_miss_latency::total 10060.473733 # average ReadReq mshr miss latency
+system.cpu1.dcache.WriteReq_avg_mshr_miss_latency::cpu1.data 16193.618339 # average WriteReq mshr miss latency
+system.cpu1.dcache.WriteReq_avg_mshr_miss_latency::total 16193.618339 # average WriteReq mshr miss latency
+system.cpu1.dcache.LoadLockedReq_avg_mshr_miss_latency::cpu1.data 7077.183480 # average LoadLockedReq mshr miss latency
+system.cpu1.dcache.LoadLockedReq_avg_mshr_miss_latency::total 7077.183480 # average LoadLockedReq mshr miss latency
+system.cpu1.dcache.StoreCondReq_avg_mshr_miss_latency::cpu1.data 5359.634772 # average StoreCondReq mshr miss latency
+system.cpu1.dcache.StoreCondReq_avg_mshr_miss_latency::total 5359.634772 # average StoreCondReq mshr miss latency
+system.cpu1.dcache.demand_avg_mshr_miss_latency::cpu1.data 12082.690556 # average overall mshr miss latency
+system.cpu1.dcache.demand_avg_mshr_miss_latency::total 12082.690556 # average overall mshr miss latency
+system.cpu1.dcache.overall_avg_mshr_miss_latency::cpu1.data 12082.690556 # average overall mshr miss latency
+system.cpu1.dcache.overall_avg_mshr_miss_latency::total 12082.690556 # average overall mshr miss latency
system.cpu1.dcache.ReadReq_avg_mshr_uncacheable_latency::cpu1.data inf # average ReadReq mshr uncacheable latency
system.cpu1.dcache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu1.dcache.WriteReq_avg_mshr_uncacheable_latency::cpu1.data inf # average WriteReq mshr uncacheable latency
diff --git a/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/stats.txt b/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/stats.txt
index 7916cb036..a960683a9 100644
--- a/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/stats.txt
+++ b/tests/quick/fs/10.linux-boot/ref/alpha/linux/tsunami-simple-timing/stats.txt
@@ -1,76 +1,76 @@
---------- Begin Simulation Statistics ----------
sim_seconds 1.919439 # Number of seconds simulated
-sim_ticks 1919438772000 # Number of ticks simulated
-final_tick 1919438772000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_ticks 1919439025000 # Number of ticks simulated
+final_tick 1919439025000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 1398299 # Simulator instruction rate (inst/s)
-host_op_rate 1398299 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 47840414078 # Simulator tick rate (ticks/s)
-host_mem_usage 314348 # Number of bytes of host memory used
-host_seconds 40.12 # Real time elapsed on the host
-sim_insts 56102112 # Number of instructions simulated
-sim_ops 56102112 # Number of ops (including micro ops) simulated
+host_inst_rate 1426339 # Simulator instruction rate (inst/s)
+host_op_rate 1426339 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 48799693433 # Simulator tick rate (ticks/s)
+host_mem_usage 367228 # Number of bytes of host memory used
+host_seconds 39.33 # Real time elapsed on the host
+sim_insts 56102180 # Number of instructions simulated
+sim_ops 56102180 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
system.physmem.bytes_read::cpu.inst 850816 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.data 24875968 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu.data 24875904 # Number of bytes read from this memory
system.physmem.bytes_read::tsunami.ide 960 # Number of bytes read from this memory
-system.physmem.bytes_read::total 25727744 # Number of bytes read from this memory
+system.physmem.bytes_read::total 25727680 # Number of bytes read from this memory
system.physmem.bytes_inst_read::cpu.inst 850816 # Number of instructions bytes read from this memory
system.physmem.bytes_inst_read::total 850816 # Number of instructions bytes read from this memory
-system.physmem.bytes_written::writebacks 4747712 # Number of bytes written to this memory
+system.physmem.bytes_written::writebacks 4747520 # Number of bytes written to this memory
system.physmem.bytes_written::tsunami.ide 2659328 # Number of bytes written to this memory
-system.physmem.bytes_written::total 7407040 # Number of bytes written to this memory
+system.physmem.bytes_written::total 7406848 # Number of bytes written to this memory
system.physmem.num_reads::cpu.inst 13294 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.data 388687 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu.data 388686 # Number of read requests responded to by this memory
system.physmem.num_reads::tsunami.ide 15 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 401996 # Number of read requests responded to by this memory
-system.physmem.num_writes::writebacks 74183 # Number of write requests responded to by this memory
+system.physmem.num_reads::total 401995 # Number of read requests responded to by this memory
+system.physmem.num_writes::writebacks 74180 # Number of write requests responded to by this memory
system.physmem.num_writes::tsunami.ide 41552 # Number of write requests responded to by this memory
-system.physmem.num_writes::total 115735 # Number of write requests responded to by this memory
+system.physmem.num_writes::total 115732 # Number of write requests responded to by this memory
system.physmem.bw_read::cpu.inst 443263 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 12960022 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.data 12959987 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::tsunami.ide 500 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 13403785 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 13403750 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_inst_read::cpu.inst 443263 # Instruction read bandwidth from this memory (bytes/s)
system.physmem.bw_inst_read::total 443263 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_write::writebacks 2473490 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::tsunami.ide 1385472 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::total 3858961 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_total::writebacks 2473490 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_write::writebacks 2473389 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::tsunami.ide 1385471 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::total 3858861 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total::writebacks 2473389 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.inst 443263 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 12960022 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.data 12959987 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::tsunami.ide 1385972 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 17262746 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.readReqs 401996 # Number of read requests accepted
-system.physmem.writeReqs 115735 # Number of write requests accepted
-system.physmem.readBursts 401996 # Number of DRAM read bursts, including those serviced by the write queue
-system.physmem.writeBursts 115735 # Number of DRAM write bursts, including those merged in the write queue
-system.physmem.bytesReadDRAM 25716224 # Total number of bytes read from DRAM
-system.physmem.bytesReadWrQ 11520 # Total number of bytes read from write queue
-system.physmem.bytesWritten 7405312 # Total number of bytes written to DRAM
-system.physmem.bytesReadSys 25727744 # Total read bytes from the system interface side
-system.physmem.bytesWrittenSys 7407040 # Total written bytes from the system interface side
-system.physmem.servicedByWrQ 180 # Number of DRAM read bursts serviced by the write queue
+system.physmem.bw_total::total 17262610 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.readReqs 401995 # Number of read requests accepted
+system.physmem.writeReqs 115732 # Number of write requests accepted
+system.physmem.readBursts 401995 # Number of DRAM read bursts, including those serviced by the write queue
+system.physmem.writeBursts 115732 # Number of DRAM write bursts, including those merged in the write queue
+system.physmem.bytesReadDRAM 25715968 # Total number of bytes read from DRAM
+system.physmem.bytesReadWrQ 11712 # Total number of bytes read from write queue
+system.physmem.bytesWritten 7405120 # Total number of bytes written to DRAM
+system.physmem.bytesReadSys 25727680 # Total read bytes from the system interface side
+system.physmem.bytesWrittenSys 7406848 # Total written bytes from the system interface side
+system.physmem.servicedByWrQ 183 # Number of DRAM read bursts serviced by the write queue
system.physmem.mergedWrBursts 0 # Number of DRAM write bursts merged with an existing one
system.physmem.neitherReadNorWriteReqs 132 # Number of requests that are neither read nor write
system.physmem.perBankRdBursts::0 25161 # Per bank write bursts
-system.physmem.perBankRdBursts::1 25541 # Per bank write bursts
+system.physmem.perBankRdBursts::1 25539 # Per bank write bursts
system.physmem.perBankRdBursts::2 25618 # Per bank write bursts
-system.physmem.perBankRdBursts::3 25537 # Per bank write bursts
-system.physmem.perBankRdBursts::4 24981 # Per bank write bursts
-system.physmem.perBankRdBursts::5 24976 # Per bank write bursts
+system.physmem.perBankRdBursts::3 25536 # Per bank write bursts
+system.physmem.perBankRdBursts::4 24982 # Per bank write bursts
+system.physmem.perBankRdBursts::5 24977 # Per bank write bursts
system.physmem.perBankRdBursts::6 24228 # Per bank write bursts
system.physmem.perBankRdBursts::7 24506 # Per bank write bursts
-system.physmem.perBankRdBursts::8 25159 # Per bank write bursts
-system.physmem.perBankRdBursts::9 24820 # Per bank write bursts
+system.physmem.perBankRdBursts::8 25158 # Per bank write bursts
+system.physmem.perBankRdBursts::9 24823 # Per bank write bursts
system.physmem.perBankRdBursts::10 25363 # Per bank write bursts
-system.physmem.perBankRdBursts::11 24840 # Per bank write bursts
-system.physmem.perBankRdBursts::12 24420 # Per bank write bursts
+system.physmem.perBankRdBursts::11 24839 # Per bank write bursts
+system.physmem.perBankRdBursts::12 24418 # Per bank write bursts
system.physmem.perBankRdBursts::13 25388 # Per bank write bursts
system.physmem.perBankRdBursts::14 25795 # Per bank write bursts
-system.physmem.perBankRdBursts::15 25483 # Per bank write bursts
+system.physmem.perBankRdBursts::15 25481 # Per bank write bursts
system.physmem.perBankWrBursts::0 7550 # Per bank write bursts
system.physmem.perBankWrBursts::1 7529 # Per bank write bursts
system.physmem.perBankWrBursts::2 7880 # Per bank write bursts
@@ -78,9 +78,9 @@ system.physmem.perBankWrBursts::3 7553 # Pe
system.physmem.perBankWrBursts::4 7115 # Per bank write bursts
system.physmem.perBankWrBursts::5 6983 # Per bank write bursts
system.physmem.perBankWrBursts::6 6321 # Per bank write bursts
-system.physmem.perBankWrBursts::7 6319 # Per bank write bursts
+system.physmem.perBankWrBursts::7 6315 # Per bank write bursts
system.physmem.perBankWrBursts::8 7293 # Per bank write bursts
-system.physmem.perBankWrBursts::9 6554 # Per bank write bursts
+system.physmem.perBankWrBursts::9 6555 # Per bank write bursts
system.physmem.perBankWrBursts::10 7205 # Per bank write bursts
system.physmem.perBankWrBursts::11 6861 # Per bank write bursts
system.physmem.perBankWrBursts::12 6964 # Per bank write bursts
@@ -88,23 +88,23 @@ system.physmem.perBankWrBursts::13 7821 # Pe
system.physmem.perBankWrBursts::14 7980 # Per bank write bursts
system.physmem.perBankWrBursts::15 7780 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
-system.physmem.numWrRetry 11 # Number of times write queue was full causing retry
-system.physmem.totGap 1919426851000 # Total gap between requests
+system.physmem.numWrRetry 12 # Number of times write queue was full causing retry
+system.physmem.totGap 1919427104000 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 0 # Read request sizes (log2)
system.physmem.readPktSize::3 0 # Read request sizes (log2)
system.physmem.readPktSize::4 0 # Read request sizes (log2)
system.physmem.readPktSize::5 0 # Read request sizes (log2)
-system.physmem.readPktSize::6 401996 # Read request sizes (log2)
+system.physmem.readPktSize::6 401995 # Read request sizes (log2)
system.physmem.writePktSize::0 0 # Write request sizes (log2)
system.physmem.writePktSize::1 0 # Write request sizes (log2)
system.physmem.writePktSize::2 0 # Write request sizes (log2)
system.physmem.writePktSize::3 0 # Write request sizes (log2)
system.physmem.writePktSize::4 0 # Write request sizes (log2)
system.physmem.writePktSize::5 0 # Write request sizes (log2)
-system.physmem.writePktSize::6 115735 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 401802 # What read queue length does an incoming req see
+system.physmem.writePktSize::6 115732 # Write request sizes (log2)
+system.physmem.rdQLenPdf::0 401798 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::1 1 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::2 1 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::3 1 # What read queue length does an incoming req see
@@ -151,124 +151,123 @@ system.physmem.wrQLenPdf::11 1 # Wh
system.physmem.wrQLenPdf::12 1 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::13 1 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::14 1 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::15 1859 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::16 2606 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::17 5607 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::18 5735 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::19 5978 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::20 6706 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::21 6976 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::22 8149 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::23 8460 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::24 8432 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::25 8109 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::26 8281 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::27 6824 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::28 6355 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::29 5592 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::30 5334 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::31 5330 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::32 5306 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::33 213 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::34 190 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::35 175 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::36 156 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::37 153 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::38 129 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::39 122 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::40 128 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::41 172 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::42 155 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::15 1803 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::16 2465 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::17 5530 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::18 5623 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::19 5839 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::20 6566 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::21 6884 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::22 8073 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::23 8473 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::24 8504 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::25 8199 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::26 8343 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::27 6888 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::28 6495 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::29 5617 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::30 5359 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::31 5332 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::32 5312 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::33 203 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::34 203 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::35 198 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::36 198 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::37 202 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::38 177 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::39 167 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::40 165 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::41 180 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::42 160 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::43 170 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::44 198 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::45 218 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::46 205 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::47 182 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::48 176 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::49 146 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::50 120 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::51 111 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::52 104 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::53 111 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::54 122 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::55 116 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::56 111 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::57 111 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::58 94 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::59 70 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::60 53 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::61 30 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::62 16 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::63 24 # What write queue length does an incoming req see
-system.physmem.bytesPerActivate::samples 63869 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::mean 518.585480 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 313.979775 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 413.923527 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 14875 23.29% 23.29% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 11515 18.03% 41.32% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::256-383 4721 7.39% 48.71% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::384-511 3142 4.92% 53.63% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::512-639 3018 4.73% 58.36% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::640-767 1863 2.92% 61.27% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::768-895 1301 2.04% 63.31% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::896-1023 1404 2.20% 65.51% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::1024-1151 22030 34.49% 100.00% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::total 63869 # Bytes accessed per row activation
-system.physmem.rdPerTurnAround::samples 5101 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::mean 78.768477 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::stdev 2955.016496 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::0-8191 5098 99.94% 99.94% # Reads before turning the bus around for writes
+system.physmem.wrQLenPdf::44 189 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::45 216 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::46 208 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::47 201 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::48 197 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::49 176 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::50 132 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::51 127 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::52 105 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::53 93 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::54 101 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::55 109 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::56 112 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::57 113 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::58 91 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::59 72 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::60 62 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::61 35 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::62 23 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::63 27 # What write queue length does an incoming req see
+system.physmem.bytesPerActivate::samples 63991 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::mean 517.589786 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::gmean 312.394273 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::stdev 414.375602 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::0-127 15074 23.56% 23.56% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::128-255 11584 18.10% 41.66% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::256-383 4587 7.17% 48.83% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::384-511 3091 4.83% 53.66% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::512-639 3045 4.76% 58.42% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::640-767 1807 2.82% 61.24% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::768-895 1323 2.07% 63.31% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::896-1023 1474 2.30% 65.61% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::1024-1151 22006 34.39% 100.00% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::total 63991 # Bytes accessed per row activation
+system.physmem.rdPerTurnAround::samples 5109 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::mean 78.644353 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::stdev 2952.702952 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::0-8191 5106 99.94% 99.94% # Reads before turning the bus around for writes
system.physmem.rdPerTurnAround::40960-49151 1 0.02% 99.96% # Reads before turning the bus around for writes
system.physmem.rdPerTurnAround::57344-65535 1 0.02% 99.98% # Reads before turning the bus around for writes
system.physmem.rdPerTurnAround::196608-204799 1 0.02% 100.00% # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::total 5101 # Reads before turning the bus around for writes
-system.physmem.wrPerTurnAround::samples 5101 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::mean 22.683395 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::gmean 19.235797 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::stdev 21.276820 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::16-19 4452 87.28% 87.28% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::20-23 22 0.43% 87.71% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::24-27 15 0.29% 88.00% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::28-31 224 4.39% 92.39% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::32-35 41 0.80% 93.20% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::36-39 6 0.12% 93.32% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::40-43 9 0.18% 93.49% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::44-47 7 0.14% 93.63% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::48-51 19 0.37% 94.00% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::52-55 2 0.04% 94.04% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::56-59 4 0.08% 94.12% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::60-63 2 0.04% 94.16% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::64-67 11 0.22% 94.37% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::68-71 3 0.06% 94.43% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::72-75 7 0.14% 94.57% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::80-83 30 0.59% 95.16% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::84-87 13 0.25% 95.41% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::88-91 3 0.06% 95.47% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::92-95 1 0.02% 95.49% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::96-99 166 3.25% 98.75% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::100-103 10 0.20% 98.94% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::112-115 2 0.04% 98.98% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::120-123 6 0.12% 99.10% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::128-131 4 0.08% 99.18% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::132-135 2 0.04% 99.22% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::136-139 6 0.12% 99.33% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::140-143 9 0.18% 99.51% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::144-147 9 0.18% 99.69% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::148-151 1 0.02% 99.71% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::152-155 3 0.06% 99.76% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::156-159 2 0.04% 99.80% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::160-163 3 0.06% 99.86% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::164-167 1 0.02% 99.88% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::168-171 1 0.02% 99.90% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::220-223 1 0.02% 99.92% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::224-227 4 0.08% 100.00% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::total 5101 # Writes before turning the bus around for reads
-system.physmem.totQLat 2117396500 # Total ticks spent queuing
-system.physmem.totMemAccLat 9651446500 # Total ticks spent from burst creation until serviced by the DRAM
-system.physmem.totBusLat 2009080000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 5269.57 # Average queueing delay per DRAM burst
+system.physmem.rdPerTurnAround::total 5109 # Reads before turning the bus around for writes
+system.physmem.wrPerTurnAround::samples 5109 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::mean 22.647289 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::gmean 19.199358 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::stdev 21.195525 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::16-19 4460 87.30% 87.30% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::20-23 21 0.41% 87.71% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::24-27 12 0.23% 87.94% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::28-31 224 4.38% 92.33% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::32-35 41 0.80% 93.13% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::36-39 20 0.39% 93.52% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::40-43 7 0.14% 93.66% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::44-47 6 0.12% 93.78% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::48-51 14 0.27% 94.05% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::52-55 4 0.08% 94.13% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::56-59 3 0.06% 94.19% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::60-63 1 0.02% 94.21% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::64-67 9 0.18% 94.38% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::68-71 5 0.10% 94.48% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::72-75 4 0.08% 94.56% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::80-83 25 0.49% 95.05% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::84-87 9 0.18% 95.22% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::92-95 15 0.29% 95.52% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::96-99 168 3.29% 98.81% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::100-103 2 0.04% 98.85% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::108-111 1 0.02% 98.86% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::112-115 2 0.04% 98.90% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::116-119 1 0.02% 98.92% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::120-123 2 0.04% 98.96% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::128-131 8 0.16% 99.12% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::132-135 5 0.10% 99.22% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::136-139 5 0.10% 99.31% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::140-143 9 0.18% 99.49% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::144-147 13 0.25% 99.75% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::148-151 1 0.02% 99.77% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::152-155 1 0.02% 99.78% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::156-159 2 0.04% 99.82% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::160-163 5 0.10% 99.92% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::172-175 1 0.02% 99.94% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::224-227 3 0.06% 100.00% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::total 5109 # Writes before turning the bus around for reads
+system.physmem.totQLat 2129492750 # Total ticks spent queuing
+system.physmem.totMemAccLat 9663467750 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totBusLat 2009060000 # Total ticks spent in databus transfers
+system.physmem.avgQLat 5299.72 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 24019.57 # Average memory access latency per DRAM burst
+system.physmem.avgMemAccLat 24049.72 # Average memory access latency per DRAM burst
system.physmem.avgRdBW 13.40 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 3.86 # Average achieved write bandwidth in MiByte/s
system.physmem.avgRdBWSys 13.40 # Average system read bandwidth in MiByte/s
@@ -278,100 +277,113 @@ system.physmem.busUtil 0.13 # Da
system.physmem.busUtilRead 0.10 # Data bus utilization in percentage for reads
system.physmem.busUtilWrite 0.03 # Data bus utilization in percentage for writes
system.physmem.avgRdQLen 1.00 # Average read queue length when enqueuing
-system.physmem.avgWrQLen 23.42 # Average write queue length when enqueuing
-system.physmem.readRowHits 360116 # Number of row buffer hits during reads
-system.physmem.writeRowHits 93539 # Number of row buffer hits during writes
-system.physmem.readRowHitRate 89.62 # Row buffer hit rate for reads
+system.physmem.avgWrQLen 24.48 # Average write queue length when enqueuing
+system.physmem.readRowHits 359991 # Number of row buffer hits during reads
+system.physmem.writeRowHits 93535 # Number of row buffer hits during writes
+system.physmem.readRowHitRate 89.59 # Row buffer hit rate for reads
system.physmem.writeRowHitRate 80.82 # Row buffer hit rate for writes
-system.physmem.avgGap 3707382.50 # Average gap between requests
-system.physmem.pageHitRate 87.65 # Row buffer hit rate, read and write combined
-system.physmem.memoryStateTime::IDLE 1800046548500 # Time in different power states
+system.physmem.avgGap 3707411.64 # Average gap between requests
+system.physmem.pageHitRate 87.63 # Row buffer hit rate, read and write combined
+system.physmem.memoryStateTime::IDLE 1800186005000 # Time in different power states
system.physmem.memoryStateTime::REF 64094160000 # Time in different power states
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem.memoryStateTime::ACT 55294756500 # Time in different power states
+system.physmem.memoryStateTime::ACT 55155300000 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 17291227 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 292357 # Transaction distribution
system.membus.trans_dist::ReadResp 292357 # Transaction distribution
system.membus.trans_dist::WriteReq 9649 # Transaction distribution
system.membus.trans_dist::WriteResp 9649 # Transaction distribution
-system.membus.trans_dist::Writeback 74183 # Transaction distribution
+system.membus.trans_dist::Writeback 74180 # Transaction distribution
system.membus.trans_dist::WriteInvalidateReq 41552 # Transaction distribution
system.membus.trans_dist::WriteInvalidateResp 41552 # Transaction distribution
system.membus.trans_dist::UpgradeReq 132 # Transaction distribution
system.membus.trans_dist::UpgradeResp 132 # Transaction distribution
-system.membus.trans_dist::ReadExReq 116727 # Transaction distribution
-system.membus.trans_dist::ReadExResp 116727 # Transaction distribution
+system.membus.trans_dist::ReadExReq 116726 # Transaction distribution
+system.membus.trans_dist::ReadExResp 116726 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.bridge.slave 33158 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 878409 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.cpu.l2cache.mem_side::total 911567 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 878404 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::total 911562 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 83292 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.iocache.mem_side::total 83292 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 994859 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.bridge.slave 44556 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 30474496 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::total 30519052 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.iocache.mem_side::system.physmem.port 2660288 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.iocache.mem_side::total 2660288 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 33179340 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 33179340 # Total data (bytes)
-system.membus.snoop_data_through_bus 10112 # Total snoop data (bytes)
-system.membus.reqLayer0.occupancy 32375500 # Layer occupancy (ticks)
+system.membus.pkt_count::total 994854 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.bridge.slave 44556 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 30474240 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::total 30518796 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::system.physmem.port 2660288 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::total 2660288 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 33179084 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 158 # Total snoops (count)
+system.membus.snoop_fanout::samples 518029 # Request fanout histogram
+system.membus.snoop_fanout::mean 1 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 518029 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 1 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 518029 # Request fanout histogram
+system.membus.reqLayer0.occupancy 30371000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 0.0 # Layer utilization (%)
-system.membus.reqLayer1.occupancy 1450892000 # Layer occupancy (ticks)
+system.membus.reqLayer1.occupancy 1451093000 # Layer occupancy (ticks)
system.membus.reqLayer1.utilization 0.1 # Layer utilization (%)
-system.membus.respLayer1.occupancy 3751806368 # Layer occupancy (ticks)
+system.membus.respLayer1.occupancy 3752017868 # Layer occupancy (ticks)
system.membus.respLayer1.utilization 0.2 # Layer utilization (%)
-system.membus.respLayer2.occupancy 43113000 # Layer occupancy (ticks)
+system.membus.respLayer2.occupancy 43114250 # Layer occupancy (ticks)
system.membus.respLayer2.utilization 0.0 # Layer utilization (%)
system.iocache.tags.replacements 41685 # number of replacements
-system.iocache.tags.tagsinuse 1.344805 # Cycle average of tags in use
+system.iocache.tags.tagsinuse 1.344808 # Cycle average of tags in use
system.iocache.tags.total_refs 0 # Total number of references to valid blocks.
system.iocache.tags.sampled_refs 41701 # Sample count of references to valid blocks.
system.iocache.tags.avg_refs 0 # Average number of references to valid blocks.
-system.iocache.tags.warmup_cycle 1753524887000 # Cycle when the warmup percentage was hit.
-system.iocache.tags.occ_blocks::tsunami.ide 1.344805 # Average occupied blocks per requestor
-system.iocache.tags.occ_percent::tsunami.ide 0.084050 # Average percentage of cache occupancy
-system.iocache.tags.occ_percent::total 0.084050 # Average percentage of cache occupancy
+system.iocache.tags.warmup_cycle 1753524972000 # Cycle when the warmup percentage was hit.
+system.iocache.tags.occ_blocks::tsunami.ide 1.344808 # Average occupied blocks per requestor
+system.iocache.tags.occ_percent::tsunami.ide 0.084051 # Average percentage of cache occupancy
+system.iocache.tags.occ_percent::total 0.084051 # Average percentage of cache occupancy
system.iocache.tags.occ_task_id_blocks::1023 16 # Occupied blocks per task id
system.iocache.tags.age_task_id_blocks_1023::3 16 # Occupied blocks per task id
system.iocache.tags.occ_task_id_percent::1023 1 # Percentage of cache occupancy per task id
-system.iocache.tags.tag_accesses 375525 # Number of tag accesses
-system.iocache.tags.data_accesses 375525 # Number of data accesses
+system.iocache.tags.tag_accesses 375557 # Number of tag accesses
+system.iocache.tags.data_accesses 375557 # Number of data accesses
system.iocache.WriteInvalidateReq_hits::tsunami.ide 41552 # number of WriteInvalidateReq hits
system.iocache.WriteInvalidateReq_hits::total 41552 # number of WriteInvalidateReq hits
system.iocache.ReadReq_misses::tsunami.ide 173 # number of ReadReq misses
system.iocache.ReadReq_misses::total 173 # number of ReadReq misses
+system.iocache.WriteInvalidateReq_misses::tsunami.ide 4 # number of WriteInvalidateReq misses
+system.iocache.WriteInvalidateReq_misses::total 4 # number of WriteInvalidateReq misses
system.iocache.demand_misses::tsunami.ide 173 # number of demand (read+write) misses
system.iocache.demand_misses::total 173 # number of demand (read+write) misses
system.iocache.overall_misses::tsunami.ide 173 # number of overall misses
system.iocache.overall_misses::total 173 # number of overall misses
-system.iocache.ReadReq_miss_latency::tsunami.ide 21133383 # number of ReadReq miss cycles
-system.iocache.ReadReq_miss_latency::total 21133383 # number of ReadReq miss cycles
-system.iocache.demand_miss_latency::tsunami.ide 21133383 # number of demand (read+write) miss cycles
-system.iocache.demand_miss_latency::total 21133383 # number of demand (read+write) miss cycles
-system.iocache.overall_miss_latency::tsunami.ide 21133383 # number of overall miss cycles
-system.iocache.overall_miss_latency::total 21133383 # number of overall miss cycles
+system.iocache.ReadReq_miss_latency::tsunami.ide 24523133 # number of ReadReq miss cycles
+system.iocache.ReadReq_miss_latency::total 24523133 # number of ReadReq miss cycles
+system.iocache.demand_miss_latency::tsunami.ide 24523133 # number of demand (read+write) miss cycles
+system.iocache.demand_miss_latency::total 24523133 # number of demand (read+write) miss cycles
+system.iocache.overall_miss_latency::tsunami.ide 24523133 # number of overall miss cycles
+system.iocache.overall_miss_latency::total 24523133 # number of overall miss cycles
system.iocache.ReadReq_accesses::tsunami.ide 173 # number of ReadReq accesses(hits+misses)
system.iocache.ReadReq_accesses::total 173 # number of ReadReq accesses(hits+misses)
-system.iocache.WriteInvalidateReq_accesses::tsunami.ide 41552 # number of WriteInvalidateReq accesses(hits+misses)
-system.iocache.WriteInvalidateReq_accesses::total 41552 # number of WriteInvalidateReq accesses(hits+misses)
+system.iocache.WriteInvalidateReq_accesses::tsunami.ide 41556 # number of WriteInvalidateReq accesses(hits+misses)
+system.iocache.WriteInvalidateReq_accesses::total 41556 # number of WriteInvalidateReq accesses(hits+misses)
system.iocache.demand_accesses::tsunami.ide 173 # number of demand (read+write) accesses
system.iocache.demand_accesses::total 173 # number of demand (read+write) accesses
system.iocache.overall_accesses::tsunami.ide 173 # number of overall (read+write) accesses
system.iocache.overall_accesses::total 173 # number of overall (read+write) accesses
system.iocache.ReadReq_miss_rate::tsunami.ide 1 # miss rate for ReadReq accesses
system.iocache.ReadReq_miss_rate::total 1 # miss rate for ReadReq accesses
+system.iocache.WriteInvalidateReq_miss_rate::tsunami.ide 0.000096 # miss rate for WriteInvalidateReq accesses
+system.iocache.WriteInvalidateReq_miss_rate::total 0.000096 # miss rate for WriteInvalidateReq accesses
system.iocache.demand_miss_rate::tsunami.ide 1 # miss rate for demand accesses
system.iocache.demand_miss_rate::total 1 # miss rate for demand accesses
system.iocache.overall_miss_rate::tsunami.ide 1 # miss rate for overall accesses
system.iocache.overall_miss_rate::total 1 # miss rate for overall accesses
-system.iocache.ReadReq_avg_miss_latency::tsunami.ide 122158.283237 # average ReadReq miss latency
-system.iocache.ReadReq_avg_miss_latency::total 122158.283237 # average ReadReq miss latency
-system.iocache.demand_avg_miss_latency::tsunami.ide 122158.283237 # average overall miss latency
-system.iocache.demand_avg_miss_latency::total 122158.283237 # average overall miss latency
-system.iocache.overall_avg_miss_latency::tsunami.ide 122158.283237 # average overall miss latency
-system.iocache.overall_avg_miss_latency::total 122158.283237 # average overall miss latency
+system.iocache.ReadReq_avg_miss_latency::tsunami.ide 141752.213873 # average ReadReq miss latency
+system.iocache.ReadReq_avg_miss_latency::total 141752.213873 # average ReadReq miss latency
+system.iocache.demand_avg_miss_latency::tsunami.ide 141752.213873 # average overall miss latency
+system.iocache.demand_avg_miss_latency::total 141752.213873 # average overall miss latency
+system.iocache.overall_avg_miss_latency::tsunami.ide 141752.213873 # average overall miss latency
+system.iocache.overall_avg_miss_latency::total 141752.213873 # average overall miss latency
system.iocache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.iocache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.iocache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -388,30 +400,30 @@ system.iocache.demand_mshr_misses::tsunami.ide 173
system.iocache.demand_mshr_misses::total 173 # number of demand (read+write) MSHR misses
system.iocache.overall_mshr_misses::tsunami.ide 173 # number of overall MSHR misses
system.iocache.overall_mshr_misses::total 173 # number of overall MSHR misses
-system.iocache.ReadReq_mshr_miss_latency::tsunami.ide 12136383 # number of ReadReq MSHR miss cycles
-system.iocache.ReadReq_mshr_miss_latency::total 12136383 # number of ReadReq MSHR miss cycles
-system.iocache.WriteInvalidateReq_mshr_miss_latency::tsunami.ide 2506570306 # number of WriteInvalidateReq MSHR miss cycles
-system.iocache.WriteInvalidateReq_mshr_miss_latency::total 2506570306 # number of WriteInvalidateReq MSHR miss cycles
-system.iocache.demand_mshr_miss_latency::tsunami.ide 12136383 # number of demand (read+write) MSHR miss cycles
-system.iocache.demand_mshr_miss_latency::total 12136383 # number of demand (read+write) MSHR miss cycles
-system.iocache.overall_mshr_miss_latency::tsunami.ide 12136383 # number of overall MSHR miss cycles
-system.iocache.overall_mshr_miss_latency::total 12136383 # number of overall MSHR miss cycles
+system.iocache.ReadReq_mshr_miss_latency::tsunami.ide 15526633 # number of ReadReq MSHR miss cycles
+system.iocache.ReadReq_mshr_miss_latency::total 15526633 # number of ReadReq MSHR miss cycles
+system.iocache.WriteInvalidateReq_mshr_miss_latency::tsunami.ide 2512178304 # number of WriteInvalidateReq MSHR miss cycles
+system.iocache.WriteInvalidateReq_mshr_miss_latency::total 2512178304 # number of WriteInvalidateReq MSHR miss cycles
+system.iocache.demand_mshr_miss_latency::tsunami.ide 15526633 # number of demand (read+write) MSHR miss cycles
+system.iocache.demand_mshr_miss_latency::total 15526633 # number of demand (read+write) MSHR miss cycles
+system.iocache.overall_mshr_miss_latency::tsunami.ide 15526633 # number of overall MSHR miss cycles
+system.iocache.overall_mshr_miss_latency::total 15526633 # number of overall MSHR miss cycles
system.iocache.ReadReq_mshr_miss_rate::tsunami.ide 1 # mshr miss rate for ReadReq accesses
system.iocache.ReadReq_mshr_miss_rate::total 1 # mshr miss rate for ReadReq accesses
-system.iocache.WriteInvalidateReq_mshr_miss_rate::tsunami.ide 1 # mshr miss rate for WriteInvalidateReq accesses
-system.iocache.WriteInvalidateReq_mshr_miss_rate::total 1 # mshr miss rate for WriteInvalidateReq accesses
+system.iocache.WriteInvalidateReq_mshr_miss_rate::tsunami.ide 0.999904 # mshr miss rate for WriteInvalidateReq accesses
+system.iocache.WriteInvalidateReq_mshr_miss_rate::total 0.999904 # mshr miss rate for WriteInvalidateReq accesses
system.iocache.demand_mshr_miss_rate::tsunami.ide 1 # mshr miss rate for demand accesses
system.iocache.demand_mshr_miss_rate::total 1 # mshr miss rate for demand accesses
system.iocache.overall_mshr_miss_rate::tsunami.ide 1 # mshr miss rate for overall accesses
system.iocache.overall_mshr_miss_rate::total 1 # mshr miss rate for overall accesses
-system.iocache.ReadReq_avg_mshr_miss_latency::tsunami.ide 70152.502890 # average ReadReq mshr miss latency
-system.iocache.ReadReq_avg_mshr_miss_latency::total 70152.502890 # average ReadReq mshr miss latency
-system.iocache.WriteInvalidateReq_avg_mshr_miss_latency::tsunami.ide 60323.698161 # average WriteInvalidateReq mshr miss latency
-system.iocache.WriteInvalidateReq_avg_mshr_miss_latency::total 60323.698161 # average WriteInvalidateReq mshr miss latency
-system.iocache.demand_avg_mshr_miss_latency::tsunami.ide 70152.502890 # average overall mshr miss latency
-system.iocache.demand_avg_mshr_miss_latency::total 70152.502890 # average overall mshr miss latency
-system.iocache.overall_avg_mshr_miss_latency::tsunami.ide 70152.502890 # average overall mshr miss latency
-system.iocache.overall_avg_mshr_miss_latency::total 70152.502890 # average overall mshr miss latency
+system.iocache.ReadReq_avg_mshr_miss_latency::tsunami.ide 89749.323699 # average ReadReq mshr miss latency
+system.iocache.ReadReq_avg_mshr_miss_latency::total 89749.323699 # average ReadReq mshr miss latency
+system.iocache.WriteInvalidateReq_avg_mshr_miss_latency::tsunami.ide 60458.661533 # average WriteInvalidateReq mshr miss latency
+system.iocache.WriteInvalidateReq_avg_mshr_miss_latency::total 60458.661533 # average WriteInvalidateReq mshr miss latency
+system.iocache.demand_avg_mshr_miss_latency::tsunami.ide 89749.323699 # average overall mshr miss latency
+system.iocache.demand_avg_mshr_miss_latency::total 89749.323699 # average overall mshr miss latency
+system.iocache.overall_avg_mshr_miss_latency::tsunami.ide 89749.323699 # average overall mshr miss latency
+system.iocache.overall_avg_mshr_miss_latency::total 89749.323699 # average overall mshr miss latency
system.iocache.no_allocate_misses 0 # Number of misses that were no-allocate
system.disk0.dma_read_full_pages 0 # Number of full page size DMA reads (not PRD).
system.disk0.dma_read_bytes 1024 # Number of bytes transfered via DMA reads (not PRD).
@@ -430,22 +442,22 @@ system.cpu.dtb.fetch_hits 0 # IT
system.cpu.dtb.fetch_misses 0 # ITB misses
system.cpu.dtb.fetch_acv 0 # ITB acv
system.cpu.dtb.fetch_accesses 0 # ITB accesses
-system.cpu.dtb.read_hits 9052614 # DTB read hits
-system.cpu.dtb.read_misses 10356 # DTB read misses
+system.cpu.dtb.read_hits 9052455 # DTB read hits
+system.cpu.dtb.read_misses 10357 # DTB read misses
system.cpu.dtb.read_acv 210 # DTB read access violations
-system.cpu.dtb.read_accesses 728915 # DTB read accesses
-system.cpu.dtb.write_hits 6349217 # DTB write hits
-system.cpu.dtb.write_misses 1144 # DTB write misses
+system.cpu.dtb.read_accesses 728916 # DTB read accesses
+system.cpu.dtb.write_hits 6349129 # DTB write hits
+system.cpu.dtb.write_misses 1143 # DTB write misses
system.cpu.dtb.write_acv 157 # DTB write access violations
-system.cpu.dtb.write_accesses 291933 # DTB write accesses
-system.cpu.dtb.data_hits 15401831 # DTB hits
+system.cpu.dtb.write_accesses 291932 # DTB write accesses
+system.cpu.dtb.data_hits 15401584 # DTB hits
system.cpu.dtb.data_misses 11500 # DTB misses
system.cpu.dtb.data_acv 367 # DTB access violations
system.cpu.dtb.data_accesses 1020848 # DTB accesses
-system.cpu.itb.fetch_hits 4974960 # ITB hits
+system.cpu.itb.fetch_hits 4974880 # ITB hits
system.cpu.itb.fetch_misses 5010 # ITB misses
system.cpu.itb.fetch_acv 184 # ITB acv
-system.cpu.itb.fetch_accesses 4979970 # ITB accesses
+system.cpu.itb.fetch_accesses 4979890 # ITB accesses
system.cpu.itb.read_hits 0 # DTB read hits
system.cpu.itb.read_misses 0 # DTB read misses
system.cpu.itb.read_acv 0 # DTB read access violations
@@ -458,34 +470,34 @@ system.cpu.itb.data_hits 0 # DT
system.cpu.itb.data_misses 0 # DTB misses
system.cpu.itb.data_acv 0 # DTB access violations
system.cpu.itb.data_accesses 0 # DTB accesses
-system.cpu.numCycles 3838877544 # number of cpu cycles simulated
+system.cpu.numCycles 3838878050 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.committedInsts 56102112 # Number of instructions committed
-system.cpu.committedOps 56102112 # Number of ops (including micro ops) committed
-system.cpu.num_int_alu_accesses 51977185 # Number of integer alu accesses
-system.cpu.num_fp_alu_accesses 324460 # Number of float alu accesses
-system.cpu.num_func_calls 1481236 # number of times a function call or return occured
-system.cpu.num_conditional_control_insts 6460933 # number of instructions that are conditional controls
-system.cpu.num_int_insts 51977185 # number of integer instructions
-system.cpu.num_fp_insts 324460 # number of float instructions
-system.cpu.num_int_register_reads 71206533 # number of times the integer registers were read
-system.cpu.num_int_register_writes 38459103 # number of times the integer registers were written
-system.cpu.num_fp_register_reads 163642 # number of times the floating registers were read
-system.cpu.num_fp_register_writes 166520 # number of times the floating registers were written
-system.cpu.num_mem_refs 15454487 # number of memory refs
-system.cpu.num_load_insts 9089505 # Number of load instructions
-system.cpu.num_store_insts 6364982 # Number of store instructions
-system.cpu.num_idle_cycles 3587234430.998131 # Number of idle cycles
-system.cpu.num_busy_cycles 251643113.001869 # Number of busy cycles
-system.cpu.not_idle_fraction 0.065551 # Percentage of non-idle cycles
-system.cpu.idle_fraction 0.934449 # Percentage of idle cycles
-system.cpu.Branches 8412678 # Number of branches fetched
-system.cpu.op_class::No_OpClass 3197715 5.70% 5.70% # Class of executed instruction
-system.cpu.op_class::IntAlu 36172357 64.46% 70.16% # Class of executed instruction
-system.cpu.op_class::IntMult 61004 0.11% 70.27% # Class of executed instruction
+system.cpu.committedInsts 56102180 # Number of instructions committed
+system.cpu.committedOps 56102180 # Number of ops (including micro ops) committed
+system.cpu.num_int_alu_accesses 51977296 # Number of integer alu accesses
+system.cpu.num_fp_alu_accesses 324326 # Number of float alu accesses
+system.cpu.num_func_calls 1481232 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 6461044 # number of instructions that are conditional controls
+system.cpu.num_int_insts 51977296 # number of integer instructions
+system.cpu.num_fp_insts 324326 # number of float instructions
+system.cpu.num_int_register_reads 71206831 # number of times the integer registers were read
+system.cpu.num_int_register_writes 38459262 # number of times the integer registers were written
+system.cpu.num_fp_register_reads 163576 # number of times the floating registers were read
+system.cpu.num_fp_register_writes 166452 # number of times the floating registers were written
+system.cpu.num_mem_refs 15454224 # number of memory refs
+system.cpu.num_load_insts 9089337 # Number of load instructions
+system.cpu.num_store_insts 6364887 # Number of store instructions
+system.cpu.num_idle_cycles 3587231475.998131 # Number of idle cycles
+system.cpu.num_busy_cycles 251646574.001869 # Number of busy cycles
+system.cpu.not_idle_fraction 0.065552 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0.934448 # Percentage of idle cycles
+system.cpu.Branches 8412776 # Number of branches fetched
+system.cpu.op_class::No_OpClass 3197684 5.70% 5.70% # Class of executed instruction
+system.cpu.op_class::IntAlu 36172751 64.46% 70.16% # Class of executed instruction
+system.cpu.op_class::IntMult 60997 0.11% 70.27% # Class of executed instruction
system.cpu.op_class::IntDiv 0 0.00% 70.27% # Class of executed instruction
-system.cpu.op_class::FloatAdd 38087 0.07% 70.34% # Class of executed instruction
+system.cpu.op_class::FloatAdd 38083 0.07% 70.34% # Class of executed instruction
system.cpu.op_class::FloatCmp 0 0.00% 70.34% # Class of executed instruction
system.cpu.op_class::FloatCvt 0 0.00% 70.34% # Class of executed instruction
system.cpu.op_class::FloatMult 0 0.00% 70.34% # Class of executed instruction
@@ -511,14 +523,14 @@ system.cpu.op_class::SimdFloatMisc 0 0.00% 70.34% # Cl
system.cpu.op_class::SimdFloatMult 0 0.00% 70.34% # Class of executed instruction
system.cpu.op_class::SimdFloatMultAcc 0 0.00% 70.34% # Class of executed instruction
system.cpu.op_class::SimdFloatSqrt 0 0.00% 70.34% # Class of executed instruction
-system.cpu.op_class::MemRead 9316582 16.60% 86.95% # Class of executed instruction
-system.cpu.op_class::MemWrite 6371054 11.35% 98.30% # Class of executed instruction
-system.cpu.op_class::IprAccess 953544 1.70% 100.00% # Class of executed instruction
+system.cpu.op_class::MemRead 9316413 16.60% 86.95% # Class of executed instruction
+system.cpu.op_class::MemWrite 6370959 11.35% 98.30% # Class of executed instruction
+system.cpu.op_class::IprAccess 953524 1.70% 100.00% # Class of executed instruction
system.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::total 56113979 # Class of executed instruction
+system.cpu.op_class::total 56114047 # Class of executed instruction
system.cpu.kern.inst.arm 0 # number of arm instructions executed
-system.cpu.kern.inst.quiesce 6378 # number of quiesce instructions executed
-system.cpu.kern.inst.hwrei 212019 # number of hwrei instructions executed
+system.cpu.kern.inst.quiesce 6380 # number of quiesce instructions executed
+system.cpu.kern.inst.hwrei 212017 # number of hwrei instructions executed
system.cpu.kern.ipl_count::0 74895 40.89% 40.89% # number of times we switched to this ipl
system.cpu.kern.ipl_count::21 131 0.07% 40.96% # number of times we switched to this ipl
system.cpu.kern.ipl_count::22 1931 1.05% 42.01% # number of times we switched to this ipl
@@ -529,11 +541,11 @@ system.cpu.kern.ipl_good::21 131 0.09% 49.40% # nu
system.cpu.kern.ipl_good::22 1931 1.29% 50.69% # number of times we switched to this ipl from a different ipl
system.cpu.kern.ipl_good::31 73528 49.31% 100.00% # number of times we switched to this ipl from a different ipl
system.cpu.kern.ipl_good::total 149118 # number of times we switched to this ipl from a different ipl
-system.cpu.kern.ipl_ticks::0 1857248521000 96.76% 96.76% # number of cycles we spent at this ipl
-system.cpu.kern.ipl_ticks::21 91287500 0.00% 96.76% # number of cycles we spent at this ipl
-system.cpu.kern.ipl_ticks::22 737179000 0.04% 96.80% # number of cycles we spent at this ipl
-system.cpu.kern.ipl_ticks::31 61361050500 3.20% 100.00% # number of cycles we spent at this ipl
-system.cpu.kern.ipl_ticks::total 1919438038000 # number of cycles we spent at this ipl
+system.cpu.kern.ipl_ticks::0 1857251860000 96.76% 96.76% # number of cycles we spent at this ipl
+system.cpu.kern.ipl_ticks::21 91366000 0.00% 96.76% # number of cycles we spent at this ipl
+system.cpu.kern.ipl_ticks::22 736784000 0.04% 96.80% # number of cycles we spent at this ipl
+system.cpu.kern.ipl_ticks::31 61358281000 3.20% 100.00% # number of cycles we spent at this ipl
+system.cpu.kern.ipl_ticks::total 1919438291000 # number of cycles we spent at this ipl
system.cpu.kern.ipl_used::0 0.981748 # fraction of swpipl calls that actually changed the ipl
system.cpu.kern.ipl_used::21 1 # fraction of swpipl calls that actually changed the ipl
system.cpu.kern.ipl_used::22 1 # fraction of swpipl calls that actually changed the ipl
@@ -574,8 +586,8 @@ system.cpu.kern.callpal::cserve 1 0.00% 0.00% # nu
system.cpu.kern.callpal::wrmces 1 0.00% 0.00% # number of callpals executed
system.cpu.kern.callpal::wrfen 1 0.00% 0.00% # number of callpals executed
system.cpu.kern.callpal::wrvptptr 1 0.00% 0.00% # number of callpals executed
-system.cpu.kern.callpal::swpctx 4177 2.17% 2.17% # number of callpals executed
-system.cpu.kern.callpal::tbi 54 0.03% 2.20% # number of callpals executed
+system.cpu.kern.callpal::swpctx 4175 2.16% 2.17% # number of callpals executed
+system.cpu.kern.callpal::tbi 54 0.03% 2.19% # number of callpals executed
system.cpu.kern.callpal::wrent 7 0.00% 2.20% # number of callpals executed
system.cpu.kern.callpal::swpipl 175949 91.22% 93.41% # number of callpals executed
system.cpu.kern.callpal::rdps 6832 3.54% 96.96% # number of callpals executed
@@ -586,21 +598,21 @@ system.cpu.kern.callpal::whami 2 0.00% 96.97% # nu
system.cpu.kern.callpal::rti 5156 2.67% 99.64% # number of callpals executed
system.cpu.kern.callpal::callsys 515 0.27% 99.91% # number of callpals executed
system.cpu.kern.callpal::imb 181 0.09% 100.00% # number of callpals executed
-system.cpu.kern.callpal::total 192894 # number of callpals executed
-system.cpu.kern.mode_switch::kernel 5902 # number of protection mode switches
+system.cpu.kern.callpal::total 192892 # number of callpals executed
+system.cpu.kern.mode_switch::kernel 5903 # number of protection mode switches
system.cpu.kern.mode_switch::user 1742 # number of protection mode switches
-system.cpu.kern.mode_switch::idle 2097 # number of protection mode switches
-system.cpu.kern.mode_good::kernel 1912
+system.cpu.kern.mode_switch::idle 2094 # number of protection mode switches
+system.cpu.kern.mode_good::kernel 1911
system.cpu.kern.mode_good::user 1742
-system.cpu.kern.mode_good::idle 170
-system.cpu.kern.mode_switch_good::kernel 0.323958 # fraction of useful protection mode switches
+system.cpu.kern.mode_good::idle 169
+system.cpu.kern.mode_switch_good::kernel 0.323734 # fraction of useful protection mode switches
system.cpu.kern.mode_switch_good::user 1 # fraction of useful protection mode switches
-system.cpu.kern.mode_switch_good::idle 0.081068 # fraction of useful protection mode switches
-system.cpu.kern.mode_switch_good::total 0.392567 # fraction of useful protection mode switches
-system.cpu.kern.mode_ticks::kernel 46116573000 2.40% 2.40% # number of ticks spent at the given mode
-system.cpu.kern.mode_ticks::user 5192895500 0.27% 2.67% # number of ticks spent at the given mode
-system.cpu.kern.mode_ticks::idle 1868128567500 97.33% 100.00% # number of ticks spent at the given mode
-system.cpu.kern.swap_context 4178 # number of times the context was actually changed
+system.cpu.kern.mode_switch_good::idle 0.080707 # fraction of useful protection mode switches
+system.cpu.kern.mode_switch_good::total 0.392443 # fraction of useful protection mode switches
+system.cpu.kern.mode_ticks::kernel 46142250000 2.40% 2.40% # number of ticks spent at the given mode
+system.cpu.kern.mode_ticks::user 5192719000 0.27% 2.67% # number of ticks spent at the given mode
+system.cpu.kern.mode_ticks::idle 1868103320000 97.33% 100.00% # number of ticks spent at the given mode
+system.cpu.kern.swap_context 4176 # number of times the context was actually changed
system.tsunami.ethernet.descDMAReads 0 # Number of descriptors the device read w/ DMA
system.tsunami.ethernet.descDMAWrites 0 # Number of descriptors the device wrote w/ DMA
system.tsunami.ethernet.descDmaReadBytes 0 # number of descriptor bytes read w/ DMA
@@ -632,11 +644,11 @@ system.tsunami.ethernet.totalRxOrn 0 # to
system.tsunami.ethernet.coalescedTotal nan # average number of interrupts coalesced into each post
system.tsunami.ethernet.postedInterrupts 0 # number of posts to CPU
system.tsunami.ethernet.droppedPackets 0 # number of packets dropped
-system.iobus.throughput 1409873 # Throughput (bytes/s)
system.iobus.trans_dist::ReadReq 7103 # Transaction distribution
system.iobus.trans_dist::ReadResp 7103 # Transaction distribution
-system.iobus.trans_dist::WriteReq 51201 # Transaction distribution
+system.iobus.trans_dist::WriteReq 51197 # Transaction distribution
system.iobus.trans_dist::WriteResp 51201 # Transaction distribution
+system.iobus.trans_dist::WriteInvalidateReq 4 # Transaction distribution
system.iobus.pkt_count_system.bridge.master::system.tsunami.cchip.pio 5154 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.tsunami.pchip.pio 472 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.tsunami.fake_sm_chip.pio 10 # Packet count per connected master and slave (bytes)
@@ -653,23 +665,22 @@ system.iobus.pkt_count_system.bridge.master::total 33158
system.iobus.pkt_count_system.tsunami.ide.dma::system.iocache.cpu_side 83450 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.tsunami.ide.dma::total 83450 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count::total 116608 # Packet count per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.cchip.pio 20616 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.pchip.pio 1888 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.fake_sm_chip.pio 5 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.fake_uart4.pio 5 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.io.pio 160 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.uart.pio 9060 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.backdoor.pio 7596 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.ide.pio 4193 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.ide-pciconf 410 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.ethernet.pio 204 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.ethernet-pciconf 299 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.tsunami.pciconfig.pio 120 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::total 44556 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.tsunami.ide.dma::system.iocache.cpu_side 2661608 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.tsunami.ide.dma::total 2661608 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size::total 2706164 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.data_through_bus 2706164 # Total data (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.cchip.pio 20616 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.pchip.pio 1888 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.fake_sm_chip.pio 5 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.fake_uart4.pio 5 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.io.pio 160 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.uart.pio 9060 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.backdoor.pio 7596 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ide.pio 4193 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ide-pciconf 410 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ethernet.pio 204 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.ethernet-pciconf 299 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.tsunami.pciconfig.pio 120 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::total 44556 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.tsunami.ide.dma::system.iocache.cpu_side 2661608 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.tsunami.ide.dma::total 2661608 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size::total 2706164 # Cumulative packet size per connected master and slave (bytes)
system.iobus.reqLayer0.occupancy 4765000 # Layer occupancy (ticks)
system.iobus.reqLayer0.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer1.occupancy 353000 # Layer occupancy (ticks)
@@ -692,21 +703,21 @@ system.iobus.reqLayer27.occupancy 76000 # La
system.iobus.reqLayer27.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer28.occupancy 110000 # Layer occupancy (ticks)
system.iobus.reqLayer28.utilization 0.0 # Layer utilization (%)
-system.iobus.reqLayer29.occupancy 374407689 # Layer occupancy (ticks)
+system.iobus.reqLayer29.occupancy 374412187 # Layer occupancy (ticks)
system.iobus.reqLayer29.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer30.occupancy 30000 # Layer occupancy (ticks)
system.iobus.reqLayer30.utilization 0.0 # Layer utilization (%)
system.iobus.respLayer0.occupancy 23509000 # Layer occupancy (ticks)
system.iobus.respLayer0.utilization 0.0 # Layer utilization (%)
-system.iobus.respLayer1.occupancy 42014000 # Layer occupancy (ticks)
+system.iobus.respLayer1.occupancy 42016750 # Layer occupancy (ticks)
system.iobus.respLayer1.utilization 0.0 # Layer utilization (%)
-system.cpu.icache.tags.replacements 927724 # number of replacements
-system.cpu.icache.tags.tagsinuse 508.304001 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 55185585 # Total number of references to valid blocks.
-system.cpu.icache.tags.sampled_refs 928235 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 59.452170 # Average number of references to valid blocks.
-system.cpu.icache.tags.warmup_cycle 39855277250 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 508.304001 # Average occupied blocks per requestor
+system.cpu.icache.tags.replacements 927651 # number of replacements
+system.cpu.icache.tags.tagsinuse 508.304035 # Cycle average of tags in use
+system.cpu.icache.tags.total_refs 55185726 # Total number of references to valid blocks.
+system.cpu.icache.tags.sampled_refs 928162 # Sample count of references to valid blocks.
+system.cpu.icache.tags.avg_refs 59.456998 # Average number of references to valid blocks.
+system.cpu.icache.tags.warmup_cycle 39853785250 # Cycle when the warmup percentage was hit.
+system.cpu.icache.tags.occ_blocks::cpu.inst 508.304035 # Average occupied blocks per requestor
system.cpu.icache.tags.occ_percent::cpu.inst 0.992781 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_percent::total 0.992781 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 511 # Occupied blocks per task id
@@ -715,44 +726,44 @@ system.cpu.icache.tags.age_task_id_blocks_1024::1 1
system.cpu.icache.tags.age_task_id_blocks_1024::2 438 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::3 9 # Occupied blocks per task id
system.cpu.icache.tags.occ_task_id_percent::1024 0.998047 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 57042375 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 57042375 # Number of data accesses
-system.cpu.icache.ReadReq_hits::cpu.inst 55185585 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 55185585 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 55185585 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 55185585 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 55185585 # number of overall hits
-system.cpu.icache.overall_hits::total 55185585 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 928395 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 928395 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 928395 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 928395 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 928395 # number of overall misses
-system.cpu.icache.overall_misses::total 928395 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 12914246500 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 12914246500 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 12914246500 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 12914246500 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 12914246500 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 12914246500 # number of overall miss cycles
-system.cpu.icache.ReadReq_accesses::cpu.inst 56113980 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.ReadReq_accesses::total 56113980 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.demand_accesses::cpu.inst 56113980 # number of demand (read+write) accesses
-system.cpu.icache.demand_accesses::total 56113980 # number of demand (read+write) accesses
-system.cpu.icache.overall_accesses::cpu.inst 56113980 # number of overall (read+write) accesses
-system.cpu.icache.overall_accesses::total 56113980 # number of overall (read+write) accesses
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.016545 # miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_miss_rate::total 0.016545 # miss rate for ReadReq accesses
-system.cpu.icache.demand_miss_rate::cpu.inst 0.016545 # miss rate for demand accesses
-system.cpu.icache.demand_miss_rate::total 0.016545 # miss rate for demand accesses
-system.cpu.icache.overall_miss_rate::cpu.inst 0.016545 # miss rate for overall accesses
-system.cpu.icache.overall_miss_rate::total 0.016545 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 13910.293033 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 13910.293033 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 13910.293033 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 13910.293033 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 13910.293033 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 13910.293033 # average overall miss latency
+system.cpu.icache.tags.tag_accesses 57042370 # Number of tag accesses
+system.cpu.icache.tags.data_accesses 57042370 # Number of data accesses
+system.cpu.icache.ReadReq_hits::cpu.inst 55185726 # number of ReadReq hits
+system.cpu.icache.ReadReq_hits::total 55185726 # number of ReadReq hits
+system.cpu.icache.demand_hits::cpu.inst 55185726 # number of demand (read+write) hits
+system.cpu.icache.demand_hits::total 55185726 # number of demand (read+write) hits
+system.cpu.icache.overall_hits::cpu.inst 55185726 # number of overall hits
+system.cpu.icache.overall_hits::total 55185726 # number of overall hits
+system.cpu.icache.ReadReq_misses::cpu.inst 928322 # number of ReadReq misses
+system.cpu.icache.ReadReq_misses::total 928322 # number of ReadReq misses
+system.cpu.icache.demand_misses::cpu.inst 928322 # number of demand (read+write) misses
+system.cpu.icache.demand_misses::total 928322 # number of demand (read+write) misses
+system.cpu.icache.overall_misses::cpu.inst 928322 # number of overall misses
+system.cpu.icache.overall_misses::total 928322 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 12909129000 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 12909129000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 12909129000 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 12909129000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 12909129000 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 12909129000 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses::cpu.inst 56114048 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.ReadReq_accesses::total 56114048 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses::cpu.inst 56114048 # number of demand (read+write) accesses
+system.cpu.icache.demand_accesses::total 56114048 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses::cpu.inst 56114048 # number of overall (read+write) accesses
+system.cpu.icache.overall_accesses::total 56114048 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.016543 # miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_miss_rate::total 0.016543 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate::cpu.inst 0.016543 # miss rate for demand accesses
+system.cpu.icache.demand_miss_rate::total 0.016543 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate::cpu.inst 0.016543 # miss rate for overall accesses
+system.cpu.icache.overall_miss_rate::total 0.016543 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 13905.874255 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 13905.874255 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 13905.874255 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 13905.874255 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 13905.874255 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 13905.874255 # average overall miss latency
system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -761,135 +772,135 @@ system.cpu.icache.avg_blocked_cycles::no_mshrs nan
system.cpu.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.icache.fast_writes 0 # number of fast writes performed
system.cpu.icache.cache_copies 0 # number of cache copies performed
-system.cpu.icache.ReadReq_mshr_misses::cpu.inst 928395 # number of ReadReq MSHR misses
-system.cpu.icache.ReadReq_mshr_misses::total 928395 # number of ReadReq MSHR misses
-system.cpu.icache.demand_mshr_misses::cpu.inst 928395 # number of demand (read+write) MSHR misses
-system.cpu.icache.demand_mshr_misses::total 928395 # number of demand (read+write) MSHR misses
-system.cpu.icache.overall_mshr_misses::cpu.inst 928395 # number of overall MSHR misses
-system.cpu.icache.overall_mshr_misses::total 928395 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 11052282500 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 11052282500 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 11052282500 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 11052282500 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 11052282500 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 11052282500 # number of overall MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.016545 # mshr miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.016545 # mshr miss rate for ReadReq accesses
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.016545 # mshr miss rate for demand accesses
-system.cpu.icache.demand_mshr_miss_rate::total 0.016545 # mshr miss rate for demand accesses
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.016545 # mshr miss rate for overall accesses
-system.cpu.icache.overall_mshr_miss_rate::total 0.016545 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 11904.719974 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 11904.719974 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 11904.719974 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 11904.719974 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 11904.719974 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 11904.719974 # average overall mshr miss latency
+system.cpu.icache.ReadReq_mshr_misses::cpu.inst 928322 # number of ReadReq MSHR misses
+system.cpu.icache.ReadReq_mshr_misses::total 928322 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses::cpu.inst 928322 # number of demand (read+write) MSHR misses
+system.cpu.icache.demand_mshr_misses::total 928322 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses::cpu.inst 928322 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_misses::total 928322 # number of overall MSHR misses
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 11047351000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 11047351000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 11047351000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 11047351000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 11047351000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 11047351000 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.016543 # mshr miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_mshr_miss_rate::total 0.016543 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.016543 # mshr miss rate for demand accesses
+system.cpu.icache.demand_mshr_miss_rate::total 0.016543 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.016543 # mshr miss rate for overall accesses
+system.cpu.icache.overall_mshr_miss_rate::total 0.016543 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 11900.343846 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 11900.343846 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 11900.343846 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 11900.343846 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 11900.343846 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 11900.343846 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.l2cache.tags.replacements 336239 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 65296.333666 # Cycle average of tags in use
-system.cpu.l2cache.tags.total_refs 2445823 # Total number of references to valid blocks.
-system.cpu.l2cache.tags.sampled_refs 401400 # Sample count of references to valid blocks.
-system.cpu.l2cache.tags.avg_refs 6.093231 # Average number of references to valid blocks.
+system.cpu.l2cache.tags.replacements 336238 # number of replacements
+system.cpu.l2cache.tags.tagsinuse 65296.035696 # Cycle average of tags in use
+system.cpu.l2cache.tags.total_refs 2445623 # Total number of references to valid blocks.
+system.cpu.l2cache.tags.sampled_refs 401399 # Sample count of references to valid blocks.
+system.cpu.l2cache.tags.avg_refs 6.092748 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 6784872750 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::writebacks 55553.405547 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 4767.094279 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 4975.833840 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::writebacks 0.847678 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_blocks::writebacks 55554.100042 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 4767.074149 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 4974.861505 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::writebacks 0.847688 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.inst 0.072740 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.075925 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.996343 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::cpu.data 0.075910 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::total 0.996338 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_task_id_blocks::1024 65161 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1024::0 177 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1024::1 1074 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1024::2 4872 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::3 3266 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::4 55772 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::3 3263 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::4 55775 # Occupied blocks per task id
system.cpu.l2cache.tags.occ_task_id_percent::1024 0.994278 # Percentage of cache occupancy per task id
-system.cpu.l2cache.tags.tag_accesses 25933937 # Number of tag accesses
-system.cpu.l2cache.tags.data_accesses 25933937 # Number of data accesses
-system.cpu.l2cache.ReadReq_hits::cpu.inst 915081 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.data 814447 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::total 1729528 # number of ReadReq hits
-system.cpu.l2cache.Writeback_hits::writebacks 834526 # number of Writeback hits
-system.cpu.l2cache.Writeback_hits::total 834526 # number of Writeback hits
+system.cpu.l2cache.tags.tag_accesses 25932255 # Number of tag accesses
+system.cpu.l2cache.tags.data_accesses 25932255 # Number of data accesses
+system.cpu.l2cache.ReadReq_hits::cpu.inst 915008 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::cpu.data 814389 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::total 1729397 # number of ReadReq hits
+system.cpu.l2cache.Writeback_hits::writebacks 834448 # number of Writeback hits
+system.cpu.l2cache.Writeback_hits::total 834448 # number of Writeback hits
system.cpu.l2cache.UpgradeReq_hits::cpu.data 4 # number of UpgradeReq hits
system.cpu.l2cache.UpgradeReq_hits::total 4 # number of UpgradeReq hits
system.cpu.l2cache.ReadExReq_hits::cpu.data 187344 # number of ReadExReq hits
system.cpu.l2cache.ReadExReq_hits::total 187344 # number of ReadExReq hits
-system.cpu.l2cache.demand_hits::cpu.inst 915081 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.data 1001791 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::total 1916872 # number of demand (read+write) hits
-system.cpu.l2cache.overall_hits::cpu.inst 915081 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.data 1001791 # number of overall hits
-system.cpu.l2cache.overall_hits::total 1916872 # number of overall hits
+system.cpu.l2cache.demand_hits::cpu.inst 915008 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::cpu.data 1001733 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::total 1916741 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits::cpu.inst 915008 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.data 1001733 # number of overall hits
+system.cpu.l2cache.overall_hits::total 1916741 # number of overall hits
system.cpu.l2cache.ReadReq_misses::cpu.inst 13294 # number of ReadReq misses
system.cpu.l2cache.ReadReq_misses::cpu.data 271960 # number of ReadReq misses
system.cpu.l2cache.ReadReq_misses::total 285254 # number of ReadReq misses
system.cpu.l2cache.UpgradeReq_misses::cpu.data 13 # number of UpgradeReq misses
system.cpu.l2cache.UpgradeReq_misses::total 13 # number of UpgradeReq misses
-system.cpu.l2cache.ReadExReq_misses::cpu.data 116846 # number of ReadExReq misses
-system.cpu.l2cache.ReadExReq_misses::total 116846 # number of ReadExReq misses
+system.cpu.l2cache.ReadExReq_misses::cpu.data 116845 # number of ReadExReq misses
+system.cpu.l2cache.ReadExReq_misses::total 116845 # number of ReadExReq misses
system.cpu.l2cache.demand_misses::cpu.inst 13294 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.data 388806 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::total 402100 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::cpu.data 388805 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::total 402099 # number of demand (read+write) misses
system.cpu.l2cache.overall_misses::cpu.inst 13294 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.data 388806 # number of overall misses
-system.cpu.l2cache.overall_misses::total 402100 # number of overall misses
-system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 973057500 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::cpu.data 17696986250 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::total 18670043750 # number of ReadReq miss cycles
+system.cpu.l2cache.overall_misses::cpu.data 388805 # number of overall misses
+system.cpu.l2cache.overall_misses::total 402099 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 968929000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.data 17693938000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::total 18662867000 # number of ReadReq miss cycles
system.cpu.l2cache.UpgradeReq_miss_latency::cpu.data 93496 # number of UpgradeReq miss cycles
system.cpu.l2cache.UpgradeReq_miss_latency::total 93496 # number of UpgradeReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 8067144131 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 8067144131 # number of ReadExReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 973057500 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 25764130381 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 26737187881 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 973057500 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 25764130381 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 26737187881 # number of overall miss cycles
-system.cpu.l2cache.ReadReq_accesses::cpu.inst 928375 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.data 1086407 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::total 2014782 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.Writeback_accesses::writebacks 834526 # number of Writeback accesses(hits+misses)
-system.cpu.l2cache.Writeback_accesses::total 834526 # number of Writeback accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 8083085881 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 8083085881 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 968929000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 25777023881 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 26745952881 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 968929000 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 25777023881 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 26745952881 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses::cpu.inst 928302 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.data 1086349 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::total 2014651 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.Writeback_accesses::writebacks 834448 # number of Writeback accesses(hits+misses)
+system.cpu.l2cache.Writeback_accesses::total 834448 # number of Writeback accesses(hits+misses)
system.cpu.l2cache.UpgradeReq_accesses::cpu.data 17 # number of UpgradeReq accesses(hits+misses)
system.cpu.l2cache.UpgradeReq_accesses::total 17 # number of UpgradeReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 304190 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::total 304190 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.demand_accesses::cpu.inst 928375 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.data 1390597 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::total 2318972 # number of demand (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.inst 928375 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.data 1390597 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::total 2318972 # number of overall (read+write) accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.014320 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.250330 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::total 0.141581 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_accesses::cpu.data 304189 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses::total 304189 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses::cpu.inst 928302 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.data 1390538 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::total 2318840 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.inst 928302 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.data 1390538 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::total 2318840 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.014321 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.250343 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::total 0.141590 # miss rate for ReadReq accesses
system.cpu.l2cache.UpgradeReq_miss_rate::cpu.data 0.764706 # miss rate for UpgradeReq accesses
system.cpu.l2cache.UpgradeReq_miss_rate::total 0.764706 # miss rate for UpgradeReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 0.384122 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::total 0.384122 # miss rate for ReadExReq accesses
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.014320 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.data 0.279596 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::total 0.173396 # miss rate for demand accesses
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.014320 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.data 0.279596 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::total 0.173396 # miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 73195.238453 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 65072.018863 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::total 65450.594032 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 0.384120 # miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate::total 0.384120 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate::cpu.inst 0.014321 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.data 0.279608 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::total 0.173405 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate::cpu.inst 0.014321 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::cpu.data 0.279608 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::total 0.173405 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 72884.684820 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 65060.810413 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::total 65425.434876 # average ReadReq miss latency
system.cpu.l2cache.UpgradeReq_avg_miss_latency::cpu.data 7192 # average UpgradeReq miss latency
system.cpu.l2cache.UpgradeReq_avg_miss_latency::total 7192 # average UpgradeReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 69040.824085 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 69040.824085 # average ReadExReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 73195.238453 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 66264.744837 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 66493.876849 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 73195.238453 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 66264.744837 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 66493.876849 # average overall miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 69177.849981 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 69177.849981 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 72884.684820 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 66298.077136 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 66515.840330 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 72884.684820 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 66298.077136 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 66515.840330 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -898,66 +909,66 @@ system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan
system.cpu.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.l2cache.fast_writes 0 # number of fast writes performed
system.cpu.l2cache.cache_copies 0 # number of cache copies performed
-system.cpu.l2cache.writebacks::writebacks 74183 # number of writebacks
-system.cpu.l2cache.writebacks::total 74183 # number of writebacks
+system.cpu.l2cache.writebacks::writebacks 74180 # number of writebacks
+system.cpu.l2cache.writebacks::total 74180 # number of writebacks
system.cpu.l2cache.ReadReq_mshr_misses::cpu.inst 13294 # number of ReadReq MSHR misses
system.cpu.l2cache.ReadReq_mshr_misses::cpu.data 271960 # number of ReadReq MSHR misses
system.cpu.l2cache.ReadReq_mshr_misses::total 285254 # number of ReadReq MSHR misses
system.cpu.l2cache.UpgradeReq_mshr_misses::cpu.data 13 # number of UpgradeReq MSHR misses
system.cpu.l2cache.UpgradeReq_mshr_misses::total 13 # number of UpgradeReq MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 116846 # number of ReadExReq MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_misses::total 116846 # number of ReadExReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 116845 # number of ReadExReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses::total 116845 # number of ReadExReq MSHR misses
system.cpu.l2cache.demand_mshr_misses::cpu.inst 13294 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.data 388806 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::total 402100 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.demand_mshr_misses::cpu.data 388805 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.demand_mshr_misses::total 402099 # number of demand (read+write) MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.inst 13294 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.data 388806 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::total 402100 # number of overall MSHR misses
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 806506000 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 14297020250 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::total 15103526250 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.overall_mshr_misses::cpu.data 388805 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_misses::total 402099 # number of overall MSHR misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 802368000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 14293948000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::total 15096316000 # number of ReadReq MSHR miss cycles
system.cpu.l2cache.UpgradeReq_mshr_miss_latency::cpu.data 130013 # number of UpgradeReq MSHR miss cycles
system.cpu.l2cache.UpgradeReq_mshr_miss_latency::total 130013 # number of UpgradeReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 6606288869 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 6606288869 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 806506000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 20903309119 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 21709815119 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 806506000 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 20903309119 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 21709815119 # number of overall MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_uncacheable_latency::cpu.data 1334146000 # number of ReadReq MSHR uncacheable cycles
-system.cpu.l2cache.ReadReq_mshr_uncacheable_latency::total 1334146000 # number of ReadReq MSHR uncacheable cycles
-system.cpu.l2cache.WriteReq_mshr_uncacheable_latency::cpu.data 1895431500 # number of WriteReq MSHR uncacheable cycles
-system.cpu.l2cache.WriteReq_mshr_uncacheable_latency::total 1895431500 # number of WriteReq MSHR uncacheable cycles
-system.cpu.l2cache.overall_mshr_uncacheable_latency::cpu.data 3229577500 # number of overall MSHR uncacheable cycles
-system.cpu.l2cache.overall_mshr_uncacheable_latency::total 3229577500 # number of overall MSHR uncacheable cycles
-system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.014320 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 0.250330 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.141581 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 6622079619 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 6622079619 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 802368000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 20916027619 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 21718395619 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 802368000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 20916027619 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 21718395619 # number of overall MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_uncacheable_latency::cpu.data 1334183000 # number of ReadReq MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_uncacheable_latency::total 1334183000 # number of ReadReq MSHR uncacheable cycles
+system.cpu.l2cache.WriteReq_mshr_uncacheable_latency::cpu.data 1893390000 # number of WriteReq MSHR uncacheable cycles
+system.cpu.l2cache.WriteReq_mshr_uncacheable_latency::total 1893390000 # number of WriteReq MSHR uncacheable cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency::cpu.data 3227573000 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency::total 3227573000 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.014321 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 0.250343 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.141590 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.UpgradeReq_mshr_miss_rate::cpu.data 0.764706 # mshr miss rate for UpgradeReq accesses
system.cpu.l2cache.UpgradeReq_mshr_miss_rate::total 0.764706 # mshr miss rate for UpgradeReq accesses
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 0.384122 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 0.384122 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.014320 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 0.279596 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::total 0.173396 # mshr miss rate for demand accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.014320 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 0.279596 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::total 0.173396 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 60666.917406 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 52570.305376 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 52947.640524 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 0.384120 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 0.384120 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.014321 # mshr miss rate for demand accesses
+system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 0.279608 # mshr miss rate for demand accesses
+system.cpu.l2cache.demand_mshr_miss_rate::total 0.173405 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.014321 # mshr miss rate for overall accesses
+system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 0.279608 # mshr miss rate for overall accesses
+system.cpu.l2cache.overall_mshr_miss_rate::total 0.173405 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 60355.649165 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 52559.008678 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 52922.363928 # average ReadReq mshr miss latency
system.cpu.l2cache.UpgradeReq_avg_mshr_miss_latency::cpu.data 10001 # average UpgradeReq mshr miss latency
system.cpu.l2cache.UpgradeReq_avg_mshr_miss_latency::total 10001 # average UpgradeReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 56538.425526 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 56538.425526 # average ReadExReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 60666.917406 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 53762.825468 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 53991.084603 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 60666.917406 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 53762.825468 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 53991.084603 # average overall mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 56674.052112 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 56674.052112 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 60355.649165 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 53795.675516 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 54012.558149 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 60355.649165 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 53795.675516 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 54012.558149 # average overall mshr miss latency
system.cpu.l2cache.ReadReq_avg_mshr_uncacheable_latency::cpu.data inf # average ReadReq mshr uncacheable latency
system.cpu.l2cache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu.l2cache.WriteReq_avg_mshr_uncacheable_latency::cpu.data inf # average WriteReq mshr uncacheable latency
@@ -965,11 +976,11 @@ system.cpu.l2cache.WriteReq_avg_mshr_uncacheable_latency::total inf
system.cpu.l2cache.overall_avg_mshr_uncacheable_latency::cpu.data inf # average overall mshr uncacheable latency
system.cpu.l2cache.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.dcache.tags.replacements 1390084 # number of replacements
+system.cpu.dcache.tags.replacements 1390025 # number of replacements
system.cpu.dcache.tags.tagsinuse 511.978881 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 14030288 # Total number of references to valid blocks.
-system.cpu.dcache.tags.sampled_refs 1390596 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 10.089406 # Average number of references to valid blocks.
+system.cpu.dcache.tags.total_refs 14030084 # Total number of references to valid blocks.
+system.cpu.dcache.tags.sampled_refs 1390537 # Sample count of references to valid blocks.
+system.cpu.dcache.tags.avg_refs 10.089688 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 107775250 # Cycle when the warmup percentage was hit.
system.cpu.dcache.tags.occ_blocks::cpu.data 511.978881 # Average occupied blocks per requestor
system.cpu.dcache.tags.occ_percent::cpu.data 0.999959 # Average percentage of cache occupancy
@@ -979,72 +990,72 @@ system.cpu.dcache.tags.age_task_id_blocks_1024::0 187
system.cpu.dcache.tags.age_task_id_blocks_1024::1 257 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::2 68 # Occupied blocks per task id
system.cpu.dcache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu.dcache.tags.tag_accesses 63074137 # Number of tag accesses
-system.cpu.dcache.tags.data_accesses 63074137 # Number of data accesses
-system.cpu.dcache.ReadReq_hits::cpu.data 7802568 # number of ReadReq hits
-system.cpu.dcache.ReadReq_hits::total 7802568 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 5845442 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 5845442 # number of WriteReq hits
-system.cpu.dcache.LoadLockedReq_hits::cpu.data 183034 # number of LoadLockedReq hits
-system.cpu.dcache.LoadLockedReq_hits::total 183034 # number of LoadLockedReq hits
-system.cpu.dcache.StoreCondReq_hits::cpu.data 199227 # number of StoreCondReq hits
-system.cpu.dcache.StoreCondReq_hits::total 199227 # number of StoreCondReq hits
-system.cpu.dcache.demand_hits::cpu.data 13648010 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 13648010 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 13648010 # number of overall hits
-system.cpu.dcache.overall_hits::total 13648010 # number of overall hits
-system.cpu.dcache.ReadReq_misses::cpu.data 1069193 # number of ReadReq misses
-system.cpu.dcache.ReadReq_misses::total 1069193 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 304207 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 304207 # number of WriteReq misses
-system.cpu.dcache.LoadLockedReq_misses::cpu.data 17214 # number of LoadLockedReq misses
-system.cpu.dcache.LoadLockedReq_misses::total 17214 # number of LoadLockedReq misses
-system.cpu.dcache.demand_misses::cpu.data 1373400 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 1373400 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 1373400 # number of overall misses
-system.cpu.dcache.overall_misses::total 1373400 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 28998201750 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 28998201750 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 10906246382 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 10906246382 # number of WriteReq miss cycles
-system.cpu.dcache.LoadLockedReq_miss_latency::cpu.data 228174000 # number of LoadLockedReq miss cycles
-system.cpu.dcache.LoadLockedReq_miss_latency::total 228174000 # number of LoadLockedReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 39904448132 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 39904448132 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 39904448132 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 39904448132 # number of overall miss cycles
-system.cpu.dcache.ReadReq_accesses::cpu.data 8871761 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.ReadReq_accesses::total 8871761 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::cpu.data 6149649 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::total 6149649 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.LoadLockedReq_accesses::cpu.data 200248 # number of LoadLockedReq accesses(hits+misses)
-system.cpu.dcache.LoadLockedReq_accesses::total 200248 # number of LoadLockedReq accesses(hits+misses)
-system.cpu.dcache.StoreCondReq_accesses::cpu.data 199227 # number of StoreCondReq accesses(hits+misses)
-system.cpu.dcache.StoreCondReq_accesses::total 199227 # number of StoreCondReq accesses(hits+misses)
-system.cpu.dcache.demand_accesses::cpu.data 15021410 # number of demand (read+write) accesses
-system.cpu.dcache.demand_accesses::total 15021410 # number of demand (read+write) accesses
-system.cpu.dcache.overall_accesses::cpu.data 15021410 # number of overall (read+write) accesses
-system.cpu.dcache.overall_accesses::total 15021410 # number of overall (read+write) accesses
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.120516 # miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_miss_rate::total 0.120516 # miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.049467 # miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_miss_rate::total 0.049467 # miss rate for WriteReq accesses
-system.cpu.dcache.LoadLockedReq_miss_rate::cpu.data 0.085963 # miss rate for LoadLockedReq accesses
-system.cpu.dcache.LoadLockedReq_miss_rate::total 0.085963 # miss rate for LoadLockedReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.091429 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.091429 # miss rate for demand accesses
-system.cpu.dcache.overall_miss_rate::cpu.data 0.091429 # miss rate for overall accesses
-system.cpu.dcache.overall_miss_rate::total 0.091429 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 27121.578377 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 27121.578377 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 35851.398495 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 35851.398495 # average WriteReq miss latency
-system.cpu.dcache.LoadLockedReq_avg_miss_latency::cpu.data 13255.141164 # average LoadLockedReq miss latency
-system.cpu.dcache.LoadLockedReq_avg_miss_latency::total 13255.141164 # average LoadLockedReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 29055.226541 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 29055.226541 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 29055.226541 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 29055.226541 # average overall miss latency
+system.cpu.dcache.tags.tag_accesses 63073026 # Number of tag accesses
+system.cpu.dcache.tags.data_accesses 63073026 # Number of data accesses
+system.cpu.dcache.ReadReq_hits::cpu.data 7802461 # number of ReadReq hits
+system.cpu.dcache.ReadReq_hits::total 7802461 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits::cpu.data 5845351 # number of WriteReq hits
+system.cpu.dcache.WriteReq_hits::total 5845351 # number of WriteReq hits
+system.cpu.dcache.LoadLockedReq_hits::cpu.data 183030 # number of LoadLockedReq hits
+system.cpu.dcache.LoadLockedReq_hits::total 183030 # number of LoadLockedReq hits
+system.cpu.dcache.StoreCondReq_hits::cpu.data 199225 # number of StoreCondReq hits
+system.cpu.dcache.StoreCondReq_hits::total 199225 # number of StoreCondReq hits
+system.cpu.dcache.demand_hits::cpu.data 13647812 # number of demand (read+write) hits
+system.cpu.dcache.demand_hits::total 13647812 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits::cpu.data 13647812 # number of overall hits
+system.cpu.dcache.overall_hits::total 13647812 # number of overall hits
+system.cpu.dcache.ReadReq_misses::cpu.data 1069134 # number of ReadReq misses
+system.cpu.dcache.ReadReq_misses::total 1069134 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses::cpu.data 304206 # number of WriteReq misses
+system.cpu.dcache.WriteReq_misses::total 304206 # number of WriteReq misses
+system.cpu.dcache.LoadLockedReq_misses::cpu.data 17215 # number of LoadLockedReq misses
+system.cpu.dcache.LoadLockedReq_misses::total 17215 # number of LoadLockedReq misses
+system.cpu.dcache.demand_misses::cpu.data 1373340 # number of demand (read+write) misses
+system.cpu.dcache.demand_misses::total 1373340 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses::cpu.data 1373340 # number of overall misses
+system.cpu.dcache.overall_misses::total 1373340 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency::cpu.data 28994287250 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 28994287250 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.data 10922192632 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 10922192632 # number of WriteReq miss cycles
+system.cpu.dcache.LoadLockedReq_miss_latency::cpu.data 228270750 # number of LoadLockedReq miss cycles
+system.cpu.dcache.LoadLockedReq_miss_latency::total 228270750 # number of LoadLockedReq miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 39916479882 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 39916479882 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 39916479882 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 39916479882 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses::cpu.data 8871595 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.ReadReq_accesses::total 8871595 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses::cpu.data 6149557 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses::total 6149557 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.LoadLockedReq_accesses::cpu.data 200245 # number of LoadLockedReq accesses(hits+misses)
+system.cpu.dcache.LoadLockedReq_accesses::total 200245 # number of LoadLockedReq accesses(hits+misses)
+system.cpu.dcache.StoreCondReq_accesses::cpu.data 199225 # number of StoreCondReq accesses(hits+misses)
+system.cpu.dcache.StoreCondReq_accesses::total 199225 # number of StoreCondReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses::cpu.data 15021152 # number of demand (read+write) accesses
+system.cpu.dcache.demand_accesses::total 15021152 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses::cpu.data 15021152 # number of overall (read+write) accesses
+system.cpu.dcache.overall_accesses::total 15021152 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.120512 # miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_miss_rate::total 0.120512 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.049468 # miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_miss_rate::total 0.049468 # miss rate for WriteReq accesses
+system.cpu.dcache.LoadLockedReq_miss_rate::cpu.data 0.085970 # miss rate for LoadLockedReq accesses
+system.cpu.dcache.LoadLockedReq_miss_rate::total 0.085970 # miss rate for LoadLockedReq accesses
+system.cpu.dcache.demand_miss_rate::cpu.data 0.091427 # miss rate for demand accesses
+system.cpu.dcache.demand_miss_rate::total 0.091427 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate::cpu.data 0.091427 # miss rate for overall accesses
+system.cpu.dcache.overall_miss_rate::total 0.091427 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 27119.413703 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 27119.413703 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 35903.935596 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 35903.935596 # average WriteReq miss latency
+system.cpu.dcache.LoadLockedReq_avg_miss_latency::cpu.data 13259.991287 # average LoadLockedReq miss latency
+system.cpu.dcache.LoadLockedReq_avg_miss_latency::total 13259.991287 # average LoadLockedReq miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 29065.256879 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 29065.256879 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 29065.256879 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 29065.256879 # average overall miss latency
system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -1053,54 +1064,54 @@ system.cpu.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.dcache.fast_writes 0 # number of fast writes performed
system.cpu.dcache.cache_copies 0 # number of cache copies performed
-system.cpu.dcache.writebacks::writebacks 834526 # number of writebacks
-system.cpu.dcache.writebacks::total 834526 # number of writebacks
-system.cpu.dcache.ReadReq_mshr_misses::cpu.data 1069193 # number of ReadReq MSHR misses
-system.cpu.dcache.ReadReq_mshr_misses::total 1069193 # number of ReadReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::cpu.data 304207 # number of WriteReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::total 304207 # number of WriteReq MSHR misses
-system.cpu.dcache.LoadLockedReq_mshr_misses::cpu.data 17214 # number of LoadLockedReq MSHR misses
-system.cpu.dcache.LoadLockedReq_mshr_misses::total 17214 # number of LoadLockedReq MSHR misses
-system.cpu.dcache.demand_mshr_misses::cpu.data 1373400 # number of demand (read+write) MSHR misses
-system.cpu.dcache.demand_mshr_misses::total 1373400 # number of demand (read+write) MSHR misses
-system.cpu.dcache.overall_mshr_misses::cpu.data 1373400 # number of overall MSHR misses
-system.cpu.dcache.overall_mshr_misses::total 1373400 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 26734131250 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 26734131250 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 10245126618 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 10245126618 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.LoadLockedReq_mshr_miss_latency::cpu.data 193732000 # number of LoadLockedReq MSHR miss cycles
-system.cpu.dcache.LoadLockedReq_mshr_miss_latency::total 193732000 # number of LoadLockedReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 36979257868 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 36979257868 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 36979257868 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 36979257868 # number of overall MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_uncacheable_latency::cpu.data 1424236000 # number of ReadReq MSHR uncacheable cycles
-system.cpu.dcache.ReadReq_mshr_uncacheable_latency::total 1424236000 # number of ReadReq MSHR uncacheable cycles
-system.cpu.dcache.WriteReq_mshr_uncacheable_latency::cpu.data 2011219500 # number of WriteReq MSHR uncacheable cycles
-system.cpu.dcache.WriteReq_mshr_uncacheable_latency::total 2011219500 # number of WriteReq MSHR uncacheable cycles
-system.cpu.dcache.overall_mshr_uncacheable_latency::cpu.data 3435455500 # number of overall MSHR uncacheable cycles
-system.cpu.dcache.overall_mshr_uncacheable_latency::total 3435455500 # number of overall MSHR uncacheable cycles
-system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.120516 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.120516 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.049467 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.049467 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.LoadLockedReq_mshr_miss_rate::cpu.data 0.085963 # mshr miss rate for LoadLockedReq accesses
-system.cpu.dcache.LoadLockedReq_mshr_miss_rate::total 0.085963 # mshr miss rate for LoadLockedReq accesses
-system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.091429 # mshr miss rate for demand accesses
-system.cpu.dcache.demand_mshr_miss_rate::total 0.091429 # mshr miss rate for demand accesses
-system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.091429 # mshr miss rate for overall accesses
-system.cpu.dcache.overall_mshr_miss_rate::total 0.091429 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 25004.027570 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 25004.027570 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 33678.142245 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 33678.142245 # average WriteReq mshr miss latency
-system.cpu.dcache.LoadLockedReq_avg_mshr_miss_latency::cpu.data 11254.327873 # average LoadLockedReq mshr miss latency
-system.cpu.dcache.LoadLockedReq_avg_mshr_miss_latency::total 11254.327873 # average LoadLockedReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 26925.337023 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 26925.337023 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 26925.337023 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 26925.337023 # average overall mshr miss latency
+system.cpu.dcache.writebacks::writebacks 834448 # number of writebacks
+system.cpu.dcache.writebacks::total 834448 # number of writebacks
+system.cpu.dcache.ReadReq_mshr_misses::cpu.data 1069134 # number of ReadReq MSHR misses
+system.cpu.dcache.ReadReq_mshr_misses::total 1069134 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses::cpu.data 304206 # number of WriteReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses::total 304206 # number of WriteReq MSHR misses
+system.cpu.dcache.LoadLockedReq_mshr_misses::cpu.data 17215 # number of LoadLockedReq MSHR misses
+system.cpu.dcache.LoadLockedReq_mshr_misses::total 17215 # number of LoadLockedReq MSHR misses
+system.cpu.dcache.demand_mshr_misses::cpu.data 1373340 # number of demand (read+write) MSHR misses
+system.cpu.dcache.demand_mshr_misses::total 1373340 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses::cpu.data 1373340 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_misses::total 1373340 # number of overall MSHR misses
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 26730348750 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 26730348750 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 10261067368 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 10261067368 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.LoadLockedReq_mshr_miss_latency::cpu.data 193828250 # number of LoadLockedReq MSHR miss cycles
+system.cpu.dcache.LoadLockedReq_mshr_miss_latency::total 193828250 # number of LoadLockedReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 36991416118 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 36991416118 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 36991416118 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 36991416118 # number of overall MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_uncacheable_latency::cpu.data 1424273000 # number of ReadReq MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_uncacheable_latency::total 1424273000 # number of ReadReq MSHR uncacheable cycles
+system.cpu.dcache.WriteReq_mshr_uncacheable_latency::cpu.data 2009178000 # number of WriteReq MSHR uncacheable cycles
+system.cpu.dcache.WriteReq_mshr_uncacheable_latency::total 2009178000 # number of WriteReq MSHR uncacheable cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency::cpu.data 3433451000 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency::total 3433451000 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.120512 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.120512 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.049468 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.049468 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.LoadLockedReq_mshr_miss_rate::cpu.data 0.085970 # mshr miss rate for LoadLockedReq accesses
+system.cpu.dcache.LoadLockedReq_mshr_miss_rate::total 0.085970 # mshr miss rate for LoadLockedReq accesses
+system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.091427 # mshr miss rate for demand accesses
+system.cpu.dcache.demand_mshr_miss_rate::total 0.091427 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.091427 # mshr miss rate for overall accesses
+system.cpu.dcache.overall_mshr_miss_rate::total 0.091427 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 25001.869504 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 25001.869504 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 33730.654123 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 33730.654123 # average WriteReq mshr miss latency
+system.cpu.dcache.LoadLockedReq_avg_mshr_miss_latency::cpu.data 11259.265176 # average LoadLockedReq mshr miss latency
+system.cpu.dcache.LoadLockedReq_avg_mshr_miss_latency::total 11259.265176 # average LoadLockedReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 26935.366419 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 26935.366419 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 26935.366419 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 26935.366419 # average overall mshr miss latency
system.cpu.dcache.ReadReq_avg_mshr_uncacheable_latency::cpu.data inf # average ReadReq mshr uncacheable latency
system.cpu.dcache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu.dcache.WriteReq_avg_mshr_uncacheable_latency::cpu.data inf # average WriteReq mshr uncacheable latency
@@ -1108,32 +1119,41 @@ system.cpu.dcache.WriteReq_avg_mshr_uncacheable_latency::total inf
system.cpu.dcache.overall_avg_mshr_uncacheable_latency::cpu.data inf # average overall mshr uncacheable latency
system.cpu.dcache.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 106562255 # Throughput (bytes/s)
-system.cpu.toL2Bus.trans_dist::ReadReq 2021905 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadResp 2021888 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadReq 2021774 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadResp 2021757 # Transaction distribution
system.cpu.toL2Bus.trans_dist::WriteReq 9649 # Transaction distribution
system.cpu.toL2Bus.trans_dist::WriteResp 9649 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::Writeback 834526 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::WriteInvalidateReq 41563 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::Writeback 834448 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::WriteInvalidateReq 41564 # Transaction distribution
system.cpu.toL2Bus.trans_dist::UpgradeReq 17 # Transaction distribution
system.cpu.toL2Bus.trans_dist::UpgradeResp 17 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExReq 304190 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExResp 304190 # Transaction distribution
-system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 1856770 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 3649068 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count::total 5505838 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 59416000 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 142462412 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 201878412 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 201868428 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 2671296 # Total snoop data (bytes)
-system.cpu.toL2Bus.reqLayer0.occupancy 2424407500 # Layer occupancy (ticks)
+system.cpu.toL2Bus.trans_dist::ReadExReq 304189 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadExResp 304189 # Transaction distribution
+system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 1856624 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 3648872 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count::total 5505496 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 59411328 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 142453644 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 201864972 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 41913 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 3195062 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1.013063 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0.113544 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 3153325 98.69% 98.69% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 41737 1.31% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 2 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 3195062 # Request fanout histogram
+system.cpu.toL2Bus.reqLayer0.occupancy 2424224500 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.1 # Layer utilization (%)
system.cpu.toL2Bus.snoopLayer0.occupancy 234000 # Layer occupancy (ticks)
system.cpu.toL2Bus.snoopLayer0.utilization 0.0 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer0.occupancy 1395179500 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer0.occupancy 1395050000 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer0.utilization 0.1 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer1.occupancy 2186860632 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer1.occupancy 2186768132 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 0.1 # Layer utilization (%)
---------- End Simulation Statistics ----------
diff --git a/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/stats.txt b/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/stats.txt
index df149be6e..2e680c93e 100644
--- a/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/stats.txt
+++ b/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic-dual/stats.txt
@@ -1,69 +1,18 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 0.900855 # Number of seconds simulated
-sim_ticks 900854787500 # Number of ticks simulated
-final_tick 900854787500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 0.900830 # Number of seconds simulated
+sim_ticks 900829868000 # Number of ticks simulated
+final_tick 900829868000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 875862 # Simulator instruction rate (inst/s)
-host_op_rate 1055198 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 12821864647 # Simulator tick rate (ticks/s)
-host_mem_usage 433912 # Number of bytes of host memory used
-host_seconds 70.26 # Real time elapsed on the host
-sim_insts 61537412 # Number of instructions simulated
-sim_ops 74137396 # Number of ops (including micro ops) simulated
+host_inst_rate 1355321 # Simulator instruction rate (inst/s)
+host_op_rate 1632835 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 19839612971 # Simulator tick rate (ticks/s)
+host_mem_usage 467260 # Number of bytes of host memory used
+host_seconds 45.41 # Real time elapsed on the host
+sim_insts 61539136 # Number of instructions simulated
+sim_ops 74139862 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
-system.physmem.bytes_read::realview.clcd 39321600 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu0.dtb.walker 256 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu0.itb.walker 192 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu0.inst 460108 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu0.data 6580092 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1.inst 258564 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1.data 2992120 # Number of bytes read from this memory
-system.physmem.bytes_read::total 49612932 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu0.inst 460108 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::cpu1.inst 258564 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 718672 # Number of instructions bytes read from this memory
-system.physmem.bytes_written::writebacks 4174784 # Number of bytes written to this memory
-system.physmem.bytes_written::cpu0.data 3027048 # Number of bytes written to this memory
-system.physmem.bytes_written::cpu1.data 40 # Number of bytes written to this memory
-system.physmem.bytes_written::total 7201872 # Number of bytes written to this memory
-system.physmem.num_reads::realview.clcd 4915200 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu0.dtb.walker 4 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu0.itb.walker 3 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu0.inst 13417 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu0.data 102873 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1.inst 4131 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1.data 46770 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 5082398 # Number of read requests responded to by this memory
-system.physmem.num_writes::writebacks 65231 # Number of write requests responded to by this memory
-system.physmem.num_writes::cpu0.data 756762 # Number of write requests responded to by this memory
-system.physmem.num_writes::cpu1.data 10 # Number of write requests responded to by this memory
-system.physmem.num_writes::total 822003 # Number of write requests responded to by this memory
-system.physmem.bw_read::realview.clcd 43649210 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.dtb.walker 284 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.itb.walker 213 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.inst 510746 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.data 7304276 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.inst 287021 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.data 3321423 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 55073173 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu0.inst 510746 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu1.inst 287021 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 797767 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_write::writebacks 4634247 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu0.data 3360195 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu1.data 44 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::total 7994487 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_total::writebacks 4634247 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::realview.clcd 43649210 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.dtb.walker 284 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.itb.walker 213 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.inst 510746 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.data 10664471 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.inst 287021 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.data 3321468 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 63067661 # Total bandwidth to/from this memory (bytes/s)
system.realview.nvmem.bytes_read::cpu0.inst 20 # Number of bytes read from this memory
system.realview.nvmem.bytes_read::cpu1.inst 48 # Number of bytes read from this memory
system.realview.nvmem.bytes_read::total 68 # Number of bytes read from this memory
@@ -82,180 +31,270 @@ system.realview.nvmem.bw_inst_read::total 75 # I
system.realview.nvmem.bw_total::cpu0.inst 22 # Total bandwidth to/from this memory (bytes/s)
system.realview.nvmem.bw_total::cpu1.inst 53 # Total bandwidth to/from this memory (bytes/s)
system.realview.nvmem.bw_total::total 75 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 65740815 # Throughput (bytes/s)
-system.membus.data_through_bus 59222928 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.physmem.bytes_read::realview.clcd 39321600 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.dtb.walker 64 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.itb.walker 128 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.inst 468620 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.data 6508860 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu1.inst 266564 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu1.data 2938616 # Number of bytes read from this memory
+system.physmem.bytes_read::total 49504452 # Number of bytes read from this memory
+system.physmem.bytes_inst_read::cpu0.inst 468620 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::cpu1.inst 266564 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::total 735184 # Number of instructions bytes read from this memory
+system.physmem.bytes_written::writebacks 3365568 # Number of bytes written to this memory
+system.physmem.bytes_written::cpu0.data 3027048 # Number of bytes written to this memory
+system.physmem.bytes_written::cpu1.data 40 # Number of bytes written to this memory
+system.physmem.bytes_written::total 6392656 # Number of bytes written to this memory
+system.physmem.num_reads::realview.clcd 4915200 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu0.dtb.walker 1 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu0.itb.walker 2 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu0.inst 13550 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu0.data 101760 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu1.inst 4256 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu1.data 45934 # Number of read requests responded to by this memory
+system.physmem.num_reads::total 5080703 # Number of read requests responded to by this memory
+system.physmem.num_writes::writebacks 52587 # Number of write requests responded to by this memory
+system.physmem.num_writes::cpu0.data 756762 # Number of write requests responded to by this memory
+system.physmem.num_writes::cpu1.data 10 # Number of write requests responded to by this memory
+system.physmem.num_writes::total 809359 # Number of write requests responded to by this memory
+system.physmem.bw_read::realview.clcd 43650418 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.dtb.walker 71 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.itb.walker 142 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.inst 520209 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.data 7225404 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.inst 295909 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.data 3262121 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 54954275 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu0.inst 520209 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu1.inst 295909 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 816119 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write::writebacks 3736075 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu0.data 3360288 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu1.data 44 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::total 7096408 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total::writebacks 3736075 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::realview.clcd 43650418 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.dtb.walker 71 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.itb.walker 142 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.inst 520209 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.data 10585693 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.inst 295909 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.data 3262165 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 62050682 # Total bandwidth to/from this memory (bytes/s)
+system.membus.trans_dist::ReadReq 6129610 # Transaction distribution
+system.membus.trans_dist::ReadResp 6129610 # Transaction distribution
+system.membus.trans_dist::WriteReq 767040 # Transaction distribution
+system.membus.trans_dist::WriteResp 767040 # Transaction distribution
+system.membus.trans_dist::Writeback 52587 # Transaction distribution
+system.membus.trans_dist::UpgradeReq 37380 # Transaction distribution
+system.membus.trans_dist::SCUpgradeReq 20039 # Transaction distribution
+system.membus.trans_dist::UpgradeResp 14449 # Transaction distribution
+system.membus.trans_dist::ReadExReq 163617 # Transaction distribution
+system.membus.trans_dist::ReadExResp 136674 # Transaction distribution
+system.membus.pkt_count_system.l2c.mem_side::system.bridge.slave 2382414 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::system.realview.nvmem.port 34 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::system.realview.gic.pio 8564 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::system.realview.a9scu.pio 4 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::system.realview.local_cpu_timer.pio 682 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 1995948 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::total 4387646 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 9830400 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::total 9830400 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 14218046 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.bridge.slave 2389580 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.realview.nvmem.port 68 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.realview.gic.pio 17128 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.realview.a9scu.pio 8 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.realview.local_cpu_timer.pio 1364 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.physmem.port 16575508 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::total 18983656 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::system.physmem.port 39321600 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::total 39321600 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 58305256 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 295628 # Request fanout histogram
+system.membus.snoop_fanout::mean 1 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 295628 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 1 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 295628 # Request fanout histogram
system.cpu_clk_domain.clock 500 # Clock period in ticks
-system.l2c.tags.replacements 70256 # number of replacements
-system.l2c.tags.tagsinuse 51491.506872 # Cycle average of tags in use
-system.l2c.tags.total_refs 1633923 # Total number of references to valid blocks.
-system.l2c.tags.sampled_refs 135467 # Sample count of references to valid blocks.
-system.l2c.tags.avg_refs 12.061410 # Average number of references to valid blocks.
+system.l2c.tags.replacements 60014 # number of replacements
+system.l2c.tags.tagsinuse 50124.590156 # Cycle average of tags in use
+system.l2c.tags.total_refs 136044 # Total number of references to valid blocks.
+system.l2c.tags.sampled_refs 120331 # Sample count of references to valid blocks.
+system.l2c.tags.avg_refs 1.130581 # Average number of references to valid blocks.
system.l2c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.l2c.tags.occ_blocks::writebacks 39155.338647 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.dtb.walker 2.673377 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.itb.walker 0.001056 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.inst 4830.605577 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.data 5154.208952 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.inst 1696.649192 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.data 652.030072 # Average occupied blocks per requestor
-system.l2c.tags.occ_percent::writebacks 0.597463 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.dtb.walker 0.000041 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.itb.walker 0.000000 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.inst 0.073709 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.data 0.078647 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1.inst 0.025889 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1.data 0.009949 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::total 0.785698 # Average percentage of cache occupancy
-system.l2c.tags.occ_task_id_blocks::1023 4 # Occupied blocks per task id
-system.l2c.tags.occ_task_id_blocks::1024 65207 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1023::2 1 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1023::4 3 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::0 24 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::1 259 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::2 3953 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::3 12685 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::4 48286 # Occupied blocks per task id
-system.l2c.tags.occ_task_id_percent::1023 0.000061 # Percentage of cache occupancy per task id
-system.l2c.tags.occ_task_id_percent::1024 0.994980 # Percentage of cache occupancy per task id
-system.l2c.tags.tag_accesses 16963603 # Number of tag accesses
-system.l2c.tags.data_accesses 16963603 # Number of data accesses
-system.l2c.ReadReq_hits::cpu0.dtb.walker 4298 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu0.itb.walker 1596 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu0.inst 413244 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu0.data 202837 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.dtb.walker 4578 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.itb.walker 1943 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.inst 438543 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.data 146503 # number of ReadReq hits
-system.l2c.ReadReq_hits::total 1213542 # number of ReadReq hits
-system.l2c.Writeback_hits::writebacks 571726 # number of Writeback hits
-system.l2c.Writeback_hits::total 571726 # number of Writeback hits
-system.l2c.UpgradeReq_hits::cpu0.data 1266 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::cpu1.data 397 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::total 1663 # number of UpgradeReq hits
-system.l2c.SCUpgradeReq_hits::cpu0.data 238 # number of SCUpgradeReq hits
-system.l2c.SCUpgradeReq_hits::cpu1.data 38 # number of SCUpgradeReq hits
-system.l2c.SCUpgradeReq_hits::total 276 # number of SCUpgradeReq hits
-system.l2c.ReadExReq_hits::cpu0.data 51499 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::cpu1.data 57148 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::total 108647 # number of ReadExReq hits
-system.l2c.demand_hits::cpu0.dtb.walker 4298 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu0.itb.walker 1596 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu0.inst 413244 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu0.data 254336 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.dtb.walker 4578 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.itb.walker 1943 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.inst 438543 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.data 203651 # number of demand (read+write) hits
-system.l2c.demand_hits::total 1322189 # number of demand (read+write) hits
-system.l2c.overall_hits::cpu0.dtb.walker 4298 # number of overall hits
-system.l2c.overall_hits::cpu0.itb.walker 1596 # number of overall hits
-system.l2c.overall_hits::cpu0.inst 413244 # number of overall hits
-system.l2c.overall_hits::cpu0.data 254336 # number of overall hits
-system.l2c.overall_hits::cpu1.dtb.walker 4578 # number of overall hits
-system.l2c.overall_hits::cpu1.itb.walker 1943 # number of overall hits
-system.l2c.overall_hits::cpu1.inst 438543 # number of overall hits
-system.l2c.overall_hits::cpu1.data 203651 # number of overall hits
-system.l2c.overall_hits::total 1322189 # number of overall hits
-system.l2c.ReadReq_misses::cpu0.dtb.walker 4 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu0.itb.walker 3 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu0.inst 6774 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu0.data 9699 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1.inst 4034 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1.data 1828 # number of ReadReq misses
-system.l2c.ReadReq_misses::total 22342 # number of ReadReq misses
-system.l2c.UpgradeReq_misses::cpu0.data 2906 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu1.data 5033 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::total 7939 # number of UpgradeReq misses
-system.l2c.SCUpgradeReq_misses::cpu0.data 414 # number of SCUpgradeReq misses
-system.l2c.SCUpgradeReq_misses::cpu1.data 662 # number of SCUpgradeReq misses
-system.l2c.SCUpgradeReq_misses::total 1076 # number of SCUpgradeReq misses
-system.l2c.ReadExReq_misses::cpu0.data 94027 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::cpu1.data 46518 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::total 140545 # number of ReadExReq misses
-system.l2c.demand_misses::cpu0.dtb.walker 4 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu0.itb.walker 3 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu0.inst 6774 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu0.data 103726 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1.inst 4034 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1.data 48346 # number of demand (read+write) misses
-system.l2c.demand_misses::total 162887 # number of demand (read+write) misses
-system.l2c.overall_misses::cpu0.dtb.walker 4 # number of overall misses
-system.l2c.overall_misses::cpu0.itb.walker 3 # number of overall misses
-system.l2c.overall_misses::cpu0.inst 6774 # number of overall misses
-system.l2c.overall_misses::cpu0.data 103726 # number of overall misses
-system.l2c.overall_misses::cpu1.inst 4034 # number of overall misses
-system.l2c.overall_misses::cpu1.data 48346 # number of overall misses
-system.l2c.overall_misses::total 162887 # number of overall misses
-system.l2c.ReadReq_accesses::cpu0.dtb.walker 4302 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu0.itb.walker 1599 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu0.inst 420018 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu0.data 212536 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.dtb.walker 4578 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.itb.walker 1943 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.inst 442577 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.data 148331 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::total 1235884 # number of ReadReq accesses(hits+misses)
-system.l2c.Writeback_accesses::writebacks 571726 # number of Writeback accesses(hits+misses)
-system.l2c.Writeback_accesses::total 571726 # number of Writeback accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu0.data 4172 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu1.data 5430 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::total 9602 # number of UpgradeReq accesses(hits+misses)
-system.l2c.SCUpgradeReq_accesses::cpu0.data 652 # number of SCUpgradeReq accesses(hits+misses)
-system.l2c.SCUpgradeReq_accesses::cpu1.data 700 # number of SCUpgradeReq accesses(hits+misses)
-system.l2c.SCUpgradeReq_accesses::total 1352 # number of SCUpgradeReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu0.data 145526 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu1.data 103666 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::total 249192 # number of ReadExReq accesses(hits+misses)
-system.l2c.demand_accesses::cpu0.dtb.walker 4302 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu0.itb.walker 1599 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu0.inst 420018 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu0.data 358062 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.dtb.walker 4578 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.itb.walker 1943 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.inst 442577 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.data 251997 # number of demand (read+write) accesses
-system.l2c.demand_accesses::total 1485076 # number of demand (read+write) accesses
-system.l2c.overall_accesses::cpu0.dtb.walker 4302 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu0.itb.walker 1599 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu0.inst 420018 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu0.data 358062 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.dtb.walker 4578 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.itb.walker 1943 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.inst 442577 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.data 251997 # number of overall (read+write) accesses
-system.l2c.overall_accesses::total 1485076 # number of overall (read+write) accesses
-system.l2c.ReadReq_miss_rate::cpu0.dtb.walker 0.000930 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu0.itb.walker 0.001876 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu0.inst 0.016128 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu0.data 0.045635 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1.inst 0.009115 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1.data 0.012324 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::total 0.018078 # miss rate for ReadReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu0.data 0.696548 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu1.data 0.926888 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::total 0.826807 # miss rate for UpgradeReq accesses
-system.l2c.SCUpgradeReq_miss_rate::cpu0.data 0.634969 # miss rate for SCUpgradeReq accesses
-system.l2c.SCUpgradeReq_miss_rate::cpu1.data 0.945714 # miss rate for SCUpgradeReq accesses
-system.l2c.SCUpgradeReq_miss_rate::total 0.795858 # miss rate for SCUpgradeReq accesses
-system.l2c.ReadExReq_miss_rate::cpu0.data 0.646118 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::cpu1.data 0.448730 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::total 0.564003 # miss rate for ReadExReq accesses
-system.l2c.demand_miss_rate::cpu0.dtb.walker 0.000930 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu0.itb.walker 0.001876 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu0.inst 0.016128 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu0.data 0.289687 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1.inst 0.009115 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1.data 0.191851 # miss rate for demand accesses
-system.l2c.demand_miss_rate::total 0.109683 # miss rate for demand accesses
-system.l2c.overall_miss_rate::cpu0.dtb.walker 0.000930 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu0.itb.walker 0.001876 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu0.inst 0.016128 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu0.data 0.289687 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1.inst 0.009115 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1.data 0.191851 # miss rate for overall accesses
-system.l2c.overall_miss_rate::total 0.109683 # miss rate for overall accesses
+system.l2c.tags.occ_blocks::writebacks 37074.868959 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.dtb.walker 0.077014 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.itb.walker 1.053163 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.inst 4876.195614 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.data 5801.198822 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.inst 1684.572168 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.data 686.624416 # Average occupied blocks per requestor
+system.l2c.tags.occ_percent::writebacks 0.565718 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.dtb.walker 0.000001 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.itb.walker 0.000016 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.inst 0.074405 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.data 0.088519 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1.inst 0.025705 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1.data 0.010477 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::total 0.764841 # Average percentage of cache occupancy
+system.l2c.tags.occ_task_id_blocks::1023 3 # Occupied blocks per task id
+system.l2c.tags.occ_task_id_blocks::1024 60314 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1023::3 1 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1023::4 2 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::0 13 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::1 81 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::2 1748 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::3 13321 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::4 45151 # Occupied blocks per task id
+system.l2c.tags.occ_task_id_percent::1023 0.000046 # Percentage of cache occupancy per task id
+system.l2c.tags.occ_task_id_percent::1024 0.920319 # Percentage of cache occupancy per task id
+system.l2c.tags.tag_accesses 3837449 # Number of tag accesses
+system.l2c.tags.data_accesses 3837449 # Number of data accesses
+system.l2c.ReadReq_hits::cpu0.dtb.walker 59 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu0.itb.walker 32 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu0.inst 12381 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu0.data 37925 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.dtb.walker 68 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.itb.walker 43 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.inst 18539 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.data 11807 # number of ReadReq hits
+system.l2c.ReadReq_hits::total 80854 # number of ReadReq hits
+system.l2c.Writeback_hits::writebacks 175673 # number of Writeback hits
+system.l2c.Writeback_hits::total 175673 # number of Writeback hits
+system.l2c.UpgradeReq_hits::cpu0.data 221 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::cpu1.data 174 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::total 395 # number of UpgradeReq hits
+system.l2c.SCUpgradeReq_hits::cpu0.data 20 # number of SCUpgradeReq hits
+system.l2c.SCUpgradeReq_hits::cpu1.data 20 # number of SCUpgradeReq hits
+system.l2c.SCUpgradeReq_hits::total 40 # number of SCUpgradeReq hits
+system.l2c.ReadExReq_hits::cpu0.data 7332 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::cpu1.data 6046 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::total 13378 # number of ReadExReq hits
+system.l2c.demand_hits::cpu0.dtb.walker 59 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu0.itb.walker 32 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu0.inst 12381 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu0.data 45257 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.dtb.walker 68 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.itb.walker 43 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.inst 18539 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.data 17853 # number of demand (read+write) hits
+system.l2c.demand_hits::total 94232 # number of demand (read+write) hits
+system.l2c.overall_hits::cpu0.dtb.walker 59 # number of overall hits
+system.l2c.overall_hits::cpu0.itb.walker 32 # number of overall hits
+system.l2c.overall_hits::cpu0.inst 12381 # number of overall hits
+system.l2c.overall_hits::cpu0.data 45257 # number of overall hits
+system.l2c.overall_hits::cpu1.dtb.walker 68 # number of overall hits
+system.l2c.overall_hits::cpu1.itb.walker 43 # number of overall hits
+system.l2c.overall_hits::cpu1.inst 18539 # number of overall hits
+system.l2c.overall_hits::cpu1.data 17853 # number of overall hits
+system.l2c.overall_hits::total 94232 # number of overall hits
+system.l2c.ReadReq_misses::cpu0.dtb.walker 1 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu0.itb.walker 2 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu0.inst 6907 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu0.data 9458 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1.inst 4159 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1.data 1478 # number of ReadReq misses
+system.l2c.ReadReq_misses::total 22005 # number of ReadReq misses
+system.l2c.UpgradeReq_misses::cpu0.data 5858 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu1.data 6485 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::total 12343 # number of UpgradeReq misses
+system.l2c.SCUpgradeReq_misses::cpu0.data 694 # number of SCUpgradeReq misses
+system.l2c.SCUpgradeReq_misses::cpu1.data 773 # number of SCUpgradeReq misses
+system.l2c.SCUpgradeReq_misses::total 1467 # number of SCUpgradeReq misses
+system.l2c.ReadExReq_misses::cpu0.data 92836 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::cpu1.data 44477 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::total 137313 # number of ReadExReq misses
+system.l2c.demand_misses::cpu0.dtb.walker 1 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu0.itb.walker 2 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu0.inst 6907 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu0.data 102294 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1.inst 4159 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1.data 45955 # number of demand (read+write) misses
+system.l2c.demand_misses::total 159318 # number of demand (read+write) misses
+system.l2c.overall_misses::cpu0.dtb.walker 1 # number of overall misses
+system.l2c.overall_misses::cpu0.itb.walker 2 # number of overall misses
+system.l2c.overall_misses::cpu0.inst 6907 # number of overall misses
+system.l2c.overall_misses::cpu0.data 102294 # number of overall misses
+system.l2c.overall_misses::cpu1.inst 4159 # number of overall misses
+system.l2c.overall_misses::cpu1.data 45955 # number of overall misses
+system.l2c.overall_misses::total 159318 # number of overall misses
+system.l2c.ReadReq_accesses::cpu0.dtb.walker 60 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu0.itb.walker 34 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu0.inst 19288 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu0.data 47383 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.dtb.walker 68 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.itb.walker 43 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.inst 22698 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.data 13285 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::total 102859 # number of ReadReq accesses(hits+misses)
+system.l2c.Writeback_accesses::writebacks 175673 # number of Writeback accesses(hits+misses)
+system.l2c.Writeback_accesses::total 175673 # number of Writeback accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu0.data 6079 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu1.data 6659 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::total 12738 # number of UpgradeReq accesses(hits+misses)
+system.l2c.SCUpgradeReq_accesses::cpu0.data 714 # number of SCUpgradeReq accesses(hits+misses)
+system.l2c.SCUpgradeReq_accesses::cpu1.data 793 # number of SCUpgradeReq accesses(hits+misses)
+system.l2c.SCUpgradeReq_accesses::total 1507 # number of SCUpgradeReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu0.data 100168 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu1.data 50523 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::total 150691 # number of ReadExReq accesses(hits+misses)
+system.l2c.demand_accesses::cpu0.dtb.walker 60 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu0.itb.walker 34 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu0.inst 19288 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu0.data 147551 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.dtb.walker 68 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.itb.walker 43 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.inst 22698 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.data 63808 # number of demand (read+write) accesses
+system.l2c.demand_accesses::total 253550 # number of demand (read+write) accesses
+system.l2c.overall_accesses::cpu0.dtb.walker 60 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu0.itb.walker 34 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu0.inst 19288 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu0.data 147551 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.dtb.walker 68 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.itb.walker 43 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.inst 22698 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.data 63808 # number of overall (read+write) accesses
+system.l2c.overall_accesses::total 253550 # number of overall (read+write) accesses
+system.l2c.ReadReq_miss_rate::cpu0.dtb.walker 0.016667 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu0.itb.walker 0.058824 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu0.inst 0.358098 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu0.data 0.199607 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1.inst 0.183232 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1.data 0.111253 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::total 0.213934 # miss rate for ReadReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu0.data 0.963645 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu1.data 0.973870 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::total 0.968990 # miss rate for UpgradeReq accesses
+system.l2c.SCUpgradeReq_miss_rate::cpu0.data 0.971989 # miss rate for SCUpgradeReq accesses
+system.l2c.SCUpgradeReq_miss_rate::cpu1.data 0.974779 # miss rate for SCUpgradeReq accesses
+system.l2c.SCUpgradeReq_miss_rate::total 0.973457 # miss rate for SCUpgradeReq accesses
+system.l2c.ReadExReq_miss_rate::cpu0.data 0.926803 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::cpu1.data 0.880332 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::total 0.911222 # miss rate for ReadExReq accesses
+system.l2c.demand_miss_rate::cpu0.dtb.walker 0.016667 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu0.itb.walker 0.058824 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu0.inst 0.358098 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu0.data 0.693279 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1.inst 0.183232 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1.data 0.720207 # miss rate for demand accesses
+system.l2c.demand_miss_rate::total 0.628349 # miss rate for demand accesses
+system.l2c.overall_miss_rate::cpu0.dtb.walker 0.016667 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu0.itb.walker 0.058824 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu0.inst 0.358098 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu0.data 0.693279 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1.inst 0.183232 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1.data 0.720207 # miss rate for overall accesses
+system.l2c.overall_miss_rate::total 0.628349 # miss rate for overall accesses
system.l2c.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.l2c.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.l2c.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -264,8 +303,8 @@ system.l2c.avg_blocked_cycles::no_mshrs nan # av
system.l2c.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.l2c.fast_writes 0 # number of fast writes performed
system.l2c.cache_copies 0 # number of cache copies performed
-system.l2c.writebacks::writebacks 65231 # number of writebacks
-system.l2c.writebacks::total 65231 # number of writebacks
+system.l2c.writebacks::writebacks 52587 # number of writebacks
+system.l2c.writebacks::total 52587 # number of writebacks
system.l2c.no_allocate_misses 0 # Number of misses that were no-allocate
system.cf0.dma_read_full_pages 0 # Number of full page size DMA reads (not PRD).
system.cf0.dma_read_bytes 0 # Number of bytes transfered via DMA reads (not PRD).
@@ -273,11 +312,92 @@ system.cf0.dma_read_txs 0 # Nu
system.cf0.dma_write_full_pages 0 # Number of full page size DMA writes.
system.cf0.dma_write_bytes 0 # Number of bytes transfered via DMA writes.
system.cf0.dma_write_txs 0 # Number of DMA write transactions.
-system.toL2Bus.throughput 156214740 # Throughput (bytes/s)
-system.toL2Bus.data_through_bus 140726796 # Total data (bytes)
-system.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
-system.iobus.throughput 46301771 # Throughput (bytes/s)
-system.iobus.data_through_bus 41711172 # Total data (bytes)
+system.toL2Bus.trans_dist::ReadReq 1357667 # Transaction distribution
+system.toL2Bus.trans_dist::ReadResp 1357667 # Transaction distribution
+system.toL2Bus.trans_dist::WriteReq 767040 # Transaction distribution
+system.toL2Bus.trans_dist::WriteResp 767040 # Transaction distribution
+system.toL2Bus.trans_dist::Writeback 175673 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeReq 37136 # Transaction distribution
+system.toL2Bus.trans_dist::SCUpgradeReq 20079 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeResp 57215 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExReq 177634 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExResp 177634 # Transaction distribution
+system.toL2Bus.pkt_count_system.cpu0.l2cache.mem_side::system.l2c.cpu_side 2263595 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu1.l2cache.mem_side::system.l2c.cpu_side 2631190 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count::total 4894785 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.l2cache.mem_side::system.l2c.cpu_side 23563666 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.l2cache.mem_side::system.l2c.cpu_side 15087382 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size::total 38651048 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.snoops 0 # Total snoops (count)
+system.toL2Bus.snoop_fanout::samples 575784 # Request fanout histogram
+system.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::1 575784 100.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.toL2Bus.snoop_fanout::total 575784 # Request fanout histogram
+system.iobus.trans_dist::ReadReq 6098452 # Transaction distribution
+system.iobus.trans_dist::ReadResp 6098452 # Transaction distribution
+system.iobus.trans_dist::WriteReq 7955 # Transaction distribution
+system.iobus.trans_dist::WriteResp 7955 # Transaction distribution
+system.iobus.pkt_count_system.bridge.master::system.realview.uart.pio 30522 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.realview_io.pio 7906 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.timer0.pio 34 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.timer1.pio 684 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.clcd.pio 36 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.kmi0.pio 120 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.kmi1.pio 488 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.cf_ctrl.pio 2342380 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.dmac_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.uart1_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.uart2_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.uart3_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.smc_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.sp810_fake.pio 20 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.watchdog_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.gpio0_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.gpio1_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.gpio2_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.ssp_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.sci_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.aaci_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.mmc_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.rtc.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::total 2382414 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.realview.clcd.dma::system.iocache.cpu_side 9830400 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.realview.clcd.dma::total 9830400 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count::total 12212814 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart.pio 40294 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.realview_io.pio 15812 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.timer0.pio 68 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.timer1.pio 1368 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.clcd.pio 72 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.kmi0.pio 84 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.kmi1.pio 268 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.cf_ctrl.pio 2331126 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.dmac_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart3_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.smc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.sp810_fake.pio 40 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.watchdog_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio0_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.ssp_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.sci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.aaci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.mmc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.rtc.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::total 2389580 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.realview.clcd.dma::system.iocache.cpu_side 39321600 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.realview.clcd.dma::total 39321600 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size::total 41711180 # Cumulative packet size per connected master and slave (bytes)
system.cpu0.dstage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu0.dstage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu0.dstage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -301,9 +421,9 @@ system.cpu0.dstage2_mmu.stage2_tlb.misses 0 # D
system.cpu0.dstage2_mmu.stage2_tlb.accesses 0 # DTB accesses
system.cpu0.dtb.inst_hits 0 # ITB inst hits
system.cpu0.dtb.inst_misses 0 # ITB inst misses
-system.cpu0.dtb.read_hits 7391669 # DTB read hits
-system.cpu0.dtb.read_misses 1915 # DTB read misses
-system.cpu0.dtb.write_hits 6659638 # DTB write hits
+system.cpu0.dtb.read_hits 7391828 # DTB read hits
+system.cpu0.dtb.read_misses 1916 # DTB read misses
+system.cpu0.dtb.write_hits 6659769 # DTB write hits
system.cpu0.dtb.write_misses 1130 # DTB write misses
system.cpu0.dtb.flush_tlb 4 # Number of times complete TLB was flushed
system.cpu0.dtb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
@@ -314,12 +434,12 @@ system.cpu0.dtb.align_faults 0 # Nu
system.cpu0.dtb.prefetch_faults 84 # Number of TLB faults due to prefetch
system.cpu0.dtb.domain_faults 0 # Number of TLB faults due to domain restrictions
system.cpu0.dtb.perms_faults 185 # Number of TLB faults due to permissions restrictions
-system.cpu0.dtb.read_accesses 7393584 # DTB read accesses
-system.cpu0.dtb.write_accesses 6660768 # DTB write accesses
+system.cpu0.dtb.read_accesses 7393744 # DTB read accesses
+system.cpu0.dtb.write_accesses 6660899 # DTB write accesses
system.cpu0.dtb.inst_accesses 0 # ITB inst accesses
-system.cpu0.dtb.hits 14051307 # DTB hits
-system.cpu0.dtb.misses 3045 # DTB misses
-system.cpu0.dtb.accesses 14054352 # DTB accesses
+system.cpu0.dtb.hits 14051597 # DTB hits
+system.cpu0.dtb.misses 3046 # DTB misses
+system.cpu0.dtb.accesses 14054643 # DTB accesses
system.cpu0.istage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu0.istage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu0.istage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -341,7 +461,7 @@ system.cpu0.istage2_mmu.stage2_tlb.inst_accesses 0
system.cpu0.istage2_mmu.stage2_tlb.hits 0 # DTB hits
system.cpu0.istage2_mmu.stage2_tlb.misses 0 # DTB misses
system.cpu0.istage2_mmu.stage2_tlb.accesses 0 # DTB accesses
-system.cpu0.itb.inst_hits 37936012 # ITB inst hits
+system.cpu0.itb.inst_hits 37936653 # ITB inst hits
system.cpu0.itb.inst_misses 1207 # ITB inst misses
system.cpu0.itb.read_hits 0 # DTB read hits
system.cpu0.itb.read_misses 0 # DTB read misses
@@ -358,37 +478,37 @@ system.cpu0.itb.domain_faults 0 # Nu
system.cpu0.itb.perms_faults 0 # Number of TLB faults due to permissions restrictions
system.cpu0.itb.read_accesses 0 # DTB read accesses
system.cpu0.itb.write_accesses 0 # DTB write accesses
-system.cpu0.itb.inst_accesses 37937219 # ITB inst accesses
-system.cpu0.itb.hits 37936012 # DTB hits
+system.cpu0.itb.inst_accesses 37937860 # ITB inst accesses
+system.cpu0.itb.hits 37936653 # DTB hits
system.cpu0.itb.misses 1207 # DTB misses
-system.cpu0.itb.accesses 37937219 # DTB accesses
-system.cpu0.numCycles 1801227301 # number of cpu cycles simulated
+system.cpu0.itb.accesses 37937860 # DTB accesses
+system.cpu0.numCycles 1801220958 # number of cpu cycles simulated
system.cpu0.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu0.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu0.committedInsts 37698803 # Number of instructions committed
-system.cpu0.committedOps 44946380 # Number of ops (including micro ops) committed
-system.cpu0.num_int_alu_accesses 39863943 # Number of integer alu accesses
+system.cpu0.committedInsts 37699441 # Number of instructions committed
+system.cpu0.committedOps 44947195 # Number of ops (including micro ops) committed
+system.cpu0.num_int_alu_accesses 39864660 # Number of integer alu accesses
system.cpu0.num_fp_alu_accesses 4171 # Number of float alu accesses
-system.cpu0.num_func_calls 1205467 # number of times a function call or return occured
-system.cpu0.num_conditional_control_insts 4697957 # number of instructions that are conditional controls
-system.cpu0.num_int_insts 39863943 # number of integer instructions
+system.cpu0.num_func_calls 1205511 # number of times a function call or return occured
+system.cpu0.num_conditional_control_insts 4698026 # number of instructions that are conditional controls
+system.cpu0.num_int_insts 39864660 # number of integer instructions
system.cpu0.num_fp_insts 4171 # number of float instructions
-system.cpu0.num_int_register_reads 70363299 # number of times the integer registers were read
-system.cpu0.num_int_register_writes 26108579 # number of times the integer registers were written
+system.cpu0.num_int_register_reads 70364659 # number of times the integer registers were read
+system.cpu0.num_int_register_writes 26109079 # number of times the integer registers were written
system.cpu0.num_fp_register_reads 3915 # number of times the floating registers were read
system.cpu0.num_fp_register_writes 256 # number of times the floating registers were written
-system.cpu0.num_cc_register_reads 134797325 # number of times the CC registers were read
-system.cpu0.num_cc_register_writes 18388517 # number of times the CC registers were written
-system.cpu0.num_mem_refs 14597479 # number of memory refs
-system.cpu0.num_load_insts 7571296 # Number of load instructions
-system.cpu0.num_store_insts 7026183 # Number of store instructions
-system.cpu0.num_idle_cycles 1756006001.161348 # Number of idle cycles
-system.cpu0.num_busy_cycles 45221299.838652 # Number of busy cycles
-system.cpu0.not_idle_fraction 0.025106 # Percentage of non-idle cycles
-system.cpu0.idle_fraction 0.974894 # Percentage of idle cycles
-system.cpu0.Branches 6054325 # Number of branches fetched
+system.cpu0.num_cc_register_reads 134799783 # number of times the CC registers were read
+system.cpu0.num_cc_register_writes 18388749 # number of times the CC registers were written
+system.cpu0.num_mem_refs 14597797 # number of memory refs
+system.cpu0.num_load_insts 7571468 # Number of load instructions
+system.cpu0.num_store_insts 7026329 # Number of store instructions
+system.cpu0.num_idle_cycles 1756040520.255098 # Number of idle cycles
+system.cpu0.num_busy_cycles 45180437.744902 # Number of busy cycles
+system.cpu0.not_idle_fraction 0.025083 # Percentage of non-idle cycles
+system.cpu0.idle_fraction 0.974917 # Percentage of idle cycles
+system.cpu0.Branches 6054439 # Number of branches fetched
system.cpu0.op_class::No_OpClass 13280 0.03% 0.03% # Class of executed instruction
-system.cpu0.op_class::IntAlu 30338974 67.42% 67.45% # Class of executed instruction
+system.cpu0.op_class::IntAlu 30339474 67.42% 67.45% # Class of executed instruction
system.cpu0.op_class::IntMult 51765 0.12% 67.56% # Class of executed instruction
system.cpu0.op_class::IntDiv 0 0.00% 67.56% # Class of executed instruction
system.cpu0.op_class::FloatAdd 0 0.00% 67.56% # Class of executed instruction
@@ -417,52 +537,51 @@ system.cpu0.op_class::SimdFloatMisc 639 0.00% 67.56% # Cl
system.cpu0.op_class::SimdFloatMult 0 0.00% 67.56% # Class of executed instruction
system.cpu0.op_class::SimdFloatMultAcc 0 0.00% 67.56% # Class of executed instruction
system.cpu0.op_class::SimdFloatSqrt 0 0.00% 67.56% # Class of executed instruction
-system.cpu0.op_class::MemRead 7571296 16.82% 84.39% # Class of executed instruction
-system.cpu0.op_class::MemWrite 7026183 15.61% 100.00% # Class of executed instruction
+system.cpu0.op_class::MemRead 7571468 16.82% 84.39% # Class of executed instruction
+system.cpu0.op_class::MemWrite 7026329 15.61% 100.00% # Class of executed instruction
system.cpu0.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
system.cpu0.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu0.op_class::total 45002137 # Class of executed instruction
+system.cpu0.op_class::total 45002955 # Class of executed instruction
system.cpu0.kern.inst.arm 0 # number of arm instructions executed
-system.cpu0.kern.inst.quiesce 42773 # number of quiesce instructions executed
-system.cpu0.icache.tags.replacements 419775 # number of replacements
-system.cpu0.icache.tags.tagsinuse 511.035896 # Cycle average of tags in use
-system.cpu0.icache.tags.total_refs 37516680 # Total number of references to valid blocks.
-system.cpu0.icache.tags.sampled_refs 420287 # Sample count of references to valid blocks.
-system.cpu0.icache.tags.avg_refs 89.264431 # Average number of references to valid blocks.
-system.cpu0.icache.tags.warmup_cycle 64363581500 # Cycle when the warmup percentage was hit.
-system.cpu0.icache.tags.occ_blocks::cpu0.inst 511.035896 # Average occupied blocks per requestor
-system.cpu0.icache.tags.occ_percent::cpu0.inst 0.998117 # Average percentage of cache occupancy
-system.cpu0.icache.tags.occ_percent::total 0.998117 # Average percentage of cache occupancy
+system.cpu0.kern.inst.quiesce 42789 # number of quiesce instructions executed
+system.cpu0.icache.tags.replacements 346148 # number of replacements
+system.cpu0.icache.tags.tagsinuse 511.428315 # Cycle average of tags in use
+system.cpu0.icache.tags.total_refs 37590948 # Total number of references to valid blocks.
+system.cpu0.icache.tags.sampled_refs 346660 # Sample count of references to valid blocks.
+system.cpu0.icache.tags.avg_refs 108.437512 # Average number of references to valid blocks.
+system.cpu0.icache.tags.warmup_cycle 4521683000 # Cycle when the warmup percentage was hit.
+system.cpu0.icache.tags.occ_blocks::cpu0.inst 511.428315 # Average occupied blocks per requestor
+system.cpu0.icache.tags.occ_percent::cpu0.inst 0.998883 # Average percentage of cache occupancy
+system.cpu0.icache.tags.occ_percent::total 0.998883 # Average percentage of cache occupancy
system.cpu0.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::2 508 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::3 4 # Occupied blocks per task id
+system.cpu0.icache.tags.age_task_id_blocks_1024::2 512 # Occupied blocks per task id
system.cpu0.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu0.icache.tags.tag_accesses 38357256 # Number of tag accesses
-system.cpu0.icache.tags.data_accesses 38357256 # Number of data accesses
-system.cpu0.icache.ReadReq_hits::cpu0.inst 37516680 # number of ReadReq hits
-system.cpu0.icache.ReadReq_hits::total 37516680 # number of ReadReq hits
-system.cpu0.icache.demand_hits::cpu0.inst 37516680 # number of demand (read+write) hits
-system.cpu0.icache.demand_hits::total 37516680 # number of demand (read+write) hits
-system.cpu0.icache.overall_hits::cpu0.inst 37516680 # number of overall hits
-system.cpu0.icache.overall_hits::total 37516680 # number of overall hits
-system.cpu0.icache.ReadReq_misses::cpu0.inst 420288 # number of ReadReq misses
-system.cpu0.icache.ReadReq_misses::total 420288 # number of ReadReq misses
-system.cpu0.icache.demand_misses::cpu0.inst 420288 # number of demand (read+write) misses
-system.cpu0.icache.demand_misses::total 420288 # number of demand (read+write) misses
-system.cpu0.icache.overall_misses::cpu0.inst 420288 # number of overall misses
-system.cpu0.icache.overall_misses::total 420288 # number of overall misses
-system.cpu0.icache.ReadReq_accesses::cpu0.inst 37936968 # number of ReadReq accesses(hits+misses)
-system.cpu0.icache.ReadReq_accesses::total 37936968 # number of ReadReq accesses(hits+misses)
-system.cpu0.icache.demand_accesses::cpu0.inst 37936968 # number of demand (read+write) accesses
-system.cpu0.icache.demand_accesses::total 37936968 # number of demand (read+write) accesses
-system.cpu0.icache.overall_accesses::cpu0.inst 37936968 # number of overall (read+write) accesses
-system.cpu0.icache.overall_accesses::total 37936968 # number of overall (read+write) accesses
-system.cpu0.icache.ReadReq_miss_rate::cpu0.inst 0.011079 # miss rate for ReadReq accesses
-system.cpu0.icache.ReadReq_miss_rate::total 0.011079 # miss rate for ReadReq accesses
-system.cpu0.icache.demand_miss_rate::cpu0.inst 0.011079 # miss rate for demand accesses
-system.cpu0.icache.demand_miss_rate::total 0.011079 # miss rate for demand accesses
-system.cpu0.icache.overall_miss_rate::cpu0.inst 0.011079 # miss rate for overall accesses
-system.cpu0.icache.overall_miss_rate::total 0.011079 # miss rate for overall accesses
+system.cpu0.icache.tags.tag_accesses 76221879 # Number of tag accesses
+system.cpu0.icache.tags.data_accesses 76221879 # Number of data accesses
+system.cpu0.icache.ReadReq_hits::cpu0.inst 37590948 # number of ReadReq hits
+system.cpu0.icache.ReadReq_hits::total 37590948 # number of ReadReq hits
+system.cpu0.icache.demand_hits::cpu0.inst 37590948 # number of demand (read+write) hits
+system.cpu0.icache.demand_hits::total 37590948 # number of demand (read+write) hits
+system.cpu0.icache.overall_hits::cpu0.inst 37590948 # number of overall hits
+system.cpu0.icache.overall_hits::total 37590948 # number of overall hits
+system.cpu0.icache.ReadReq_misses::cpu0.inst 346661 # number of ReadReq misses
+system.cpu0.icache.ReadReq_misses::total 346661 # number of ReadReq misses
+system.cpu0.icache.demand_misses::cpu0.inst 346661 # number of demand (read+write) misses
+system.cpu0.icache.demand_misses::total 346661 # number of demand (read+write) misses
+system.cpu0.icache.overall_misses::cpu0.inst 346661 # number of overall misses
+system.cpu0.icache.overall_misses::total 346661 # number of overall misses
+system.cpu0.icache.ReadReq_accesses::cpu0.inst 37937609 # number of ReadReq accesses(hits+misses)
+system.cpu0.icache.ReadReq_accesses::total 37937609 # number of ReadReq accesses(hits+misses)
+system.cpu0.icache.demand_accesses::cpu0.inst 37937609 # number of demand (read+write) accesses
+system.cpu0.icache.demand_accesses::total 37937609 # number of demand (read+write) accesses
+system.cpu0.icache.overall_accesses::cpu0.inst 37937609 # number of overall (read+write) accesses
+system.cpu0.icache.overall_accesses::total 37937609 # number of overall (read+write) accesses
+system.cpu0.icache.ReadReq_miss_rate::cpu0.inst 0.009138 # miss rate for ReadReq accesses
+system.cpu0.icache.ReadReq_miss_rate::total 0.009138 # miss rate for ReadReq accesses
+system.cpu0.icache.demand_miss_rate::cpu0.inst 0.009138 # miss rate for demand accesses
+system.cpu0.icache.demand_miss_rate::total 0.009138 # miss rate for demand accesses
+system.cpu0.icache.overall_miss_rate::cpu0.inst 0.009138 # miss rate for overall accesses
+system.cpu0.icache.overall_miss_rate::total 0.009138 # miss rate for overall accesses
system.cpu0.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu0.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu0.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -472,76 +591,211 @@ system.cpu0.icache.avg_blocked_cycles::no_targets nan
system.cpu0.icache.fast_writes 0 # number of fast writes performed
system.cpu0.icache.cache_copies 0 # number of cache copies performed
system.cpu0.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu0.dcache.tags.replacements 348431 # number of replacements
-system.cpu0.dcache.tags.tagsinuse 471.119339 # Cycle average of tags in use
-system.cpu0.dcache.tags.total_refs 12834011 # Total number of references to valid blocks.
-system.cpu0.dcache.tags.sampled_refs 348738 # Sample count of references to valid blocks.
-system.cpu0.dcache.tags.avg_refs 36.801298 # Average number of references to valid blocks.
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_identified 0 # number of hwpf identified
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_already_in_mshr 0 # number of hwpf that were already in mshr
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_already_in_cache 0 # number of hwpf that were already in the cache
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_already_in_prefetcher 0 # number of hwpf that were already in the prefetch queue
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_evicted 0 # number of hwpf removed due to no buffer left
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_removed_MSHR_hit 0 # number of hwpf removed because MSHR allocated
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_issued 0 # number of hwpf issued
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_span_page 0 # number of hwpf spanning a virtual page
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_squashed_from_miss 0 # number of hwpf that got squashed due to a miss aborting calculation time
+system.cpu0.l2cache.tags.replacements 133971 # number of replacements
+system.cpu0.l2cache.tags.tagsinuse 15179.385733 # Cycle average of tags in use
+system.cpu0.l2cache.tags.total_refs 737408 # Total number of references to valid blocks.
+system.cpu0.l2cache.tags.sampled_refs 149269 # Sample count of references to valid blocks.
+system.cpu0.l2cache.tags.avg_refs 4.940128 # Average number of references to valid blocks.
+system.cpu0.l2cache.tags.warmup_cycle 992860000 # Cycle when the warmup percentage was hit.
+system.cpu0.l2cache.tags.occ_blocks::writebacks 7074.912262 # Average occupied blocks per requestor
+system.cpu0.l2cache.tags.occ_blocks::cpu0.dtb.walker 8.111336 # Average occupied blocks per requestor
+system.cpu0.l2cache.tags.occ_blocks::cpu0.itb.walker 0.268775 # Average occupied blocks per requestor
+system.cpu0.l2cache.tags.occ_blocks::cpu0.inst 3357.655544 # Average occupied blocks per requestor
+system.cpu0.l2cache.tags.occ_blocks::cpu0.data 4738.437815 # Average occupied blocks per requestor
+system.cpu0.l2cache.tags.occ_percent::writebacks 0.431818 # Average percentage of cache occupancy
+system.cpu0.l2cache.tags.occ_percent::cpu0.dtb.walker 0.000495 # Average percentage of cache occupancy
+system.cpu0.l2cache.tags.occ_percent::cpu0.itb.walker 0.000016 # Average percentage of cache occupancy
+system.cpu0.l2cache.tags.occ_percent::cpu0.inst 0.204935 # Average percentage of cache occupancy
+system.cpu0.l2cache.tags.occ_percent::cpu0.data 0.289211 # Average percentage of cache occupancy
+system.cpu0.l2cache.tags.occ_percent::total 0.926476 # Average percentage of cache occupancy
+system.cpu0.l2cache.tags.occ_task_id_blocks::1023 20 # Occupied blocks per task id
+system.cpu0.l2cache.tags.occ_task_id_blocks::1024 15278 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1023::2 2 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1023::3 11 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1023::4 7 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1024::2 3216 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1024::3 5292 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1024::4 6770 # Occupied blocks per task id
+system.cpu0.l2cache.tags.occ_task_id_percent::1023 0.001221 # Percentage of cache occupancy per task id
+system.cpu0.l2cache.tags.occ_task_id_percent::1024 0.932495 # Percentage of cache occupancy per task id
+system.cpu0.l2cache.tags.tag_accesses 17962499 # Number of tag accesses
+system.cpu0.l2cache.tags.data_accesses 17962499 # Number of data accesses
+system.cpu0.l2cache.ReadReq_hits::cpu0.dtb.walker 4364 # number of ReadReq hits
+system.cpu0.l2cache.ReadReq_hits::cpu0.itb.walker 1619 # number of ReadReq hits
+system.cpu0.l2cache.ReadReq_hits::cpu0.inst 326789 # number of ReadReq hits
+system.cpu0.l2cache.ReadReq_hits::cpu0.data 179454 # number of ReadReq hits
+system.cpu0.l2cache.ReadReq_hits::total 512226 # number of ReadReq hits
+system.cpu0.l2cache.Writeback_hits::writebacks 323282 # number of Writeback hits
+system.cpu0.l2cache.Writeback_hits::total 323282 # number of Writeback hits
+system.cpu0.l2cache.UpgradeReq_hits::cpu0.data 2 # number of UpgradeReq hits
+system.cpu0.l2cache.UpgradeReq_hits::total 2 # number of UpgradeReq hits
+system.cpu0.l2cache.ReadExReq_hits::cpu0.data 38112 # number of ReadExReq hits
+system.cpu0.l2cache.ReadExReq_hits::total 38112 # number of ReadExReq hits
+system.cpu0.l2cache.demand_hits::cpu0.dtb.walker 4364 # number of demand (read+write) hits
+system.cpu0.l2cache.demand_hits::cpu0.itb.walker 1619 # number of demand (read+write) hits
+system.cpu0.l2cache.demand_hits::cpu0.inst 326789 # number of demand (read+write) hits
+system.cpu0.l2cache.demand_hits::cpu0.data 217566 # number of demand (read+write) hits
+system.cpu0.l2cache.demand_hits::total 550338 # number of demand (read+write) hits
+system.cpu0.l2cache.overall_hits::cpu0.dtb.walker 4364 # number of overall hits
+system.cpu0.l2cache.overall_hits::cpu0.itb.walker 1619 # number of overall hits
+system.cpu0.l2cache.overall_hits::cpu0.inst 326789 # number of overall hits
+system.cpu0.l2cache.overall_hits::cpu0.data 217566 # number of overall hits
+system.cpu0.l2cache.overall_hits::total 550338 # number of overall hits
+system.cpu0.l2cache.ReadReq_misses::cpu0.dtb.walker 89 # number of ReadReq misses
+system.cpu0.l2cache.ReadReq_misses::cpu0.itb.walker 56 # number of ReadReq misses
+system.cpu0.l2cache.ReadReq_misses::cpu0.inst 19767 # number of ReadReq misses
+system.cpu0.l2cache.ReadReq_misses::cpu0.data 70654 # number of ReadReq misses
+system.cpu0.l2cache.ReadReq_misses::total 90566 # number of ReadReq misses
+system.cpu0.l2cache.UpgradeReq_misses::cpu0.data 12767 # number of UpgradeReq misses
+system.cpu0.l2cache.UpgradeReq_misses::total 12767 # number of UpgradeReq misses
+system.cpu0.l2cache.SCUpgradeReq_misses::cpu0.data 8852 # number of SCUpgradeReq misses
+system.cpu0.l2cache.SCUpgradeReq_misses::total 8852 # number of SCUpgradeReq misses
+system.cpu0.l2cache.ReadExReq_misses::cpu0.data 114761 # number of ReadExReq misses
+system.cpu0.l2cache.ReadExReq_misses::total 114761 # number of ReadExReq misses
+system.cpu0.l2cache.demand_misses::cpu0.dtb.walker 89 # number of demand (read+write) misses
+system.cpu0.l2cache.demand_misses::cpu0.itb.walker 56 # number of demand (read+write) misses
+system.cpu0.l2cache.demand_misses::cpu0.inst 19767 # number of demand (read+write) misses
+system.cpu0.l2cache.demand_misses::cpu0.data 185415 # number of demand (read+write) misses
+system.cpu0.l2cache.demand_misses::total 205327 # number of demand (read+write) misses
+system.cpu0.l2cache.overall_misses::cpu0.dtb.walker 89 # number of overall misses
+system.cpu0.l2cache.overall_misses::cpu0.itb.walker 56 # number of overall misses
+system.cpu0.l2cache.overall_misses::cpu0.inst 19767 # number of overall misses
+system.cpu0.l2cache.overall_misses::cpu0.data 185415 # number of overall misses
+system.cpu0.l2cache.overall_misses::total 205327 # number of overall misses
+system.cpu0.l2cache.ReadReq_accesses::cpu0.dtb.walker 4453 # number of ReadReq accesses(hits+misses)
+system.cpu0.l2cache.ReadReq_accesses::cpu0.itb.walker 1675 # number of ReadReq accesses(hits+misses)
+system.cpu0.l2cache.ReadReq_accesses::cpu0.inst 346556 # number of ReadReq accesses(hits+misses)
+system.cpu0.l2cache.ReadReq_accesses::cpu0.data 250108 # number of ReadReq accesses(hits+misses)
+system.cpu0.l2cache.ReadReq_accesses::total 602792 # number of ReadReq accesses(hits+misses)
+system.cpu0.l2cache.Writeback_accesses::writebacks 323282 # number of Writeback accesses(hits+misses)
+system.cpu0.l2cache.Writeback_accesses::total 323282 # number of Writeback accesses(hits+misses)
+system.cpu0.l2cache.UpgradeReq_accesses::cpu0.data 12769 # number of UpgradeReq accesses(hits+misses)
+system.cpu0.l2cache.UpgradeReq_accesses::total 12769 # number of UpgradeReq accesses(hits+misses)
+system.cpu0.l2cache.SCUpgradeReq_accesses::cpu0.data 8852 # number of SCUpgradeReq accesses(hits+misses)
+system.cpu0.l2cache.SCUpgradeReq_accesses::total 8852 # number of SCUpgradeReq accesses(hits+misses)
+system.cpu0.l2cache.ReadExReq_accesses::cpu0.data 152873 # number of ReadExReq accesses(hits+misses)
+system.cpu0.l2cache.ReadExReq_accesses::total 152873 # number of ReadExReq accesses(hits+misses)
+system.cpu0.l2cache.demand_accesses::cpu0.dtb.walker 4453 # number of demand (read+write) accesses
+system.cpu0.l2cache.demand_accesses::cpu0.itb.walker 1675 # number of demand (read+write) accesses
+system.cpu0.l2cache.demand_accesses::cpu0.inst 346556 # number of demand (read+write) accesses
+system.cpu0.l2cache.demand_accesses::cpu0.data 402981 # number of demand (read+write) accesses
+system.cpu0.l2cache.demand_accesses::total 755665 # number of demand (read+write) accesses
+system.cpu0.l2cache.overall_accesses::cpu0.dtb.walker 4453 # number of overall (read+write) accesses
+system.cpu0.l2cache.overall_accesses::cpu0.itb.walker 1675 # number of overall (read+write) accesses
+system.cpu0.l2cache.overall_accesses::cpu0.inst 346556 # number of overall (read+write) accesses
+system.cpu0.l2cache.overall_accesses::cpu0.data 402981 # number of overall (read+write) accesses
+system.cpu0.l2cache.overall_accesses::total 755665 # number of overall (read+write) accesses
+system.cpu0.l2cache.ReadReq_miss_rate::cpu0.dtb.walker 0.019987 # miss rate for ReadReq accesses
+system.cpu0.l2cache.ReadReq_miss_rate::cpu0.itb.walker 0.033433 # miss rate for ReadReq accesses
+system.cpu0.l2cache.ReadReq_miss_rate::cpu0.inst 0.057038 # miss rate for ReadReq accesses
+system.cpu0.l2cache.ReadReq_miss_rate::cpu0.data 0.282494 # miss rate for ReadReq accesses
+system.cpu0.l2cache.ReadReq_miss_rate::total 0.150244 # miss rate for ReadReq accesses
+system.cpu0.l2cache.UpgradeReq_miss_rate::cpu0.data 0.999843 # miss rate for UpgradeReq accesses
+system.cpu0.l2cache.UpgradeReq_miss_rate::total 0.999843 # miss rate for UpgradeReq accesses
+system.cpu0.l2cache.SCUpgradeReq_miss_rate::cpu0.data 1 # miss rate for SCUpgradeReq accesses
+system.cpu0.l2cache.SCUpgradeReq_miss_rate::total 1 # miss rate for SCUpgradeReq accesses
+system.cpu0.l2cache.ReadExReq_miss_rate::cpu0.data 0.750695 # miss rate for ReadExReq accesses
+system.cpu0.l2cache.ReadExReq_miss_rate::total 0.750695 # miss rate for ReadExReq accesses
+system.cpu0.l2cache.demand_miss_rate::cpu0.dtb.walker 0.019987 # miss rate for demand accesses
+system.cpu0.l2cache.demand_miss_rate::cpu0.itb.walker 0.033433 # miss rate for demand accesses
+system.cpu0.l2cache.demand_miss_rate::cpu0.inst 0.057038 # miss rate for demand accesses
+system.cpu0.l2cache.demand_miss_rate::cpu0.data 0.460109 # miss rate for demand accesses
+system.cpu0.l2cache.demand_miss_rate::total 0.271717 # miss rate for demand accesses
+system.cpu0.l2cache.overall_miss_rate::cpu0.dtb.walker 0.019987 # miss rate for overall accesses
+system.cpu0.l2cache.overall_miss_rate::cpu0.itb.walker 0.033433 # miss rate for overall accesses
+system.cpu0.l2cache.overall_miss_rate::cpu0.inst 0.057038 # miss rate for overall accesses
+system.cpu0.l2cache.overall_miss_rate::cpu0.data 0.460109 # miss rate for overall accesses
+system.cpu0.l2cache.overall_miss_rate::total 0.271717 # miss rate for overall accesses
+system.cpu0.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu0.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu0.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu0.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu0.l2cache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
+system.cpu0.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
+system.cpu0.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu0.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu0.l2cache.writebacks::writebacks 114351 # number of writebacks
+system.cpu0.l2cache.writebacks::total 114351 # number of writebacks
+system.cpu0.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu0.dcache.tags.replacements 371621 # number of replacements
+system.cpu0.dcache.tags.tagsinuse 458.751149 # Cycle average of tags in use
+system.cpu0.dcache.tags.total_refs 12812322 # Total number of references to valid blocks.
+system.cpu0.dcache.tags.sampled_refs 371931 # Sample count of references to valid blocks.
+system.cpu0.dcache.tags.avg_refs 34.448115 # Average number of references to valid blocks.
system.cpu0.dcache.tags.warmup_cycle 22109000 # Cycle when the warmup percentage was hit.
-system.cpu0.dcache.tags.occ_blocks::cpu0.data 471.119339 # Average occupied blocks per requestor
-system.cpu0.dcache.tags.occ_percent::cpu0.data 0.920155 # Average percentage of cache occupancy
-system.cpu0.dcache.tags.occ_percent::total 0.920155 # Average percentage of cache occupancy
-system.cpu0.dcache.tags.occ_task_id_blocks::1024 307 # Occupied blocks per task id
-system.cpu0.dcache.tags.age_task_id_blocks_1024::2 307 # Occupied blocks per task id
-system.cpu0.dcache.tags.occ_task_id_percent::1024 0.599609 # Percentage of cache occupancy per task id
-system.cpu0.dcache.tags.tag_accesses 53249455 # Number of tag accesses
-system.cpu0.dcache.tags.data_accesses 53249455 # Number of data accesses
-system.cpu0.dcache.ReadReq_hits::cpu0.data 6868875 # number of ReadReq hits
-system.cpu0.dcache.ReadReq_hits::total 6868875 # number of ReadReq hits
-system.cpu0.dcache.WriteReq_hits::cpu0.data 5598061 # number of WriteReq hits
-system.cpu0.dcache.WriteReq_hits::total 5598061 # number of WriteReq hits
-system.cpu0.dcache.SoftPFReq_hits::cpu0.data 78744 # number of SoftPFReq hits
-system.cpu0.dcache.SoftPFReq_hits::total 78744 # number of SoftPFReq hits
-system.cpu0.dcache.LoadLockedReq_hits::cpu0.data 135195 # number of LoadLockedReq hits
-system.cpu0.dcache.LoadLockedReq_hits::total 135195 # number of LoadLockedReq hits
-system.cpu0.dcache.StoreCondReq_hits::cpu0.data 136387 # number of StoreCondReq hits
-system.cpu0.dcache.StoreCondReq_hits::total 136387 # number of StoreCondReq hits
-system.cpu0.dcache.demand_hits::cpu0.data 12466936 # number of demand (read+write) hits
-system.cpu0.dcache.demand_hits::total 12466936 # number of demand (read+write) hits
-system.cpu0.dcache.overall_hits::cpu0.data 12545680 # number of overall hits
-system.cpu0.dcache.overall_hits::total 12545680 # number of overall hits
-system.cpu0.dcache.ReadReq_misses::cpu0.data 173318 # number of ReadReq misses
-system.cpu0.dcache.ReadReq_misses::total 173318 # number of ReadReq misses
-system.cpu0.dcache.WriteReq_misses::cpu0.data 159147 # number of WriteReq misses
-system.cpu0.dcache.WriteReq_misses::total 159147 # number of WriteReq misses
-system.cpu0.dcache.SoftPFReq_misses::cpu0.data 50343 # number of SoftPFReq misses
-system.cpu0.dcache.SoftPFReq_misses::total 50343 # number of SoftPFReq misses
-system.cpu0.dcache.LoadLockedReq_misses::cpu0.data 9388 # number of LoadLockedReq misses
-system.cpu0.dcache.LoadLockedReq_misses::total 9388 # number of LoadLockedReq misses
-system.cpu0.dcache.StoreCondReq_misses::cpu0.data 7646 # number of StoreCondReq misses
-system.cpu0.dcache.StoreCondReq_misses::total 7646 # number of StoreCondReq misses
-system.cpu0.dcache.demand_misses::cpu0.data 332465 # number of demand (read+write) misses
-system.cpu0.dcache.demand_misses::total 332465 # number of demand (read+write) misses
-system.cpu0.dcache.overall_misses::cpu0.data 382808 # number of overall misses
-system.cpu0.dcache.overall_misses::total 382808 # number of overall misses
-system.cpu0.dcache.ReadReq_accesses::cpu0.data 7042193 # number of ReadReq accesses(hits+misses)
-system.cpu0.dcache.ReadReq_accesses::total 7042193 # number of ReadReq accesses(hits+misses)
-system.cpu0.dcache.WriteReq_accesses::cpu0.data 5757208 # number of WriteReq accesses(hits+misses)
-system.cpu0.dcache.WriteReq_accesses::total 5757208 # number of WriteReq accesses(hits+misses)
+system.cpu0.dcache.tags.occ_blocks::cpu0.data 458.751149 # Average occupied blocks per requestor
+system.cpu0.dcache.tags.occ_percent::cpu0.data 0.895998 # Average percentage of cache occupancy
+system.cpu0.dcache.tags.occ_percent::total 0.895998 # Average percentage of cache occupancy
+system.cpu0.dcache.tags.occ_task_id_blocks::1024 310 # Occupied blocks per task id
+system.cpu0.dcache.tags.age_task_id_blocks_1024::2 310 # Occupied blocks per task id
+system.cpu0.dcache.tags.occ_task_id_percent::1024 0.605469 # Percentage of cache occupancy per task id
+system.cpu0.dcache.tags.tag_accesses 26837769 # Number of tag accesses
+system.cpu0.dcache.tags.data_accesses 26837769 # Number of data accesses
+system.cpu0.dcache.ReadReq_hits::cpu0.data 6854480 # number of ReadReq hits
+system.cpu0.dcache.ReadReq_hits::total 6854480 # number of ReadReq hits
+system.cpu0.dcache.WriteReq_hits::cpu0.data 5591690 # number of WriteReq hits
+system.cpu0.dcache.WriteReq_hits::total 5591690 # number of WriteReq hits
+system.cpu0.dcache.SoftPFReq_hits::cpu0.data 77211 # number of SoftPFReq hits
+system.cpu0.dcache.SoftPFReq_hits::total 77211 # number of SoftPFReq hits
+system.cpu0.dcache.LoadLockedReq_hits::cpu0.data 134223 # number of LoadLockedReq hits
+system.cpu0.dcache.LoadLockedReq_hits::total 134223 # number of LoadLockedReq hits
+system.cpu0.dcache.StoreCondReq_hits::cpu0.data 135188 # number of StoreCondReq hits
+system.cpu0.dcache.StoreCondReq_hits::total 135188 # number of StoreCondReq hits
+system.cpu0.dcache.demand_hits::cpu0.data 12446170 # number of demand (read+write) hits
+system.cpu0.dcache.demand_hits::total 12446170 # number of demand (read+write) hits
+system.cpu0.dcache.overall_hits::cpu0.data 12523381 # number of overall hits
+system.cpu0.dcache.overall_hits::total 12523381 # number of overall hits
+system.cpu0.dcache.ReadReq_misses::cpu0.data 187851 # number of ReadReq misses
+system.cpu0.dcache.ReadReq_misses::total 187851 # number of ReadReq misses
+system.cpu0.dcache.WriteReq_misses::cpu0.data 165642 # number of WriteReq misses
+system.cpu0.dcache.WriteReq_misses::total 165642 # number of WriteReq misses
+system.cpu0.dcache.SoftPFReq_misses::cpu0.data 51876 # number of SoftPFReq misses
+system.cpu0.dcache.SoftPFReq_misses::total 51876 # number of SoftPFReq misses
+system.cpu0.dcache.LoadLockedReq_misses::cpu0.data 10381 # number of LoadLockedReq misses
+system.cpu0.dcache.LoadLockedReq_misses::total 10381 # number of LoadLockedReq misses
+system.cpu0.dcache.StoreCondReq_misses::cpu0.data 8852 # number of StoreCondReq misses
+system.cpu0.dcache.StoreCondReq_misses::total 8852 # number of StoreCondReq misses
+system.cpu0.dcache.demand_misses::cpu0.data 353493 # number of demand (read+write) misses
+system.cpu0.dcache.demand_misses::total 353493 # number of demand (read+write) misses
+system.cpu0.dcache.overall_misses::cpu0.data 405369 # number of overall misses
+system.cpu0.dcache.overall_misses::total 405369 # number of overall misses
+system.cpu0.dcache.ReadReq_accesses::cpu0.data 7042331 # number of ReadReq accesses(hits+misses)
+system.cpu0.dcache.ReadReq_accesses::total 7042331 # number of ReadReq accesses(hits+misses)
+system.cpu0.dcache.WriteReq_accesses::cpu0.data 5757332 # number of WriteReq accesses(hits+misses)
+system.cpu0.dcache.WriteReq_accesses::total 5757332 # number of WriteReq accesses(hits+misses)
system.cpu0.dcache.SoftPFReq_accesses::cpu0.data 129087 # number of SoftPFReq accesses(hits+misses)
system.cpu0.dcache.SoftPFReq_accesses::total 129087 # number of SoftPFReq accesses(hits+misses)
-system.cpu0.dcache.LoadLockedReq_accesses::cpu0.data 144583 # number of LoadLockedReq accesses(hits+misses)
-system.cpu0.dcache.LoadLockedReq_accesses::total 144583 # number of LoadLockedReq accesses(hits+misses)
-system.cpu0.dcache.StoreCondReq_accesses::cpu0.data 144033 # number of StoreCondReq accesses(hits+misses)
-system.cpu0.dcache.StoreCondReq_accesses::total 144033 # number of StoreCondReq accesses(hits+misses)
-system.cpu0.dcache.demand_accesses::cpu0.data 12799401 # number of demand (read+write) accesses
-system.cpu0.dcache.demand_accesses::total 12799401 # number of demand (read+write) accesses
-system.cpu0.dcache.overall_accesses::cpu0.data 12928488 # number of overall (read+write) accesses
-system.cpu0.dcache.overall_accesses::total 12928488 # number of overall (read+write) accesses
-system.cpu0.dcache.ReadReq_miss_rate::cpu0.data 0.024611 # miss rate for ReadReq accesses
-system.cpu0.dcache.ReadReq_miss_rate::total 0.024611 # miss rate for ReadReq accesses
-system.cpu0.dcache.WriteReq_miss_rate::cpu0.data 0.027643 # miss rate for WriteReq accesses
-system.cpu0.dcache.WriteReq_miss_rate::total 0.027643 # miss rate for WriteReq accesses
-system.cpu0.dcache.SoftPFReq_miss_rate::cpu0.data 0.389993 # miss rate for SoftPFReq accesses
-system.cpu0.dcache.SoftPFReq_miss_rate::total 0.389993 # miss rate for SoftPFReq accesses
-system.cpu0.dcache.LoadLockedReq_miss_rate::cpu0.data 0.064932 # miss rate for LoadLockedReq accesses
-system.cpu0.dcache.LoadLockedReq_miss_rate::total 0.064932 # miss rate for LoadLockedReq accesses
-system.cpu0.dcache.StoreCondReq_miss_rate::cpu0.data 0.053085 # miss rate for StoreCondReq accesses
-system.cpu0.dcache.StoreCondReq_miss_rate::total 0.053085 # miss rate for StoreCondReq accesses
-system.cpu0.dcache.demand_miss_rate::cpu0.data 0.025975 # miss rate for demand accesses
-system.cpu0.dcache.demand_miss_rate::total 0.025975 # miss rate for demand accesses
-system.cpu0.dcache.overall_miss_rate::cpu0.data 0.029610 # miss rate for overall accesses
-system.cpu0.dcache.overall_miss_rate::total 0.029610 # miss rate for overall accesses
+system.cpu0.dcache.LoadLockedReq_accesses::cpu0.data 144604 # number of LoadLockedReq accesses(hits+misses)
+system.cpu0.dcache.LoadLockedReq_accesses::total 144604 # number of LoadLockedReq accesses(hits+misses)
+system.cpu0.dcache.StoreCondReq_accesses::cpu0.data 144040 # number of StoreCondReq accesses(hits+misses)
+system.cpu0.dcache.StoreCondReq_accesses::total 144040 # number of StoreCondReq accesses(hits+misses)
+system.cpu0.dcache.demand_accesses::cpu0.data 12799663 # number of demand (read+write) accesses
+system.cpu0.dcache.demand_accesses::total 12799663 # number of demand (read+write) accesses
+system.cpu0.dcache.overall_accesses::cpu0.data 12928750 # number of overall (read+write) accesses
+system.cpu0.dcache.overall_accesses::total 12928750 # number of overall (read+write) accesses
+system.cpu0.dcache.ReadReq_miss_rate::cpu0.data 0.026675 # miss rate for ReadReq accesses
+system.cpu0.dcache.ReadReq_miss_rate::total 0.026675 # miss rate for ReadReq accesses
+system.cpu0.dcache.WriteReq_miss_rate::cpu0.data 0.028771 # miss rate for WriteReq accesses
+system.cpu0.dcache.WriteReq_miss_rate::total 0.028771 # miss rate for WriteReq accesses
+system.cpu0.dcache.SoftPFReq_miss_rate::cpu0.data 0.401869 # miss rate for SoftPFReq accesses
+system.cpu0.dcache.SoftPFReq_miss_rate::total 0.401869 # miss rate for SoftPFReq accesses
+system.cpu0.dcache.LoadLockedReq_miss_rate::cpu0.data 0.071789 # miss rate for LoadLockedReq accesses
+system.cpu0.dcache.LoadLockedReq_miss_rate::total 0.071789 # miss rate for LoadLockedReq accesses
+system.cpu0.dcache.StoreCondReq_miss_rate::cpu0.data 0.061455 # miss rate for StoreCondReq accesses
+system.cpu0.dcache.StoreCondReq_miss_rate::total 0.061455 # miss rate for StoreCondReq accesses
+system.cpu0.dcache.demand_miss_rate::cpu0.data 0.027617 # miss rate for demand accesses
+system.cpu0.dcache.demand_miss_rate::total 0.027617 # miss rate for demand accesses
+system.cpu0.dcache.overall_miss_rate::cpu0.data 0.031354 # miss rate for overall accesses
+system.cpu0.dcache.overall_miss_rate::total 0.031354 # miss rate for overall accesses
system.cpu0.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu0.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu0.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -550,9 +804,45 @@ system.cpu0.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu0.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu0.dcache.fast_writes 0 # number of fast writes performed
system.cpu0.dcache.cache_copies 0 # number of cache copies performed
-system.cpu0.dcache.writebacks::writebacks 321785 # number of writebacks
-system.cpu0.dcache.writebacks::total 321785 # number of writebacks
+system.cpu0.dcache.writebacks::writebacks 323282 # number of writebacks
+system.cpu0.dcache.writebacks::total 323282 # number of writebacks
system.cpu0.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu0.toL2Bus.trans_dist::ReadReq 689270 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::ReadResp 689270 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::WriteReq 763494 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::WriteResp 763494 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::Writeback 323282 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::UpgradeReq 12769 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::SCUpgradeReq 8852 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::UpgradeResp 21621 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::ReadExReq 152873 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::ReadExResp 152873 # Transaction distribution
+system.cpu0.toL2Bus.pkt_count_system.cpu0.icache.mem_side::system.cpu0.l2cache.cpu_side 706618 # Packet count per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_count_system.cpu0.dcache.mem_side::system.cpu0.l2cache.cpu_side 2854542 # Packet count per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_count_system.cpu0.itb.walker.dma::system.cpu0.l2cache.cpu_side 4790 # Packet count per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_count_system.cpu0.dtb.walker.dma::system.cpu0.l2cache.cpu_side 11848 # Packet count per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_count::total 3577798 # Packet count per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_size_system.cpu0.icache.mem_side::system.cpu0.l2cache.cpu_side 22212896 # Cumulative packet size per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_size_system.cpu0.dcache.mem_side::system.cpu0.l2cache.cpu_side 49695730 # Cumulative packet size per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_size_system.cpu0.itb.walker.dma::system.cpu0.l2cache.cpu_side 9580 # Cumulative packet size per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_size_system.cpu0.dtb.walker.dma::system.cpu0.l2cache.cpu_side 23696 # Cumulative packet size per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_size::total 71941902 # Cumulative packet size per connected master and slave (bytes)
+system.cpu0.toL2Bus.snoops 229047 # Total snoops (count)
+system.cpu0.toL2Bus.snoop_fanout::samples 1276029 # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::mean 5.135706 # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::stdev 0.342476 # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::5 1102864 86.43% 86.43% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::6 173165 13.57% 100.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::min_value 5 # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::max_value 6 # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::total 1276029 # Request fanout histogram
system.cpu1.dstage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu1.dstage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu1.dstage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -576,9 +866,9 @@ system.cpu1.dstage2_mmu.stage2_tlb.misses 0 # D
system.cpu1.dstage2_mmu.stage2_tlb.accesses 0 # DTB accesses
system.cpu1.dtb.inst_hits 0 # ITB inst hits
system.cpu1.dtb.inst_misses 0 # ITB inst misses
-system.cpu1.dtb.read_hits 6028686 # DTB read hits
-system.cpu1.dtb.read_misses 5403 # DTB read misses
-system.cpu1.dtb.write_hits 4781604 # DTB write hits
+system.cpu1.dtb.read_hits 6029083 # DTB read hits
+system.cpu1.dtb.read_misses 5405 # DTB read misses
+system.cpu1.dtb.write_hits 4781968 # DTB write hits
system.cpu1.dtb.write_misses 1104 # DTB write misses
system.cpu1.dtb.flush_tlb 4 # Number of times complete TLB was flushed
system.cpu1.dtb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
@@ -589,12 +879,12 @@ system.cpu1.dtb.align_faults 0 # Nu
system.cpu1.dtb.prefetch_faults 185 # Number of TLB faults due to prefetch
system.cpu1.dtb.domain_faults 0 # Number of TLB faults due to domain restrictions
system.cpu1.dtb.perms_faults 267 # Number of TLB faults due to permissions restrictions
-system.cpu1.dtb.read_accesses 6034089 # DTB read accesses
-system.cpu1.dtb.write_accesses 4782708 # DTB write accesses
+system.cpu1.dtb.read_accesses 6034488 # DTB read accesses
+system.cpu1.dtb.write_accesses 4783072 # DTB write accesses
system.cpu1.dtb.inst_accesses 0 # ITB inst accesses
-system.cpu1.dtb.hits 10810290 # DTB hits
-system.cpu1.dtb.misses 6507 # DTB misses
-system.cpu1.dtb.accesses 10816797 # DTB accesses
+system.cpu1.dtb.hits 10811051 # DTB hits
+system.cpu1.dtb.misses 6509 # DTB misses
+system.cpu1.dtb.accesses 10817560 # DTB accesses
system.cpu1.istage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu1.istage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu1.istage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -616,7 +906,7 @@ system.cpu1.istage2_mmu.stage2_tlb.inst_accesses 0
system.cpu1.istage2_mmu.stage2_tlb.hits 0 # DTB hits
system.cpu1.istage2_mmu.stage2_tlb.misses 0 # DTB misses
system.cpu1.istage2_mmu.stage2_tlb.accesses 0 # DTB accesses
-system.cpu1.itb.inst_hits 24626141 # ITB inst hits
+system.cpu1.itb.inst_hits 24627232 # ITB inst hits
system.cpu1.itb.inst_misses 3166 # ITB inst misses
system.cpu1.itb.read_hits 0 # DTB read hits
system.cpu1.itb.read_misses 0 # DTB read misses
@@ -633,38 +923,38 @@ system.cpu1.itb.domain_faults 0 # Nu
system.cpu1.itb.perms_faults 0 # Number of TLB faults due to permissions restrictions
system.cpu1.itb.read_accesses 0 # DTB read accesses
system.cpu1.itb.write_accesses 0 # DTB write accesses
-system.cpu1.itb.inst_accesses 24629307 # ITB inst accesses
-system.cpu1.itb.hits 24626141 # DTB hits
+system.cpu1.itb.inst_accesses 24630398 # ITB inst accesses
+system.cpu1.itb.hits 24627232 # DTB hits
system.cpu1.itb.misses 3166 # DTB misses
-system.cpu1.itb.accesses 24629307 # DTB accesses
-system.cpu1.numCycles 1801709576 # number of cpu cycles simulated
+system.cpu1.itb.accesses 24630398 # DTB accesses
+system.cpu1.numCycles 1801708036 # number of cpu cycles simulated
system.cpu1.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu1.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu1.committedInsts 23838609 # Number of instructions committed
-system.cpu1.committedOps 29191016 # Number of ops (including micro ops) committed
-system.cpu1.num_int_alu_accesses 25547086 # Number of integer alu accesses
-system.cpu1.num_fp_alu_accesses 5650 # Number of float alu accesses
-system.cpu1.num_func_calls 987842 # number of times a function call or return occured
-system.cpu1.num_conditional_control_insts 2987341 # number of instructions that are conditional controls
-system.cpu1.num_int_insts 25547086 # number of integer instructions
-system.cpu1.num_fp_insts 5650 # number of float instructions
-system.cpu1.num_int_register_reads 48277330 # number of times the integer registers were read
-system.cpu1.num_int_register_writes 17495174 # number of times the integer registers were written
-system.cpu1.num_fp_register_reads 3706 # number of times the floating registers were read
-system.cpu1.num_fp_register_writes 1948 # number of times the floating registers were written
-system.cpu1.num_cc_register_reads 86963152 # number of times the CC registers were read
-system.cpu1.num_cc_register_writes 11050350 # number of times the CC registers were written
-system.cpu1.num_mem_refs 11165955 # number of memory refs
-system.cpu1.num_load_insts 6206289 # Number of load instructions
-system.cpu1.num_store_insts 4959666 # Number of store instructions
-system.cpu1.num_idle_cycles 1771680344.893366 # Number of idle cycles
-system.cpu1.num_busy_cycles 30029231.106634 # Number of busy cycles
-system.cpu1.not_idle_fraction 0.016667 # Percentage of non-idle cycles
-system.cpu1.idle_fraction 0.983333 # Percentage of idle cycles
-system.cpu1.Branches 4459555 # Number of branches fetched
+system.cpu1.committedInsts 23839695 # Number of instructions committed
+system.cpu1.committedOps 29192667 # Number of ops (including micro ops) committed
+system.cpu1.num_int_alu_accesses 25548618 # Number of integer alu accesses
+system.cpu1.num_fp_alu_accesses 5779 # Number of float alu accesses
+system.cpu1.num_func_calls 987959 # number of times a function call or return occured
+system.cpu1.num_conditional_control_insts 2987443 # number of instructions that are conditional controls
+system.cpu1.num_int_insts 25548618 # number of integer instructions
+system.cpu1.num_fp_insts 5779 # number of float instructions
+system.cpu1.num_int_register_reads 48280801 # number of times the integer registers were read
+system.cpu1.num_int_register_writes 17496069 # number of times the integer registers were written
+system.cpu1.num_fp_register_reads 3771 # number of times the floating registers were read
+system.cpu1.num_fp_register_writes 2012 # number of times the floating registers were written
+system.cpu1.num_cc_register_reads 86968126 # number of times the CC registers were read
+system.cpu1.num_cc_register_writes 11050847 # number of times the CC registers were written
+system.cpu1.num_mem_refs 11166773 # number of memory refs
+system.cpu1.num_load_insts 6206724 # Number of load instructions
+system.cpu1.num_store_insts 4960049 # Number of store instructions
+system.cpu1.num_idle_cycles 1771724648.110516 # Number of idle cycles
+system.cpu1.num_busy_cycles 29983387.889484 # Number of busy cycles
+system.cpu1.not_idle_fraction 0.016642 # Percentage of non-idle cycles
+system.cpu1.idle_fraction 0.983358 # Percentage of idle cycles
+system.cpu1.Branches 4459767 # Number of branches fetched
system.cpu1.op_class::No_OpClass 15552 0.05% 0.05% # Class of executed instruction
-system.cpu1.op_class::IntAlu 18046643 61.66% 61.71% # Class of executed instruction
-system.cpu1.op_class::IntMult 40424 0.14% 61.85% # Class of executed instruction
+system.cpu1.op_class::IntAlu 18047467 61.65% 61.71% # Class of executed instruction
+system.cpu1.op_class::IntMult 40427 0.14% 61.85% # Class of executed instruction
system.cpu1.op_class::IntDiv 0 0.00% 61.85% # Class of executed instruction
system.cpu1.op_class::FloatAdd 0 0.00% 61.85% # Class of executed instruction
system.cpu1.op_class::FloatCmp 0 0.00% 61.85% # Class of executed instruction
@@ -688,58 +978,58 @@ system.cpu1.op_class::SimdFloatAlu 0 0.00% 61.85% # Cl
system.cpu1.op_class::SimdFloatCmp 0 0.00% 61.85% # Class of executed instruction
system.cpu1.op_class::SimdFloatCvt 0 0.00% 61.85% # Class of executed instruction
system.cpu1.op_class::SimdFloatDiv 0 0.00% 61.85% # Class of executed instruction
-system.cpu1.op_class::SimdFloatMisc 1539 0.01% 61.85% # Class of executed instruction
+system.cpu1.op_class::SimdFloatMisc 1550 0.01% 61.85% # Class of executed instruction
system.cpu1.op_class::SimdFloatMult 0 0.00% 61.85% # Class of executed instruction
system.cpu1.op_class::SimdFloatMultAcc 0 0.00% 61.85% # Class of executed instruction
system.cpu1.op_class::SimdFloatSqrt 0 0.00% 61.85% # Class of executed instruction
-system.cpu1.op_class::MemRead 6206289 21.20% 83.06% # Class of executed instruction
-system.cpu1.op_class::MemWrite 4959666 16.94% 100.00% # Class of executed instruction
+system.cpu1.op_class::MemRead 6206724 21.20% 83.06% # Class of executed instruction
+system.cpu1.op_class::MemWrite 4960049 16.94% 100.00% # Class of executed instruction
system.cpu1.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
system.cpu1.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu1.op_class::total 29270113 # Class of executed instruction
+system.cpu1.op_class::total 29271769 # Class of executed instruction
system.cpu1.kern.inst.arm 0 # number of arm instructions executed
-system.cpu1.kern.inst.quiesce 48301 # number of quiesce instructions executed
-system.cpu1.icache.tags.replacements 442993 # number of replacements
-system.cpu1.icache.tags.tagsinuse 472.644505 # Cycle average of tags in use
-system.cpu1.icache.tags.total_refs 24184321 # Total number of references to valid blocks.
-system.cpu1.icache.tags.sampled_refs 443505 # Sample count of references to valid blocks.
-system.cpu1.icache.tags.avg_refs 54.529985 # Average number of references to valid blocks.
-system.cpu1.icache.tags.warmup_cycle 254679414000 # Cycle when the warmup percentage was hit.
-system.cpu1.icache.tags.occ_blocks::cpu1.inst 472.644505 # Average occupied blocks per requestor
-system.cpu1.icache.tags.occ_percent::cpu1.inst 0.923134 # Average percentage of cache occupancy
-system.cpu1.icache.tags.occ_percent::total 0.923134 # Average percentage of cache occupancy
+system.cpu1.kern.inst.quiesce 48299 # number of quiesce instructions executed
+system.cpu1.icache.tags.replacements 398154 # number of replacements
+system.cpu1.icache.tags.tagsinuse 474.812776 # Cycle average of tags in use
+system.cpu1.icache.tags.total_refs 24230251 # Total number of references to valid blocks.
+system.cpu1.icache.tags.sampled_refs 398666 # Sample count of references to valid blocks.
+system.cpu1.icache.tags.avg_refs 60.778323 # Average number of references to valid blocks.
+system.cpu1.icache.tags.warmup_cycle 103932913000 # Cycle when the warmup percentage was hit.
+system.cpu1.icache.tags.occ_blocks::cpu1.inst 474.812776 # Average occupied blocks per requestor
+system.cpu1.icache.tags.occ_percent::cpu1.inst 0.927369 # Average percentage of cache occupancy
+system.cpu1.icache.tags.occ_percent::total 0.927369 # Average percentage of cache occupancy
system.cpu1.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu1.icache.tags.age_task_id_blocks_1024::0 187 # Occupied blocks per task id
-system.cpu1.icache.tags.age_task_id_blocks_1024::1 50 # Occupied blocks per task id
-system.cpu1.icache.tags.age_task_id_blocks_1024::2 257 # Occupied blocks per task id
-system.cpu1.icache.tags.age_task_id_blocks_1024::3 18 # Occupied blocks per task id
+system.cpu1.icache.tags.age_task_id_blocks_1024::0 217 # Occupied blocks per task id
+system.cpu1.icache.tags.age_task_id_blocks_1024::1 62 # Occupied blocks per task id
+system.cpu1.icache.tags.age_task_id_blocks_1024::2 226 # Occupied blocks per task id
+system.cpu1.icache.tags.age_task_id_blocks_1024::3 7 # Occupied blocks per task id
system.cpu1.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu1.icache.tags.tag_accesses 25071331 # Number of tag accesses
-system.cpu1.icache.tags.data_accesses 25071331 # Number of data accesses
-system.cpu1.icache.ReadReq_hits::cpu1.inst 24184321 # number of ReadReq hits
-system.cpu1.icache.ReadReq_hits::total 24184321 # number of ReadReq hits
-system.cpu1.icache.demand_hits::cpu1.inst 24184321 # number of demand (read+write) hits
-system.cpu1.icache.demand_hits::total 24184321 # number of demand (read+write) hits
-system.cpu1.icache.overall_hits::cpu1.inst 24184321 # number of overall hits
-system.cpu1.icache.overall_hits::total 24184321 # number of overall hits
-system.cpu1.icache.ReadReq_misses::cpu1.inst 443505 # number of ReadReq misses
-system.cpu1.icache.ReadReq_misses::total 443505 # number of ReadReq misses
-system.cpu1.icache.demand_misses::cpu1.inst 443505 # number of demand (read+write) misses
-system.cpu1.icache.demand_misses::total 443505 # number of demand (read+write) misses
-system.cpu1.icache.overall_misses::cpu1.inst 443505 # number of overall misses
-system.cpu1.icache.overall_misses::total 443505 # number of overall misses
-system.cpu1.icache.ReadReq_accesses::cpu1.inst 24627826 # number of ReadReq accesses(hits+misses)
-system.cpu1.icache.ReadReq_accesses::total 24627826 # number of ReadReq accesses(hits+misses)
-system.cpu1.icache.demand_accesses::cpu1.inst 24627826 # number of demand (read+write) accesses
-system.cpu1.icache.demand_accesses::total 24627826 # number of demand (read+write) accesses
-system.cpu1.icache.overall_accesses::cpu1.inst 24627826 # number of overall (read+write) accesses
-system.cpu1.icache.overall_accesses::total 24627826 # number of overall (read+write) accesses
-system.cpu1.icache.ReadReq_miss_rate::cpu1.inst 0.018008 # miss rate for ReadReq accesses
-system.cpu1.icache.ReadReq_miss_rate::total 0.018008 # miss rate for ReadReq accesses
-system.cpu1.icache.demand_miss_rate::cpu1.inst 0.018008 # miss rate for demand accesses
-system.cpu1.icache.demand_miss_rate::total 0.018008 # miss rate for demand accesses
-system.cpu1.icache.overall_miss_rate::cpu1.inst 0.018008 # miss rate for overall accesses
-system.cpu1.icache.overall_miss_rate::total 0.018008 # miss rate for overall accesses
+system.cpu1.icache.tags.tag_accesses 49656500 # Number of tag accesses
+system.cpu1.icache.tags.data_accesses 49656500 # Number of data accesses
+system.cpu1.icache.ReadReq_hits::cpu1.inst 24230251 # number of ReadReq hits
+system.cpu1.icache.ReadReq_hits::total 24230251 # number of ReadReq hits
+system.cpu1.icache.demand_hits::cpu1.inst 24230251 # number of demand (read+write) hits
+system.cpu1.icache.demand_hits::total 24230251 # number of demand (read+write) hits
+system.cpu1.icache.overall_hits::cpu1.inst 24230251 # number of overall hits
+system.cpu1.icache.overall_hits::total 24230251 # number of overall hits
+system.cpu1.icache.ReadReq_misses::cpu1.inst 398666 # number of ReadReq misses
+system.cpu1.icache.ReadReq_misses::total 398666 # number of ReadReq misses
+system.cpu1.icache.demand_misses::cpu1.inst 398666 # number of demand (read+write) misses
+system.cpu1.icache.demand_misses::total 398666 # number of demand (read+write) misses
+system.cpu1.icache.overall_misses::cpu1.inst 398666 # number of overall misses
+system.cpu1.icache.overall_misses::total 398666 # number of overall misses
+system.cpu1.icache.ReadReq_accesses::cpu1.inst 24628917 # number of ReadReq accesses(hits+misses)
+system.cpu1.icache.ReadReq_accesses::total 24628917 # number of ReadReq accesses(hits+misses)
+system.cpu1.icache.demand_accesses::cpu1.inst 24628917 # number of demand (read+write) accesses
+system.cpu1.icache.demand_accesses::total 24628917 # number of demand (read+write) accesses
+system.cpu1.icache.overall_accesses::cpu1.inst 24628917 # number of overall (read+write) accesses
+system.cpu1.icache.overall_accesses::total 24628917 # number of overall (read+write) accesses
+system.cpu1.icache.ReadReq_miss_rate::cpu1.inst 0.016187 # miss rate for ReadReq accesses
+system.cpu1.icache.ReadReq_miss_rate::total 0.016187 # miss rate for ReadReq accesses
+system.cpu1.icache.demand_miss_rate::cpu1.inst 0.016187 # miss rate for demand accesses
+system.cpu1.icache.demand_miss_rate::total 0.016187 # miss rate for demand accesses
+system.cpu1.icache.overall_miss_rate::cpu1.inst 0.016187 # miss rate for overall accesses
+system.cpu1.icache.overall_miss_rate::total 0.016187 # miss rate for overall accesses
system.cpu1.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu1.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu1.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -749,79 +1039,215 @@ system.cpu1.icache.avg_blocked_cycles::no_targets nan
system.cpu1.icache.fast_writes 0 # number of fast writes performed
system.cpu1.icache.cache_copies 0 # number of cache copies performed
system.cpu1.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu1.dcache.tags.replacements 274056 # number of replacements
-system.cpu1.dcache.tags.tagsinuse 468.122166 # Cycle average of tags in use
-system.cpu1.dcache.tags.total_refs 9407683 # Total number of references to valid blocks.
-system.cpu1.dcache.tags.sampled_refs 274568 # Sample count of references to valid blocks.
-system.cpu1.dcache.tags.avg_refs 34.263581 # Average number of references to valid blocks.
-system.cpu1.dcache.tags.warmup_cycle 94419429000 # Cycle when the warmup percentage was hit.
-system.cpu1.dcache.tags.occ_blocks::cpu1.data 468.122166 # Average occupied blocks per requestor
-system.cpu1.dcache.tags.occ_percent::cpu1.data 0.914301 # Average percentage of cache occupancy
-system.cpu1.dcache.tags.occ_percent::total 0.914301 # Average percentage of cache occupancy
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_identified 0 # number of hwpf identified
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_already_in_mshr 0 # number of hwpf that were already in mshr
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_already_in_cache 0 # number of hwpf that were already in the cache
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_already_in_prefetcher 0 # number of hwpf that were already in the prefetch queue
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_evicted 0 # number of hwpf removed due to no buffer left
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_removed_MSHR_hit 0 # number of hwpf removed because MSHR allocated
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_issued 0 # number of hwpf issued
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_span_page 0 # number of hwpf spanning a virtual page
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_squashed_from_miss 0 # number of hwpf that got squashed due to a miss aborting calculation time
+system.cpu1.l2cache.tags.replacements 88565 # number of replacements
+system.cpu1.l2cache.tags.tagsinuse 12390.036216 # Cycle average of tags in use
+system.cpu1.l2cache.tags.total_refs 691452 # Total number of references to valid blocks.
+system.cpu1.l2cache.tags.sampled_refs 104644 # Sample count of references to valid blocks.
+system.cpu1.l2cache.tags.avg_refs 6.607660 # Average number of references to valid blocks.
+system.cpu1.l2cache.tags.warmup_cycle 876305009500 # Cycle when the warmup percentage was hit.
+system.cpu1.l2cache.tags.occ_blocks::writebacks 6229.071421 # Average occupied blocks per requestor
+system.cpu1.l2cache.tags.occ_blocks::cpu1.dtb.walker 8.886003 # Average occupied blocks per requestor
+system.cpu1.l2cache.tags.occ_blocks::cpu1.itb.walker 2.649559 # Average occupied blocks per requestor
+system.cpu1.l2cache.tags.occ_blocks::cpu1.inst 3323.104999 # Average occupied blocks per requestor
+system.cpu1.l2cache.tags.occ_blocks::cpu1.data 2826.324234 # Average occupied blocks per requestor
+system.cpu1.l2cache.tags.occ_percent::writebacks 0.380192 # Average percentage of cache occupancy
+system.cpu1.l2cache.tags.occ_percent::cpu1.dtb.walker 0.000542 # Average percentage of cache occupancy
+system.cpu1.l2cache.tags.occ_percent::cpu1.itb.walker 0.000162 # Average percentage of cache occupancy
+system.cpu1.l2cache.tags.occ_percent::cpu1.inst 0.202826 # Average percentage of cache occupancy
+system.cpu1.l2cache.tags.occ_percent::cpu1.data 0.172505 # Average percentage of cache occupancy
+system.cpu1.l2cache.tags.occ_percent::total 0.756228 # Average percentage of cache occupancy
+system.cpu1.l2cache.tags.occ_task_id_blocks::1023 13 # Occupied blocks per task id
+system.cpu1.l2cache.tags.occ_task_id_blocks::1024 16066 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1023::2 3 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1023::3 8 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1023::4 2 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1024::0 74 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1024::1 380 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1024::2 3299 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1024::3 9480 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1024::4 2833 # Occupied blocks per task id
+system.cpu1.l2cache.tags.occ_task_id_percent::1023 0.000793 # Percentage of cache occupancy per task id
+system.cpu1.l2cache.tags.occ_task_id_percent::1024 0.980591 # Percentage of cache occupancy per task id
+system.cpu1.l2cache.tags.tag_accesses 15740589 # Number of tag accesses
+system.cpu1.l2cache.tags.data_accesses 15740589 # Number of data accesses
+system.cpu1.l2cache.ReadReq_hits::cpu1.dtb.walker 5896 # number of ReadReq hits
+system.cpu1.l2cache.ReadReq_hits::cpu1.itb.walker 2700 # number of ReadReq hits
+system.cpu1.l2cache.ReadReq_hits::cpu1.inst 375664 # number of ReadReq hits
+system.cpu1.l2cache.ReadReq_hits::cpu1.data 151551 # number of ReadReq hits
+system.cpu1.l2cache.ReadReq_hits::total 535811 # number of ReadReq hits
+system.cpu1.l2cache.Writeback_hits::writebacks 209707 # number of Writeback hits
+system.cpu1.l2cache.Writeback_hits::total 209707 # number of Writeback hits
+system.cpu1.l2cache.UpgradeReq_hits::cpu1.data 21 # number of UpgradeReq hits
+system.cpu1.l2cache.UpgradeReq_hits::total 21 # number of UpgradeReq hits
+system.cpu1.l2cache.ReadExReq_hits::cpu1.data 48287 # number of ReadExReq hits
+system.cpu1.l2cache.ReadExReq_hits::total 48287 # number of ReadExReq hits
+system.cpu1.l2cache.demand_hits::cpu1.dtb.walker 5896 # number of demand (read+write) hits
+system.cpu1.l2cache.demand_hits::cpu1.itb.walker 2700 # number of demand (read+write) hits
+system.cpu1.l2cache.demand_hits::cpu1.inst 375664 # number of demand (read+write) hits
+system.cpu1.l2cache.demand_hits::cpu1.data 199838 # number of demand (read+write) hits
+system.cpu1.l2cache.demand_hits::total 584098 # number of demand (read+write) hits
+system.cpu1.l2cache.overall_hits::cpu1.dtb.walker 5896 # number of overall hits
+system.cpu1.l2cache.overall_hits::cpu1.itb.walker 2700 # number of overall hits
+system.cpu1.l2cache.overall_hits::cpu1.inst 375664 # number of overall hits
+system.cpu1.l2cache.overall_hits::cpu1.data 199838 # number of overall hits
+system.cpu1.l2cache.overall_hits::total 584098 # number of overall hits
+system.cpu1.l2cache.ReadReq_misses::cpu1.dtb.walker 349 # number of ReadReq misses
+system.cpu1.l2cache.ReadReq_misses::cpu1.itb.walker 263 # number of ReadReq misses
+system.cpu1.l2cache.ReadReq_misses::cpu1.inst 22734 # number of ReadReq misses
+system.cpu1.l2cache.ReadReq_misses::cpu1.data 51350 # number of ReadReq misses
+system.cpu1.l2cache.ReadReq_misses::total 74696 # number of ReadReq misses
+system.cpu1.l2cache.UpgradeReq_misses::cpu1.data 18752 # number of UpgradeReq misses
+system.cpu1.l2cache.UpgradeReq_misses::total 18752 # number of UpgradeReq misses
+system.cpu1.l2cache.SCUpgradeReq_misses::cpu1.data 11227 # number of SCUpgradeReq misses
+system.cpu1.l2cache.SCUpgradeReq_misses::total 11227 # number of SCUpgradeReq misses
+system.cpu1.l2cache.ReadExReq_misses::cpu1.data 68490 # number of ReadExReq misses
+system.cpu1.l2cache.ReadExReq_misses::total 68490 # number of ReadExReq misses
+system.cpu1.l2cache.demand_misses::cpu1.dtb.walker 349 # number of demand (read+write) misses
+system.cpu1.l2cache.demand_misses::cpu1.itb.walker 263 # number of demand (read+write) misses
+system.cpu1.l2cache.demand_misses::cpu1.inst 22734 # number of demand (read+write) misses
+system.cpu1.l2cache.demand_misses::cpu1.data 119840 # number of demand (read+write) misses
+system.cpu1.l2cache.demand_misses::total 143186 # number of demand (read+write) misses
+system.cpu1.l2cache.overall_misses::cpu1.dtb.walker 349 # number of overall misses
+system.cpu1.l2cache.overall_misses::cpu1.itb.walker 263 # number of overall misses
+system.cpu1.l2cache.overall_misses::cpu1.inst 22734 # number of overall misses
+system.cpu1.l2cache.overall_misses::cpu1.data 119840 # number of overall misses
+system.cpu1.l2cache.overall_misses::total 143186 # number of overall misses
+system.cpu1.l2cache.ReadReq_accesses::cpu1.dtb.walker 6245 # number of ReadReq accesses(hits+misses)
+system.cpu1.l2cache.ReadReq_accesses::cpu1.itb.walker 2963 # number of ReadReq accesses(hits+misses)
+system.cpu1.l2cache.ReadReq_accesses::cpu1.inst 398398 # number of ReadReq accesses(hits+misses)
+system.cpu1.l2cache.ReadReq_accesses::cpu1.data 202901 # number of ReadReq accesses(hits+misses)
+system.cpu1.l2cache.ReadReq_accesses::total 610507 # number of ReadReq accesses(hits+misses)
+system.cpu1.l2cache.Writeback_accesses::writebacks 209707 # number of Writeback accesses(hits+misses)
+system.cpu1.l2cache.Writeback_accesses::total 209707 # number of Writeback accesses(hits+misses)
+system.cpu1.l2cache.UpgradeReq_accesses::cpu1.data 18773 # number of UpgradeReq accesses(hits+misses)
+system.cpu1.l2cache.UpgradeReq_accesses::total 18773 # number of UpgradeReq accesses(hits+misses)
+system.cpu1.l2cache.SCUpgradeReq_accesses::cpu1.data 11227 # number of SCUpgradeReq accesses(hits+misses)
+system.cpu1.l2cache.SCUpgradeReq_accesses::total 11227 # number of SCUpgradeReq accesses(hits+misses)
+system.cpu1.l2cache.ReadExReq_accesses::cpu1.data 116777 # number of ReadExReq accesses(hits+misses)
+system.cpu1.l2cache.ReadExReq_accesses::total 116777 # number of ReadExReq accesses(hits+misses)
+system.cpu1.l2cache.demand_accesses::cpu1.dtb.walker 6245 # number of demand (read+write) accesses
+system.cpu1.l2cache.demand_accesses::cpu1.itb.walker 2963 # number of demand (read+write) accesses
+system.cpu1.l2cache.demand_accesses::cpu1.inst 398398 # number of demand (read+write) accesses
+system.cpu1.l2cache.demand_accesses::cpu1.data 319678 # number of demand (read+write) accesses
+system.cpu1.l2cache.demand_accesses::total 727284 # number of demand (read+write) accesses
+system.cpu1.l2cache.overall_accesses::cpu1.dtb.walker 6245 # number of overall (read+write) accesses
+system.cpu1.l2cache.overall_accesses::cpu1.itb.walker 2963 # number of overall (read+write) accesses
+system.cpu1.l2cache.overall_accesses::cpu1.inst 398398 # number of overall (read+write) accesses
+system.cpu1.l2cache.overall_accesses::cpu1.data 319678 # number of overall (read+write) accesses
+system.cpu1.l2cache.overall_accesses::total 727284 # number of overall (read+write) accesses
+system.cpu1.l2cache.ReadReq_miss_rate::cpu1.dtb.walker 0.055885 # miss rate for ReadReq accesses
+system.cpu1.l2cache.ReadReq_miss_rate::cpu1.itb.walker 0.088761 # miss rate for ReadReq accesses
+system.cpu1.l2cache.ReadReq_miss_rate::cpu1.inst 0.057064 # miss rate for ReadReq accesses
+system.cpu1.l2cache.ReadReq_miss_rate::cpu1.data 0.253079 # miss rate for ReadReq accesses
+system.cpu1.l2cache.ReadReq_miss_rate::total 0.122351 # miss rate for ReadReq accesses
+system.cpu1.l2cache.UpgradeReq_miss_rate::cpu1.data 0.998881 # miss rate for UpgradeReq accesses
+system.cpu1.l2cache.UpgradeReq_miss_rate::total 0.998881 # miss rate for UpgradeReq accesses
+system.cpu1.l2cache.SCUpgradeReq_miss_rate::cpu1.data 1 # miss rate for SCUpgradeReq accesses
+system.cpu1.l2cache.SCUpgradeReq_miss_rate::total 1 # miss rate for SCUpgradeReq accesses
+system.cpu1.l2cache.ReadExReq_miss_rate::cpu1.data 0.586502 # miss rate for ReadExReq accesses
+system.cpu1.l2cache.ReadExReq_miss_rate::total 0.586502 # miss rate for ReadExReq accesses
+system.cpu1.l2cache.demand_miss_rate::cpu1.dtb.walker 0.055885 # miss rate for demand accesses
+system.cpu1.l2cache.demand_miss_rate::cpu1.itb.walker 0.088761 # miss rate for demand accesses
+system.cpu1.l2cache.demand_miss_rate::cpu1.inst 0.057064 # miss rate for demand accesses
+system.cpu1.l2cache.demand_miss_rate::cpu1.data 0.374877 # miss rate for demand accesses
+system.cpu1.l2cache.demand_miss_rate::total 0.196878 # miss rate for demand accesses
+system.cpu1.l2cache.overall_miss_rate::cpu1.dtb.walker 0.055885 # miss rate for overall accesses
+system.cpu1.l2cache.overall_miss_rate::cpu1.itb.walker 0.088761 # miss rate for overall accesses
+system.cpu1.l2cache.overall_miss_rate::cpu1.inst 0.057064 # miss rate for overall accesses
+system.cpu1.l2cache.overall_miss_rate::cpu1.data 0.374877 # miss rate for overall accesses
+system.cpu1.l2cache.overall_miss_rate::total 0.196878 # miss rate for overall accesses
+system.cpu1.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu1.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu1.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu1.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu1.l2cache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
+system.cpu1.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
+system.cpu1.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu1.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu1.l2cache.writebacks::writebacks 61322 # number of writebacks
+system.cpu1.l2cache.writebacks::total 61322 # number of writebacks
+system.cpu1.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu1.dcache.tags.replacements 299305 # number of replacements
+system.cpu1.dcache.tags.tagsinuse 464.628152 # Cycle average of tags in use
+system.cpu1.dcache.tags.total_refs 9384005 # Total number of references to valid blocks.
+system.cpu1.dcache.tags.sampled_refs 299817 # Sample count of references to valid blocks.
+system.cpu1.dcache.tags.avg_refs 31.299109 # Average number of references to valid blocks.
+system.cpu1.dcache.tags.warmup_cycle 94422670000 # Cycle when the warmup percentage was hit.
+system.cpu1.dcache.tags.occ_blocks::cpu1.data 464.628152 # Average occupied blocks per requestor
+system.cpu1.dcache.tags.occ_percent::cpu1.data 0.907477 # Average percentage of cache occupancy
+system.cpu1.dcache.tags.occ_percent::total 0.907477 # Average percentage of cache occupancy
system.cpu1.dcache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu1.dcache.tags.age_task_id_blocks_1024::0 322 # Occupied blocks per task id
-system.cpu1.dcache.tags.age_task_id_blocks_1024::1 170 # Occupied blocks per task id
-system.cpu1.dcache.tags.age_task_id_blocks_1024::2 19 # Occupied blocks per task id
-system.cpu1.dcache.tags.age_task_id_blocks_1024::3 1 # Occupied blocks per task id
+system.cpu1.dcache.tags.age_task_id_blocks_1024::0 286 # Occupied blocks per task id
+system.cpu1.dcache.tags.age_task_id_blocks_1024::1 206 # Occupied blocks per task id
+system.cpu1.dcache.tags.age_task_id_blocks_1024::2 20 # Occupied blocks per task id
system.cpu1.dcache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu1.dcache.tags.tag_accesses 39106907 # Number of tag accesses
-system.cpu1.dcache.tags.data_accesses 39106907 # Number of data accesses
-system.cpu1.dcache.ReadReq_hits::cpu1.data 4611957 # number of ReadReq hits
-system.cpu1.dcache.ReadReq_hits::total 4611957 # number of ReadReq hits
-system.cpu1.dcache.WriteReq_hits::cpu1.data 4543395 # number of WriteReq hits
-system.cpu1.dcache.WriteReq_hits::total 4543395 # number of WriteReq hits
-system.cpu1.dcache.SoftPFReq_hits::cpu1.data 35603 # number of SoftPFReq hits
-system.cpu1.dcache.SoftPFReq_hits::total 35603 # number of SoftPFReq hits
-system.cpu1.dcache.LoadLockedReq_hits::cpu1.data 94939 # number of LoadLockedReq hits
-system.cpu1.dcache.LoadLockedReq_hits::total 94939 # number of LoadLockedReq hits
-system.cpu1.dcache.StoreCondReq_hits::cpu1.data 95657 # number of StoreCondReq hits
-system.cpu1.dcache.StoreCondReq_hits::total 95657 # number of StoreCondReq hits
-system.cpu1.dcache.demand_hits::cpu1.data 9155352 # number of demand (read+write) hits
-system.cpu1.dcache.demand_hits::total 9155352 # number of demand (read+write) hits
-system.cpu1.dcache.overall_hits::cpu1.data 9190955 # number of overall hits
-system.cpu1.dcache.overall_hits::total 9190955 # number of overall hits
-system.cpu1.dcache.ReadReq_misses::cpu1.data 143554 # number of ReadReq misses
-system.cpu1.dcache.ReadReq_misses::total 143554 # number of ReadReq misses
-system.cpu1.dcache.WriteReq_misses::cpu1.data 130048 # number of WriteReq misses
-system.cpu1.dcache.WriteReq_misses::total 130048 # number of WriteReq misses
-system.cpu1.dcache.SoftPFReq_misses::cpu1.data 27770 # number of SoftPFReq misses
-system.cpu1.dcache.SoftPFReq_misses::total 27770 # number of SoftPFReq misses
-system.cpu1.dcache.LoadLockedReq_misses::cpu1.data 10527 # number of LoadLockedReq misses
-system.cpu1.dcache.LoadLockedReq_misses::total 10527 # number of LoadLockedReq misses
-system.cpu1.dcache.StoreCondReq_misses::cpu1.data 9468 # number of StoreCondReq misses
-system.cpu1.dcache.StoreCondReq_misses::total 9468 # number of StoreCondReq misses
-system.cpu1.dcache.demand_misses::cpu1.data 273602 # number of demand (read+write) misses
-system.cpu1.dcache.demand_misses::total 273602 # number of demand (read+write) misses
-system.cpu1.dcache.overall_misses::cpu1.data 301372 # number of overall misses
-system.cpu1.dcache.overall_misses::total 301372 # number of overall misses
-system.cpu1.dcache.ReadReq_accesses::cpu1.data 4755511 # number of ReadReq accesses(hits+misses)
-system.cpu1.dcache.ReadReq_accesses::total 4755511 # number of ReadReq accesses(hits+misses)
-system.cpu1.dcache.WriteReq_accesses::cpu1.data 4673443 # number of WriteReq accesses(hits+misses)
-system.cpu1.dcache.WriteReq_accesses::total 4673443 # number of WriteReq accesses(hits+misses)
+system.cpu1.dcache.tags.tag_accesses 19727044 # Number of tag accesses
+system.cpu1.dcache.tags.data_accesses 19727044 # Number of data accesses
+system.cpu1.dcache.ReadReq_hits::cpu1.data 4592285 # number of ReadReq hits
+system.cpu1.dcache.ReadReq_hits::total 4592285 # number of ReadReq hits
+system.cpu1.dcache.WriteReq_hits::cpu1.data 4538287 # number of WriteReq hits
+system.cpu1.dcache.WriteReq_hits::total 4538287 # number of WriteReq hits
+system.cpu1.dcache.SoftPFReq_hits::cpu1.data 35329 # number of SoftPFReq hits
+system.cpu1.dcache.SoftPFReq_hits::total 35329 # number of SoftPFReq hits
+system.cpu1.dcache.LoadLockedReq_hits::cpu1.data 94231 # number of LoadLockedReq hits
+system.cpu1.dcache.LoadLockedReq_hits::total 94231 # number of LoadLockedReq hits
+system.cpu1.dcache.StoreCondReq_hits::cpu1.data 93873 # number of StoreCondReq hits
+system.cpu1.dcache.StoreCondReq_hits::total 93873 # number of StoreCondReq hits
+system.cpu1.dcache.demand_hits::cpu1.data 9130572 # number of demand (read+write) hits
+system.cpu1.dcache.demand_hits::total 9130572 # number of demand (read+write) hits
+system.cpu1.dcache.overall_hits::cpu1.data 9165901 # number of overall hits
+system.cpu1.dcache.overall_hits::total 9165901 # number of overall hits
+system.cpu1.dcache.ReadReq_misses::cpu1.data 163656 # number of ReadReq misses
+system.cpu1.dcache.ReadReq_misses::total 163656 # number of ReadReq misses
+system.cpu1.dcache.WriteReq_misses::cpu1.data 135550 # number of WriteReq misses
+system.cpu1.dcache.WriteReq_misses::total 135550 # number of WriteReq misses
+system.cpu1.dcache.SoftPFReq_misses::cpu1.data 28044 # number of SoftPFReq misses
+system.cpu1.dcache.SoftPFReq_misses::total 28044 # number of SoftPFReq misses
+system.cpu1.dcache.LoadLockedReq_misses::cpu1.data 11201 # number of LoadLockedReq misses
+system.cpu1.dcache.LoadLockedReq_misses::total 11201 # number of LoadLockedReq misses
+system.cpu1.dcache.StoreCondReq_misses::cpu1.data 11227 # number of StoreCondReq misses
+system.cpu1.dcache.StoreCondReq_misses::total 11227 # number of StoreCondReq misses
+system.cpu1.dcache.demand_misses::cpu1.data 299206 # number of demand (read+write) misses
+system.cpu1.dcache.demand_misses::total 299206 # number of demand (read+write) misses
+system.cpu1.dcache.overall_misses::cpu1.data 327250 # number of overall misses
+system.cpu1.dcache.overall_misses::total 327250 # number of overall misses
+system.cpu1.dcache.ReadReq_accesses::cpu1.data 4755941 # number of ReadReq accesses(hits+misses)
+system.cpu1.dcache.ReadReq_accesses::total 4755941 # number of ReadReq accesses(hits+misses)
+system.cpu1.dcache.WriteReq_accesses::cpu1.data 4673837 # number of WriteReq accesses(hits+misses)
+system.cpu1.dcache.WriteReq_accesses::total 4673837 # number of WriteReq accesses(hits+misses)
system.cpu1.dcache.SoftPFReq_accesses::cpu1.data 63373 # number of SoftPFReq accesses(hits+misses)
system.cpu1.dcache.SoftPFReq_accesses::total 63373 # number of SoftPFReq accesses(hits+misses)
-system.cpu1.dcache.LoadLockedReq_accesses::cpu1.data 105466 # number of LoadLockedReq accesses(hits+misses)
-system.cpu1.dcache.LoadLockedReq_accesses::total 105466 # number of LoadLockedReq accesses(hits+misses)
-system.cpu1.dcache.StoreCondReq_accesses::cpu1.data 105125 # number of StoreCondReq accesses(hits+misses)
-system.cpu1.dcache.StoreCondReq_accesses::total 105125 # number of StoreCondReq accesses(hits+misses)
-system.cpu1.dcache.demand_accesses::cpu1.data 9428954 # number of demand (read+write) accesses
-system.cpu1.dcache.demand_accesses::total 9428954 # number of demand (read+write) accesses
-system.cpu1.dcache.overall_accesses::cpu1.data 9492327 # number of overall (read+write) accesses
-system.cpu1.dcache.overall_accesses::total 9492327 # number of overall (read+write) accesses
-system.cpu1.dcache.ReadReq_miss_rate::cpu1.data 0.030187 # miss rate for ReadReq accesses
-system.cpu1.dcache.ReadReq_miss_rate::total 0.030187 # miss rate for ReadReq accesses
-system.cpu1.dcache.WriteReq_miss_rate::cpu1.data 0.027827 # miss rate for WriteReq accesses
-system.cpu1.dcache.WriteReq_miss_rate::total 0.027827 # miss rate for WriteReq accesses
-system.cpu1.dcache.SoftPFReq_miss_rate::cpu1.data 0.438199 # miss rate for SoftPFReq accesses
-system.cpu1.dcache.SoftPFReq_miss_rate::total 0.438199 # miss rate for SoftPFReq accesses
-system.cpu1.dcache.LoadLockedReq_miss_rate::cpu1.data 0.099814 # miss rate for LoadLockedReq accesses
-system.cpu1.dcache.LoadLockedReq_miss_rate::total 0.099814 # miss rate for LoadLockedReq accesses
-system.cpu1.dcache.StoreCondReq_miss_rate::cpu1.data 0.090064 # miss rate for StoreCondReq accesses
-system.cpu1.dcache.StoreCondReq_miss_rate::total 0.090064 # miss rate for StoreCondReq accesses
-system.cpu1.dcache.demand_miss_rate::cpu1.data 0.029017 # miss rate for demand accesses
-system.cpu1.dcache.demand_miss_rate::total 0.029017 # miss rate for demand accesses
-system.cpu1.dcache.overall_miss_rate::cpu1.data 0.031749 # miss rate for overall accesses
-system.cpu1.dcache.overall_miss_rate::total 0.031749 # miss rate for overall accesses
+system.cpu1.dcache.LoadLockedReq_accesses::cpu1.data 105432 # number of LoadLockedReq accesses(hits+misses)
+system.cpu1.dcache.LoadLockedReq_accesses::total 105432 # number of LoadLockedReq accesses(hits+misses)
+system.cpu1.dcache.StoreCondReq_accesses::cpu1.data 105100 # number of StoreCondReq accesses(hits+misses)
+system.cpu1.dcache.StoreCondReq_accesses::total 105100 # number of StoreCondReq accesses(hits+misses)
+system.cpu1.dcache.demand_accesses::cpu1.data 9429778 # number of demand (read+write) accesses
+system.cpu1.dcache.demand_accesses::total 9429778 # number of demand (read+write) accesses
+system.cpu1.dcache.overall_accesses::cpu1.data 9493151 # number of overall (read+write) accesses
+system.cpu1.dcache.overall_accesses::total 9493151 # number of overall (read+write) accesses
+system.cpu1.dcache.ReadReq_miss_rate::cpu1.data 0.034411 # miss rate for ReadReq accesses
+system.cpu1.dcache.ReadReq_miss_rate::total 0.034411 # miss rate for ReadReq accesses
+system.cpu1.dcache.WriteReq_miss_rate::cpu1.data 0.029002 # miss rate for WriteReq accesses
+system.cpu1.dcache.WriteReq_miss_rate::total 0.029002 # miss rate for WriteReq accesses
+system.cpu1.dcache.SoftPFReq_miss_rate::cpu1.data 0.442523 # miss rate for SoftPFReq accesses
+system.cpu1.dcache.SoftPFReq_miss_rate::total 0.442523 # miss rate for SoftPFReq accesses
+system.cpu1.dcache.LoadLockedReq_miss_rate::cpu1.data 0.106239 # miss rate for LoadLockedReq accesses
+system.cpu1.dcache.LoadLockedReq_miss_rate::total 0.106239 # miss rate for LoadLockedReq accesses
+system.cpu1.dcache.StoreCondReq_miss_rate::cpu1.data 0.106822 # miss rate for StoreCondReq accesses
+system.cpu1.dcache.StoreCondReq_miss_rate::total 0.106822 # miss rate for StoreCondReq accesses
+system.cpu1.dcache.demand_miss_rate::cpu1.data 0.031730 # miss rate for demand accesses
+system.cpu1.dcache.demand_miss_rate::total 0.031730 # miss rate for demand accesses
+system.cpu1.dcache.overall_miss_rate::cpu1.data 0.034472 # miss rate for overall accesses
+system.cpu1.dcache.overall_miss_rate::total 0.034472 # miss rate for overall accesses
system.cpu1.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu1.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu1.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -830,9 +1256,45 @@ system.cpu1.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu1.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu1.dcache.fast_writes 0 # number of fast writes performed
system.cpu1.dcache.cache_copies 0 # number of cache copies performed
-system.cpu1.dcache.writebacks::writebacks 249941 # number of writebacks
-system.cpu1.dcache.writebacks::total 249941 # number of writebacks
+system.cpu1.dcache.writebacks::writebacks 209707 # number of writebacks
+system.cpu1.dcache.writebacks::total 209707 # number of writebacks
system.cpu1.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu1.toL2Bus.trans_dist::ReadReq 1728836 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::ReadResp 1728836 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::WriteReq 3546 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::WriteResp 3546 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::Writeback 209707 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::UpgradeReq 18773 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::SCUpgradeReq 11227 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::UpgradeResp 30000 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::ReadExReq 116777 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::ReadExResp 116777 # Transaction distribution
+system.cpu1.toL2Bus.pkt_count_system.cpu1.icache.mem_side::system.cpu1.l2cache.cpu_side 797550 # Packet count per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_count_system.cpu1.dcache.mem_side::system.cpu1.l2cache.cpu_side 3132383 # Packet count per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_count_system.cpu1.itb.walker.dma::system.cpu1.l2cache.cpu_side 12644 # Packet count per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_count_system.cpu1.dtb.walker.dma::system.cpu1.l2cache.cpu_side 25448 # Packet count per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_count::total 3968025 # Packet count per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_size_system.cpu1.icache.mem_side::system.cpu1.l2cache.cpu_side 25515060 # Cumulative packet size per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_size_system.cpu1.dcache.mem_side::system.cpu1.l2cache.cpu_side 36101346 # Cumulative packet size per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_size_system.cpu1.itb.walker.dma::system.cpu1.l2cache.cpu_side 25288 # Cumulative packet size per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_size_system.cpu1.dtb.walker.dma::system.cpu1.l2cache.cpu_side 50896 # Cumulative packet size per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_size::total 61692590 # Cumulative packet size per connected master and slave (bytes)
+system.cpu1.toL2Bus.snoops 259574 # Total snoops (count)
+system.cpu1.toL2Bus.snoop_fanout::samples 1204043 # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::mean 5.188487 # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::stdev 0.391100 # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::5 977097 81.15% 81.15% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::6 226946 18.85% 100.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::min_value 5 # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::max_value 6 # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::total 1204043 # Request fanout histogram
system.iocache.tags.replacements 0 # number of replacements
system.iocache.tags.tagsinuse 0 # Cycle average of tags in use
system.iocache.tags.total_refs 0 # Total number of references to valid blocks.
diff --git a/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic/stats.txt b/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic/stats.txt
index 511b86cf1..227319fff 100644
--- a/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic/stats.txt
+++ b/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-atomic/stats.txt
@@ -1,18 +1,30 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 2.321351 # Number of seconds simulated
-sim_ticks 2321351025500 # Number of ticks simulated
-final_tick 2321351025500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 2.321335 # Number of seconds simulated
+sim_ticks 2321335404000 # Number of ticks simulated
+final_tick 2321335404000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 818788 # Simulator instruction rate (inst/s)
-host_op_rate 985991 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 31464875718 # Simulator tick rate (ticks/s)
-host_mem_usage 430844 # Number of bytes of host memory used
-host_seconds 73.78 # Real time elapsed on the host
+host_inst_rate 1308981 # Simulator instruction rate (inst/s)
+host_op_rate 1576286 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 50301976363 # Simulator tick rate (ticks/s)
+host_mem_usage 455960 # Number of bytes of host memory used
+host_seconds 46.15 # Real time elapsed on the host
sim_insts 60406834 # Number of instructions simulated
sim_ops 72742429 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
+system.realview.nvmem.bytes_read::cpu.inst 20 # Number of bytes read from this memory
+system.realview.nvmem.bytes_read::total 20 # Number of bytes read from this memory
+system.realview.nvmem.bytes_inst_read::cpu.inst 20 # Number of instructions bytes read from this memory
+system.realview.nvmem.bytes_inst_read::total 20 # Number of instructions bytes read from this memory
+system.realview.nvmem.num_reads::cpu.inst 5 # Number of read requests responded to by this memory
+system.realview.nvmem.num_reads::total 5 # Number of read requests responded to by this memory
+system.realview.nvmem.bw_read::cpu.inst 9 # Total read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_read::total 9 # Total read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_inst_read::cpu.inst 9 # Instruction read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_inst_read::total 9 # Instruction read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_total::cpu.inst 9 # Total bandwidth to/from this memory (bytes/s)
+system.realview.nvmem.bw_total::total 9 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bytes_read::realview.clcd 110100480 # Number of bytes read from this memory
system.physmem.bytes_read::cpu.dtb.walker 320 # Number of bytes read from this memory
system.physmem.bytes_read::cpu.itb.walker 192 # Number of bytes read from this memory
@@ -33,47 +45,127 @@ system.physmem.num_reads::total 13921575 # Nu
system.physmem.num_writes::writebacks 57873 # Number of write requests responded to by this memory
system.physmem.num_writes::cpu.data 753954 # Number of write requests responded to by this memory
system.physmem.num_writes::total 811827 # Number of write requests responded to by this memory
-system.physmem.bw_read::realview.clcd 47429483 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::realview.clcd 47429803 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu.dtb.walker 138 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu.itb.walker 83 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.inst 303882 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 3907997 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 51641582 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 303882 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 303882 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_write::writebacks 1595567 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu.data 1299164 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::total 2894732 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_total::writebacks 1595567 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::realview.clcd 47429483 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_read::cpu.inst 303884 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.data 3908023 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 51641930 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu.inst 303884 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 303884 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write::writebacks 1595578 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu.data 1299173 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::total 2894751 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total::writebacks 1595578 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::realview.clcd 47429803 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.dtb.walker 138 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.itb.walker 83 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 303882 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 5207161 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 54536314 # Total bandwidth to/from this memory (bytes/s)
-system.realview.nvmem.bytes_read::cpu.inst 20 # Number of bytes read from this memory
-system.realview.nvmem.bytes_read::total 20 # Number of bytes read from this memory
-system.realview.nvmem.bytes_inst_read::cpu.inst 20 # Number of instructions bytes read from this memory
-system.realview.nvmem.bytes_inst_read::total 20 # Number of instructions bytes read from this memory
-system.realview.nvmem.num_reads::cpu.inst 5 # Number of read requests responded to by this memory
-system.realview.nvmem.num_reads::total 5 # Number of read requests responded to by this memory
-system.realview.nvmem.bw_read::cpu.inst 9 # Total read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_read::total 9 # Total read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_inst_read::cpu.inst 9 # Instruction read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_inst_read::total 9 # Instruction read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_total::cpu.inst 9 # Total bandwidth to/from this memory (bytes/s)
-system.realview.nvmem.bw_total::total 9 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 55568847 # Throughput (bytes/s)
-system.membus.data_through_bus 128994799 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.physmem.bw_total::cpu.inst 303884 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.data 5207196 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 54536681 # Total bandwidth to/from this memory (bytes/s)
+system.membus.trans_dist::ReadReq 14973631 # Transaction distribution
+system.membus.trans_dist::ReadResp 14973631 # Transaction distribution
+system.membus.trans_dist::WriteReq 763122 # Transaction distribution
+system.membus.trans_dist::WriteResp 763122 # Transaction distribution
+system.membus.trans_dist::Writeback 57873 # Transaction distribution
+system.membus.trans_dist::UpgradeReq 4517 # Transaction distribution
+system.membus.trans_dist::UpgradeResp 4517 # Transaction distribution
+system.membus.trans_dist::ReadExReq 131874 # Transaction distribution
+system.membus.trans_dist::ReadExResp 131874 # Transaction distribution
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.bridge.slave 2382824 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.realview.nvmem.port 10 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.realview.gic.pio 3360 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.realview.a9scu.pio 2 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 1892845 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::total 4279041 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 27525120 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::total 27525120 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 31804161 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.bridge.slave 2390127 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.realview.nvmem.port 20 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.realview.gic.pio 6720 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.realview.a9scu.pio 4 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 16497448 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::total 18894319 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::system.physmem.port 110100480 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::total 110100480 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 128994799 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 214751 # Request fanout histogram
+system.membus.snoop_fanout::mean 1 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 214751 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 1 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 214751 # Request fanout histogram
system.cf0.dma_read_full_pages 0 # Number of full page size DMA reads (not PRD).
system.cf0.dma_read_bytes 0 # Number of bytes transfered via DMA reads (not PRD).
system.cf0.dma_read_txs 0 # Number of DMA read transactions (not PRD).
system.cf0.dma_write_full_pages 0 # Number of full page size DMA writes.
system.cf0.dma_write_bytes 0 # Number of bytes transfered via DMA writes.
system.cf0.dma_write_txs 0 # Number of DMA write transactions.
-system.iobus.throughput 48459111 # Throughput (bytes/s)
-system.iobus.data_through_bus 112490607 # Total data (bytes)
+system.iobus.trans_dist::ReadReq 14945841 # Transaction distribution
+system.iobus.trans_dist::ReadResp 14945841 # Transaction distribution
+system.iobus.trans_dist::WriteReq 8131 # Transaction distribution
+system.iobus.trans_dist::WriteResp 8131 # Transaction distribution
+system.iobus.pkt_count_system.bridge.master::system.realview.uart.pio 29952 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.realview_io.pio 7900 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.timer0.pio 476 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.timer1.pio 984 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.clcd.pio 36 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.kmi0.pio 120 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.kmi1.pio 732 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.cf_ctrl.pio 2342380 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.dmac_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.uart1_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.uart2_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.uart3_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.smc_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.sp810_fake.pio 20 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.watchdog_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.gpio0_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.gpio1_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.gpio2_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.ssp_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.sci_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.aaci_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.mmc_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.rtc.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::total 2382824 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.realview.clcd.dma::system.iocache.cpu_side 27525120 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.realview.clcd.dma::total 27525120 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count::total 29907944 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart.pio 39247 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.realview_io.pio 15800 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.timer0.pio 952 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.timer1.pio 1968 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.clcd.pio 72 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.kmi0.pio 84 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.kmi1.pio 390 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.cf_ctrl.pio 2331126 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.dmac_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart3_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.smc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.sp810_fake.pio 40 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.watchdog_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio0_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.ssp_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.sci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.aaci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.mmc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.rtc.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::total 2390127 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.realview.clcd.dma::system.iocache.cpu_side 110100480 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.realview.clcd.dma::total 110100480 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size::total 112490607 # Cumulative packet size per connected master and slave (bytes)
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.dstage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu.dstage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
@@ -98,7 +190,7 @@ system.cpu.dstage2_mmu.stage2_tlb.misses 0 # DT
system.cpu.dstage2_mmu.stage2_tlb.accesses 0 # DTB accesses
system.cpu.dtb.inst_hits 0 # ITB inst hits
system.cpu.dtb.inst_misses 0 # ITB inst misses
-system.cpu.dtb.read_hits 13142244 # DTB read hits
+system.cpu.dtb.read_hits 13142243 # DTB read hits
system.cpu.dtb.read_misses 7297 # DTB read misses
system.cpu.dtb.write_hits 11216207 # DTB write hits
system.cpu.dtb.write_misses 2181 # DTB write misses
@@ -111,12 +203,12 @@ system.cpu.dtb.align_faults 0 # Nu
system.cpu.dtb.prefetch_faults 174 # Number of TLB faults due to prefetch
system.cpu.dtb.domain_faults 0 # Number of TLB faults due to domain restrictions
system.cpu.dtb.perms_faults 452 # Number of TLB faults due to permissions restrictions
-system.cpu.dtb.read_accesses 13149541 # DTB read accesses
+system.cpu.dtb.read_accesses 13149540 # DTB read accesses
system.cpu.dtb.write_accesses 11218388 # DTB write accesses
system.cpu.dtb.inst_accesses 0 # ITB inst accesses
-system.cpu.dtb.hits 24358451 # DTB hits
+system.cpu.dtb.hits 24358450 # DTB hits
system.cpu.dtb.misses 9478 # DTB misses
-system.cpu.dtb.accesses 24367929 # DTB accesses
+system.cpu.dtb.accesses 24367928 # DTB accesses
system.cpu.istage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu.istage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu.istage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -159,7 +251,7 @@ system.cpu.itb.inst_accesses 61434478 # IT
system.cpu.itb.hits 61430007 # DTB hits
system.cpu.itb.misses 4471 # DTB misses
system.cpu.itb.accesses 61434478 # DTB accesses
-system.cpu.numCycles 4642702052 # number of cpu cycles simulated
+system.cpu.numCycles 4642753590 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
system.cpu.committedInsts 60406834 # Number of instructions committed
@@ -179,10 +271,10 @@ system.cpu.num_cc_register_writes 28977741 # nu
system.cpu.num_mem_refs 25221274 # number of memory refs
system.cpu.num_load_insts 13499937 # Number of load instructions
system.cpu.num_store_insts 11721337 # Number of store instructions
-system.cpu.num_idle_cycles 4568843017.980124 # Number of idle cycles
-system.cpu.num_busy_cycles 73859034.019877 # Number of busy cycles
-system.cpu.not_idle_fraction 0.015909 # Percentage of non-idle cycles
-system.cpu.idle_fraction 0.984091 # Percentage of idle cycles
+system.cpu.num_idle_cycles 4568976022.512934 # Number of idle cycles
+system.cpu.num_busy_cycles 73777567.487067 # Number of busy cycles
+system.cpu.not_idle_fraction 0.015891 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0.984109 # Percentage of idle cycles
system.cpu.Branches 10298517 # Number of branches fetched
system.cpu.op_class::No_OpClass 28518 0.04% 0.04% # Class of executed instruction
system.cpu.op_class::IntAlu 47536032 65.23% 65.27% # Class of executed instruction
@@ -221,35 +313,35 @@ system.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Cl
system.cpu.op_class::total 72875708 # Class of executed instruction
system.cpu.kern.inst.arm 0 # number of arm instructions executed
system.cpu.kern.inst.quiesce 82781 # number of quiesce instructions executed
-system.cpu.icache.tags.replacements 850515 # number of replacements
-system.cpu.icache.tags.tagsinuse 511.689593 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 60581740 # Total number of references to valid blocks.
-system.cpu.icache.tags.sampled_refs 851027 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 71.186625 # Average number of references to valid blocks.
-system.cpu.icache.tags.warmup_cycle 5455017500 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 511.689593 # Average occupied blocks per requestor
+system.cpu.icache.tags.replacements 850504 # number of replacements
+system.cpu.icache.tags.tagsinuse 511.689630 # Cycle average of tags in use
+system.cpu.icache.tags.total_refs 60581751 # Total number of references to valid blocks.
+system.cpu.icache.tags.sampled_refs 851016 # Sample count of references to valid blocks.
+system.cpu.icache.tags.avg_refs 71.187558 # Average number of references to valid blocks.
+system.cpu.icache.tags.warmup_cycle 5451547500 # Cycle when the warmup percentage was hit.
+system.cpu.icache.tags.occ_blocks::cpu.inst 511.689630 # Average occupied blocks per requestor
system.cpu.icache.tags.occ_percent::cpu.inst 0.999394 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_percent::total 0.999394 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::0 200 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::1 62 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::0 201 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::1 61 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::2 249 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::3 1 # Occupied blocks per task id
system.cpu.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 62283794 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 62283794 # Number of data accesses
-system.cpu.icache.ReadReq_hits::cpu.inst 60581740 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 60581740 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 60581740 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 60581740 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 60581740 # number of overall hits
-system.cpu.icache.overall_hits::total 60581740 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 851027 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 851027 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 851027 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 851027 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 851027 # number of overall misses
-system.cpu.icache.overall_misses::total 851027 # number of overall misses
+system.cpu.icache.tags.tag_accesses 62283783 # Number of tag accesses
+system.cpu.icache.tags.data_accesses 62283783 # Number of data accesses
+system.cpu.icache.ReadReq_hits::cpu.inst 60581751 # number of ReadReq hits
+system.cpu.icache.ReadReq_hits::total 60581751 # number of ReadReq hits
+system.cpu.icache.demand_hits::cpu.inst 60581751 # number of demand (read+write) hits
+system.cpu.icache.demand_hits::total 60581751 # number of demand (read+write) hits
+system.cpu.icache.overall_hits::cpu.inst 60581751 # number of overall hits
+system.cpu.icache.overall_hits::total 60581751 # number of overall hits
+system.cpu.icache.ReadReq_misses::cpu.inst 851016 # number of ReadReq misses
+system.cpu.icache.ReadReq_misses::total 851016 # number of ReadReq misses
+system.cpu.icache.demand_misses::cpu.inst 851016 # number of demand (read+write) misses
+system.cpu.icache.demand_misses::total 851016 # number of demand (read+write) misses
+system.cpu.icache.overall_misses::cpu.inst 851016 # number of overall misses
+system.cpu.icache.overall_misses::total 851016 # number of overall misses
system.cpu.icache.ReadReq_accesses::cpu.inst 61432767 # number of ReadReq accesses(hits+misses)
system.cpu.icache.ReadReq_accesses::total 61432767 # number of ReadReq accesses(hits+misses)
system.cpu.icache.demand_accesses::cpu.inst 61432767 # number of demand (read+write) accesses
@@ -272,21 +364,21 @@ system.cpu.icache.fast_writes 0 # nu
system.cpu.icache.cache_copies 0 # number of cache copies performed
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.l2cache.tags.replacements 62250 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 50006.834636 # Cycle average of tags in use
-system.cpu.l2cache.tags.total_refs 1669916 # Total number of references to valid blocks.
+system.cpu.l2cache.tags.tagsinuse 50006.820137 # Cycle average of tags in use
+system.cpu.l2cache.tags.total_refs 1669876 # Total number of references to valid blocks.
system.cpu.l2cache.tags.sampled_refs 127635 # Sample count of references to valid blocks.
-system.cpu.l2cache.tags.avg_refs 13.083527 # Average number of references to valid blocks.
-system.cpu.l2cache.tags.warmup_cycle 2306278064000 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::writebacks 36897.866975 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.dtb.walker 2.959775 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.itb.walker 0.993971 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 7014.476656 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 6090.537259 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::writebacks 0.563017 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.avg_refs 13.083214 # Average number of references to valid blocks.
+system.cpu.l2cache.tags.warmup_cycle 2306275686000 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.tags.occ_blocks::writebacks 36897.819647 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.dtb.walker 2.959772 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.itb.walker 0.993972 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 7014.485209 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 6090.561537 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::writebacks 0.563016 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.dtb.walker 0.000045 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.itb.walker 0.000015 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.107032 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.092934 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::cpu.inst 0.107033 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::cpu.data 0.092935 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::total 0.763044 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_task_id_blocks::1023 5 # Occupied blocks per task id
system.cpu.l2cache.tags.occ_task_id_blocks::1024 65380 # Occupied blocks per task id
@@ -298,29 +390,29 @@ system.cpu.l2cache.tags.age_task_id_blocks_1024::3 9281
system.cpu.l2cache.tags.age_task_id_blocks_1024::4 52125 # Occupied blocks per task id
system.cpu.l2cache.tags.occ_task_id_percent::1023 0.000076 # Percentage of cache occupancy per task id
system.cpu.l2cache.tags.occ_task_id_percent::1024 0.997620 # Percentage of cache occupancy per task id
-system.cpu.l2cache.tags.tag_accesses 17035648 # Number of tag accesses
-system.cpu.l2cache.tags.data_accesses 17035648 # Number of data accesses
-system.cpu.l2cache.ReadReq_hits::cpu.dtb.walker 7541 # number of ReadReq hits
+system.cpu.l2cache.tags.tag_accesses 17035355 # Number of tag accesses
+system.cpu.l2cache.tags.data_accesses 17035355 # Number of data accesses
+system.cpu.l2cache.ReadReq_hits::cpu.dtb.walker 7540 # number of ReadReq hits
system.cpu.l2cache.ReadReq_hits::cpu.itb.walker 3151 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.inst 838793 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.data 366790 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::total 1216275 # number of ReadReq hits
-system.cpu.l2cache.Writeback_hits::writebacks 592642 # number of Writeback hits
-system.cpu.l2cache.Writeback_hits::total 592642 # number of Writeback hits
+system.cpu.l2cache.ReadReq_hits::cpu.inst 838782 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::cpu.data 366774 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::total 1216247 # number of ReadReq hits
+system.cpu.l2cache.Writeback_hits::writebacks 592630 # number of Writeback hits
+system.cpu.l2cache.Writeback_hits::total 592630 # number of Writeback hits
system.cpu.l2cache.UpgradeReq_hits::cpu.data 26 # number of UpgradeReq hits
system.cpu.l2cache.UpgradeReq_hits::total 26 # number of UpgradeReq hits
-system.cpu.l2cache.ReadExReq_hits::cpu.data 113706 # number of ReadExReq hits
-system.cpu.l2cache.ReadExReq_hits::total 113706 # number of ReadExReq hits
-system.cpu.l2cache.demand_hits::cpu.dtb.walker 7541 # number of demand (read+write) hits
+system.cpu.l2cache.ReadExReq_hits::cpu.data 113709 # number of ReadExReq hits
+system.cpu.l2cache.ReadExReq_hits::total 113709 # number of ReadExReq hits
+system.cpu.l2cache.demand_hits::cpu.dtb.walker 7540 # number of demand (read+write) hits
system.cpu.l2cache.demand_hits::cpu.itb.walker 3151 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.inst 838793 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.data 480496 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::total 1329981 # number of demand (read+write) hits
-system.cpu.l2cache.overall_hits::cpu.dtb.walker 7541 # number of overall hits
+system.cpu.l2cache.demand_hits::cpu.inst 838782 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::cpu.data 480483 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::total 1329956 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits::cpu.dtb.walker 7540 # number of overall hits
system.cpu.l2cache.overall_hits::cpu.itb.walker 3151 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.inst 838793 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.data 480496 # number of overall hits
-system.cpu.l2cache.overall_hits::total 1329981 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.inst 838782 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.data 480483 # number of overall hits
+system.cpu.l2cache.overall_hits::total 1329956 # number of overall hits
system.cpu.l2cache.ReadReq_misses::cpu.dtb.walker 5 # number of ReadReq misses
system.cpu.l2cache.ReadReq_misses::cpu.itb.walker 3 # number of ReadReq misses
system.cpu.l2cache.ReadReq_misses::cpu.inst 10608 # number of ReadReq misses
@@ -340,46 +432,46 @@ system.cpu.l2cache.overall_misses::cpu.itb.walker 3
system.cpu.l2cache.overall_misses::cpu.inst 10608 # number of overall misses
system.cpu.l2cache.overall_misses::cpu.data 143345 # number of overall misses
system.cpu.l2cache.overall_misses::total 153961 # number of overall misses
-system.cpu.l2cache.ReadReq_accesses::cpu.dtb.walker 7546 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.dtb.walker 7545 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::cpu.itb.walker 3154 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.inst 849401 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.data 376661 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::total 1236762 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.Writeback_accesses::writebacks 592642 # number of Writeback accesses(hits+misses)
-system.cpu.l2cache.Writeback_accesses::total 592642 # number of Writeback accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.inst 849390 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.data 376645 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::total 1236734 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.Writeback_accesses::writebacks 592630 # number of Writeback accesses(hits+misses)
+system.cpu.l2cache.Writeback_accesses::total 592630 # number of Writeback accesses(hits+misses)
system.cpu.l2cache.UpgradeReq_accesses::cpu.data 2943 # number of UpgradeReq accesses(hits+misses)
system.cpu.l2cache.UpgradeReq_accesses::total 2943 # number of UpgradeReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 247180 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::total 247180 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.demand_accesses::cpu.dtb.walker 7546 # number of demand (read+write) accesses
+system.cpu.l2cache.ReadExReq_accesses::cpu.data 247183 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses::total 247183 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses::cpu.dtb.walker 7545 # number of demand (read+write) accesses
system.cpu.l2cache.demand_accesses::cpu.itb.walker 3154 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.inst 849401 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.data 623841 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::total 1483942 # number of demand (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.dtb.walker 7546 # number of overall (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.inst 849390 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.data 623828 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::total 1483917 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.dtb.walker 7545 # number of overall (read+write) accesses
system.cpu.l2cache.overall_accesses::cpu.itb.walker 3154 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.inst 849401 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.data 623841 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::total 1483942 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.inst 849390 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.data 623828 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::total 1483917 # number of overall (read+write) accesses
system.cpu.l2cache.ReadReq_miss_rate::cpu.dtb.walker 0.000663 # miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_miss_rate::cpu.itb.walker 0.000951 # miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.012489 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.026207 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.026208 # miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_miss_rate::total 0.016565 # miss rate for ReadReq accesses
system.cpu.l2cache.UpgradeReq_miss_rate::cpu.data 0.991165 # miss rate for UpgradeReq accesses
system.cpu.l2cache.UpgradeReq_miss_rate::total 0.991165 # miss rate for UpgradeReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 0.539987 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::total 0.539987 # miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 0.539981 # miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate::total 0.539981 # miss rate for ReadExReq accesses
system.cpu.l2cache.demand_miss_rate::cpu.dtb.walker 0.000663 # miss rate for demand accesses
system.cpu.l2cache.demand_miss_rate::cpu.itb.walker 0.000951 # miss rate for demand accesses
system.cpu.l2cache.demand_miss_rate::cpu.inst 0.012489 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.data 0.229778 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::total 0.103751 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.data 0.229783 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::total 0.103753 # miss rate for demand accesses
system.cpu.l2cache.overall_miss_rate::cpu.dtb.walker 0.000663 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::cpu.itb.walker 0.000951 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::cpu.inst 0.012489 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.data 0.229778 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::total 0.103751 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::cpu.data 0.229783 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::total 0.103753 # miss rate for overall accesses
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -391,11 +483,11 @@ system.cpu.l2cache.cache_copies 0 # nu
system.cpu.l2cache.writebacks::writebacks 57873 # number of writebacks
system.cpu.l2cache.writebacks::total 57873 # number of writebacks
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.dcache.tags.replacements 623329 # number of replacements
+system.cpu.dcache.tags.replacements 623316 # number of replacements
system.cpu.dcache.tags.tagsinuse 511.997018 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 21798545 # Total number of references to valid blocks.
-system.cpu.dcache.tags.sampled_refs 623841 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 34.942469 # Average number of references to valid blocks.
+system.cpu.dcache.tags.total_refs 21798557 # Total number of references to valid blocks.
+system.cpu.dcache.tags.sampled_refs 623828 # Sample count of references to valid blocks.
+system.cpu.dcache.tags.avg_refs 34.943217 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 21757000 # Cycle when the warmup percentage was hit.
system.cpu.dcache.tags.occ_blocks::cpu.data 511.997018 # Average occupied blocks per requestor
system.cpu.dcache.tags.occ_percent::cpu.data 0.999994 # Average percentage of cache occupancy
@@ -405,36 +497,36 @@ system.cpu.dcache.tags.age_task_id_blocks_1024::0 291
system.cpu.dcache.tags.age_task_id_blocks_1024::1 197 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::2 24 # Occupied blocks per task id
system.cpu.dcache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu.dcache.tags.tag_accesses 90313385 # Number of tag accesses
-system.cpu.dcache.tags.data_accesses 90313385 # Number of data accesses
-system.cpu.dcache.ReadReq_hits::cpu.data 11240226 # number of ReadReq hits
-system.cpu.dcache.ReadReq_hits::total 11240226 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 9961316 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 9961316 # number of WriteReq hits
+system.cpu.dcache.tags.tag_accesses 90313368 # Number of tag accesses
+system.cpu.dcache.tags.data_accesses 90313368 # Number of data accesses
+system.cpu.dcache.ReadReq_hits::cpu.data 11240238 # number of ReadReq hits
+system.cpu.dcache.ReadReq_hits::total 11240238 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits::cpu.data 9961313 # number of WriteReq hits
+system.cpu.dcache.WriteReq_hits::total 9961313 # number of WriteReq hits
system.cpu.dcache.SoftPFReq_hits::cpu.data 110856 # number of SoftPFReq hits
system.cpu.dcache.SoftPFReq_hits::total 110856 # number of SoftPFReq hits
-system.cpu.dcache.LoadLockedReq_hits::cpu.data 236008 # number of LoadLockedReq hits
-system.cpu.dcache.LoadLockedReq_hits::total 236008 # number of LoadLockedReq hits
+system.cpu.dcache.LoadLockedReq_hits::cpu.data 236011 # number of LoadLockedReq hits
+system.cpu.dcache.LoadLockedReq_hits::total 236011 # number of LoadLockedReq hits
system.cpu.dcache.StoreCondReq_hits::cpu.data 247196 # number of StoreCondReq hits
system.cpu.dcache.StoreCondReq_hits::total 247196 # number of StoreCondReq hits
-system.cpu.dcache.demand_hits::cpu.data 21201542 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 21201542 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 21312398 # number of overall hits
-system.cpu.dcache.overall_hits::total 21312398 # number of overall hits
-system.cpu.dcache.ReadReq_misses::cpu.data 292030 # number of ReadReq misses
-system.cpu.dcache.ReadReq_misses::total 292030 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 250123 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 250123 # number of WriteReq misses
+system.cpu.dcache.demand_hits::cpu.data 21201551 # number of demand (read+write) hits
+system.cpu.dcache.demand_hits::total 21201551 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits::cpu.data 21312407 # number of overall hits
+system.cpu.dcache.overall_hits::total 21312407 # number of overall hits
+system.cpu.dcache.ReadReq_misses::cpu.data 292017 # number of ReadReq misses
+system.cpu.dcache.ReadReq_misses::total 292017 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses::cpu.data 250126 # number of WriteReq misses
+system.cpu.dcache.WriteReq_misses::total 250126 # number of WriteReq misses
system.cpu.dcache.SoftPFReq_misses::cpu.data 73442 # number of SoftPFReq misses
system.cpu.dcache.SoftPFReq_misses::total 73442 # number of SoftPFReq misses
-system.cpu.dcache.LoadLockedReq_misses::cpu.data 11189 # number of LoadLockedReq misses
-system.cpu.dcache.LoadLockedReq_misses::total 11189 # number of LoadLockedReq misses
-system.cpu.dcache.demand_misses::cpu.data 542153 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 542153 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 615595 # number of overall misses
-system.cpu.dcache.overall_misses::total 615595 # number of overall misses
-system.cpu.dcache.ReadReq_accesses::cpu.data 11532256 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.ReadReq_accesses::total 11532256 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.LoadLockedReq_misses::cpu.data 11186 # number of LoadLockedReq misses
+system.cpu.dcache.LoadLockedReq_misses::total 11186 # number of LoadLockedReq misses
+system.cpu.dcache.demand_misses::cpu.data 542143 # number of demand (read+write) misses
+system.cpu.dcache.demand_misses::total 542143 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses::cpu.data 615585 # number of overall misses
+system.cpu.dcache.overall_misses::total 615585 # number of overall misses
+system.cpu.dcache.ReadReq_accesses::cpu.data 11532255 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.ReadReq_accesses::total 11532255 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.data 10211439 # number of WriteReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::total 10211439 # number of WriteReq accesses(hits+misses)
system.cpu.dcache.SoftPFReq_accesses::cpu.data 184298 # number of SoftPFReq accesses(hits+misses)
@@ -443,20 +535,20 @@ system.cpu.dcache.LoadLockedReq_accesses::cpu.data 247197
system.cpu.dcache.LoadLockedReq_accesses::total 247197 # number of LoadLockedReq accesses(hits+misses)
system.cpu.dcache.StoreCondReq_accesses::cpu.data 247196 # number of StoreCondReq accesses(hits+misses)
system.cpu.dcache.StoreCondReq_accesses::total 247196 # number of StoreCondReq accesses(hits+misses)
-system.cpu.dcache.demand_accesses::cpu.data 21743695 # number of demand (read+write) accesses
-system.cpu.dcache.demand_accesses::total 21743695 # number of demand (read+write) accesses
-system.cpu.dcache.overall_accesses::cpu.data 21927993 # number of overall (read+write) accesses
-system.cpu.dcache.overall_accesses::total 21927993 # number of overall (read+write) accesses
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.025323 # miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_miss_rate::total 0.025323 # miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.024494 # miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_miss_rate::total 0.024494 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_accesses::cpu.data 21743694 # number of demand (read+write) accesses
+system.cpu.dcache.demand_accesses::total 21743694 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses::cpu.data 21927992 # number of overall (read+write) accesses
+system.cpu.dcache.overall_accesses::total 21927992 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.025322 # miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_miss_rate::total 0.025322 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.024495 # miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_miss_rate::total 0.024495 # miss rate for WriteReq accesses
system.cpu.dcache.SoftPFReq_miss_rate::cpu.data 0.398496 # miss rate for SoftPFReq accesses
system.cpu.dcache.SoftPFReq_miss_rate::total 0.398496 # miss rate for SoftPFReq accesses
-system.cpu.dcache.LoadLockedReq_miss_rate::cpu.data 0.045263 # miss rate for LoadLockedReq accesses
-system.cpu.dcache.LoadLockedReq_miss_rate::total 0.045263 # miss rate for LoadLockedReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.024934 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.024934 # miss rate for demand accesses
+system.cpu.dcache.LoadLockedReq_miss_rate::cpu.data 0.045251 # miss rate for LoadLockedReq accesses
+system.cpu.dcache.LoadLockedReq_miss_rate::total 0.045251 # miss rate for LoadLockedReq accesses
+system.cpu.dcache.demand_miss_rate::cpu.data 0.024933 # miss rate for demand accesses
+system.cpu.dcache.demand_miss_rate::total 0.024933 # miss rate for demand accesses
system.cpu.dcache.overall_miss_rate::cpu.data 0.028073 # miss rate for overall accesses
system.cpu.dcache.overall_miss_rate::total 0.028073 # miss rate for overall accesses
system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
@@ -467,12 +559,44 @@ system.cpu.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.dcache.fast_writes 0 # number of fast writes performed
system.cpu.dcache.cache_copies 0 # number of cache copies performed
-system.cpu.dcache.writebacks::writebacks 592642 # number of writebacks
-system.cpu.dcache.writebacks::total 592642 # number of writebacks
+system.cpu.dcache.writebacks::writebacks 592630 # number of writebacks
+system.cpu.dcache.writebacks::total 592630 # number of writebacks
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 59392167 # Throughput (bytes/s)
-system.cpu.toL2Bus.data_through_bus 137870067 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.trans_dist::ReadReq 2445766 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadResp 2445766 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::WriteReq 763122 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::WriteResp 763122 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::Writeback 592630 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::UpgradeReq 2943 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::UpgradeResp 2943 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadExReq 247183 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadExResp 247183 # Transaction distribution
+system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 1715294 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 5740322 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.itb.walker.dma::system.cpu.l2cache.cpu_side 17852 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.dtb.walker.dma::system.cpu.l2cache.cpu_side 37190 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count::total 7510658 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 54491548 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 83266131 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.itb.walker.dma::system.cpu.l2cache.cpu_side 35704 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dtb.walker.dma::system.cpu.l2cache.cpu_side 74380 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 137867763 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 2097938 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 5 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::5 2097938 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::6 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 5 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 5 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 2097938 # Request fanout histogram
system.iocache.tags.replacements 0 # number of replacements
system.iocache.tags.tagsinuse 0 # Cycle average of tags in use
system.iocache.tags.total_refs 0 # Total number of references to valid blocks.
diff --git a/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/stats.txt b/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/stats.txt
index 051c13810..73c076f14 100644
--- a/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/stats.txt
+++ b/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing-dual/stats.txt
@@ -1,167 +1,189 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 1.194312 # Number of seconds simulated
-sim_ticks 1194312178000 # Number of ticks simulated
-final_tick 1194312178000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 2.675181 # Number of seconds simulated
+sim_ticks 2675180779000 # Number of ticks simulated
+final_tick 2675180779000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 475403 # Simulator instruction rate (inst/s)
-host_op_rate 567868 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 9241250441 # Simulator tick rate (ticks/s)
-host_mem_usage 438040 # Number of bytes of host memory used
-host_seconds 129.24 # Real time elapsed on the host
-sim_insts 61439698 # Number of instructions simulated
-sim_ops 73389630 # Number of ops (including micro ops) simulated
+host_inst_rate 485184 # Simulator instruction rate (inst/s)
+host_op_rate 579312 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 20736099933 # Simulator tick rate (ticks/s)
+host_mem_usage 486856 # Number of bytes of host memory used
+host_seconds 129.01 # Real time elapsed on the host
+sim_insts 62593972 # Number of instructions simulated
+sim_ops 74737529 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
-system.physmem.bytes_read::realview.clcd 51904512 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu0.dtb.walker 64 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu0.itb.walker 128 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu0.inst 393932 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu0.data 4710012 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1.dtb.walker 256 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1.itb.walker 64 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1.inst 323460 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1.data 4796088 # Number of bytes read from this memory
-system.physmem.bytes_read::total 62128516 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu0.inst 393932 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::cpu1.inst 323460 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 717392 # Number of instructions bytes read from this memory
-system.physmem.bytes_written::writebacks 4097216 # Number of bytes written to this memory
+system.realview.nvmem.bytes_read::cpu0.inst 20 # Number of bytes read from this memory
+system.realview.nvmem.bytes_read::cpu1.inst 48 # Number of bytes read from this memory
+system.realview.nvmem.bytes_read::total 68 # Number of bytes read from this memory
+system.realview.nvmem.bytes_inst_read::cpu0.inst 20 # Number of instructions bytes read from this memory
+system.realview.nvmem.bytes_inst_read::cpu1.inst 48 # Number of instructions bytes read from this memory
+system.realview.nvmem.bytes_inst_read::total 68 # Number of instructions bytes read from this memory
+system.realview.nvmem.num_reads::cpu0.inst 5 # Number of read requests responded to by this memory
+system.realview.nvmem.num_reads::cpu1.inst 12 # Number of read requests responded to by this memory
+system.realview.nvmem.num_reads::total 17 # Number of read requests responded to by this memory
+system.realview.nvmem.bw_read::cpu0.inst 7 # Total read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_read::cpu1.inst 18 # Total read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_read::total 25 # Total read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_inst_read::cpu0.inst 7 # Instruction read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_inst_read::cpu1.inst 18 # Instruction read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_inst_read::total 25 # Instruction read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_total::cpu0.inst 7 # Total bandwidth to/from this memory (bytes/s)
+system.realview.nvmem.bw_total::cpu1.inst 18 # Total bandwidth to/from this memory (bytes/s)
+system.realview.nvmem.bw_total::total 25 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bytes_read::realview.clcd 124256256 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.dtb.walker 128 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.itb.walker 256 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.inst 120908 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.data 513788 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.l2cache.prefetcher 6659968 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu1.dtb.walker 128 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu1.inst 37828 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu1.data 531832 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu1.l2cache.prefetcher 3262144 # Number of bytes read from this memory
+system.physmem.bytes_read::total 135383236 # Number of bytes read from this memory
+system.physmem.bytes_inst_read::cpu0.inst 120908 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::cpu1.inst 37828 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::total 158736 # Number of instructions bytes read from this memory
+system.physmem.bytes_written::writebacks 4300032 # Number of bytes written to this memory
system.physmem.bytes_written::cpu0.data 17000 # Number of bytes written to this memory
-system.physmem.bytes_written::cpu1.data 3010344 # Number of bytes written to this memory
-system.physmem.bytes_written::total 7124560 # Number of bytes written to this memory
-system.physmem.num_reads::realview.clcd 6488064 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu0.dtb.walker 1 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu0.itb.walker 2 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu0.inst 12383 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu0.data 73653 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1.dtb.walker 4 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1.itb.walker 1 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1.inst 5145 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1.data 74957 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 6654210 # Number of read requests responded to by this memory
-system.physmem.num_writes::writebacks 64019 # Number of write requests responded to by this memory
+system.physmem.bytes_written::cpu1.data 3012136 # Number of bytes written to this memory
+system.physmem.bytes_written::total 7329168 # Number of bytes written to this memory
+system.physmem.num_reads::realview.clcd 15532032 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu0.dtb.walker 2 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu0.itb.walker 4 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu0.inst 8117 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu0.data 8087 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu0.l2cache.prefetcher 104062 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu1.dtb.walker 2 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu1.inst 682 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu1.data 8328 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu1.l2cache.prefetcher 50971 # Number of read requests responded to by this memory
+system.physmem.num_reads::total 15712287 # Number of read requests responded to by this memory
+system.physmem.num_writes::writebacks 67188 # Number of write requests responded to by this memory
system.physmem.num_writes::cpu0.data 4250 # Number of write requests responded to by this memory
-system.physmem.num_writes::cpu1.data 752586 # Number of write requests responded to by this memory
-system.physmem.num_writes::total 820855 # Number of write requests responded to by this memory
-system.physmem.bw_read::realview.clcd 43459753 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.dtb.walker 54 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.itb.walker 107 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.inst 329840 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.data 3943703 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.dtb.walker 214 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.itb.walker 54 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.inst 270834 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.data 4015774 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 52020332 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu0.inst 329840 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu1.inst 270834 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 600674 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_write::writebacks 3430607 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu0.data 14234 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu1.data 2520567 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::total 5965408 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_total::writebacks 3430607 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::realview.clcd 43459753 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.dtb.walker 54 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.itb.walker 107 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.inst 329840 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.data 3957937 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.dtb.walker 214 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.itb.walker 54 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.inst 270834 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.data 6536341 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 57985740 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.readReqs 6654210 # Number of read requests accepted
-system.physmem.writeReqs 820855 # Number of write requests accepted
-system.physmem.readBursts 6654210 # Number of DRAM read bursts, including those serviced by the write queue
-system.physmem.writeBursts 820855 # Number of DRAM write bursts, including those merged in the write queue
-system.physmem.bytesReadDRAM 425838464 # Total number of bytes read from DRAM
-system.physmem.bytesReadWrQ 30976 # Total number of bytes read from write queue
-system.physmem.bytesWritten 7136448 # Total number of bytes written to DRAM
-system.physmem.bytesReadSys 62128516 # Total read bytes from the system interface side
-system.physmem.bytesWrittenSys 7124560 # Total written bytes from the system interface side
-system.physmem.servicedByWrQ 484 # Number of DRAM read bursts serviced by the write queue
-system.physmem.mergedWrBursts 709321 # Number of DRAM write bursts merged with an existing one
-system.physmem.neitherReadNorWriteReqs 12079 # Number of requests that are neither read nor write
-system.physmem.perBankRdBursts::0 415236 # Per bank write bursts
-system.physmem.perBankRdBursts::1 415218 # Per bank write bursts
-system.physmem.perBankRdBursts::2 415240 # Per bank write bursts
-system.physmem.perBankRdBursts::3 415658 # Per bank write bursts
-system.physmem.perBankRdBursts::4 422402 # Per bank write bursts
-system.physmem.perBankRdBursts::5 415506 # Per bank write bursts
-system.physmem.perBankRdBursts::6 415779 # Per bank write bursts
-system.physmem.perBankRdBursts::7 415682 # Per bank write bursts
-system.physmem.perBankRdBursts::8 416047 # Per bank write bursts
-system.physmem.perBankRdBursts::9 415577 # Per bank write bursts
-system.physmem.perBankRdBursts::10 415398 # Per bank write bursts
-system.physmem.perBankRdBursts::11 414862 # Per bank write bursts
-system.physmem.perBankRdBursts::12 415007 # Per bank write bursts
-system.physmem.perBankRdBursts::13 415552 # Per bank write bursts
-system.physmem.perBankRdBursts::14 415496 # Per bank write bursts
-system.physmem.perBankRdBursts::15 415066 # Per bank write bursts
-system.physmem.perBankWrBursts::0 6763 # Per bank write bursts
-system.physmem.perBankWrBursts::1 6728 # Per bank write bursts
-system.physmem.perBankWrBursts::2 6819 # Per bank write bursts
-system.physmem.perBankWrBursts::3 7055 # Per bank write bursts
-system.physmem.perBankWrBursts::4 7301 # Per bank write bursts
-system.physmem.perBankWrBursts::5 7028 # Per bank write bursts
-system.physmem.perBankWrBursts::6 7316 # Per bank write bursts
-system.physmem.perBankWrBursts::7 7231 # Per bank write bursts
-system.physmem.perBankWrBursts::8 7485 # Per bank write bursts
-system.physmem.perBankWrBursts::9 7107 # Per bank write bursts
-system.physmem.perBankWrBursts::10 7000 # Per bank write bursts
-system.physmem.perBankWrBursts::11 6549 # Per bank write bursts
-system.physmem.perBankWrBursts::12 6696 # Per bank write bursts
-system.physmem.perBankWrBursts::13 6902 # Per bank write bursts
-system.physmem.perBankWrBursts::14 6960 # Per bank write bursts
-system.physmem.perBankWrBursts::15 6567 # Per bank write bursts
+system.physmem.num_writes::cpu1.data 753034 # Number of write requests responded to by this memory
+system.physmem.num_writes::total 824472 # Number of write requests responded to by this memory
+system.physmem.bw_read::realview.clcd 46447798 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.dtb.walker 48 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.itb.walker 96 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.inst 45196 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.data 192057 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.l2cache.prefetcher 2489539 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.dtb.walker 48 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.inst 14140 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.data 198802 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.l2cache.prefetcher 1219411 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 50607135 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu0.inst 45196 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu1.inst 14140 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 59337 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write::writebacks 1607380 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu0.data 6355 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu1.data 1125956 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::total 2739691 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total::writebacks 1607380 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::realview.clcd 46447798 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.dtb.walker 48 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.itb.walker 96 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.inst 45196 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.data 198412 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.l2cache.prefetcher 2489539 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.dtb.walker 48 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.inst 14140 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.data 1324758 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.l2cache.prefetcher 1219411 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 53346826 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.readReqs 15712287 # Number of read requests accepted
+system.physmem.writeReqs 824472 # Number of write requests accepted
+system.physmem.readBursts 15712287 # Number of DRAM read bursts, including those serviced by the write queue
+system.physmem.writeBursts 824472 # Number of DRAM write bursts, including those merged in the write queue
+system.physmem.bytesReadDRAM 1005465984 # Total number of bytes read from DRAM
+system.physmem.bytesReadWrQ 120384 # Total number of bytes read from write queue
+system.physmem.bytesWritten 7344256 # Total number of bytes written to DRAM
+system.physmem.bytesReadSys 135383236 # Total read bytes from the system interface side
+system.physmem.bytesWrittenSys 7329168 # Total written bytes from the system interface side
+system.physmem.servicedByWrQ 1881 # Number of DRAM read bursts serviced by the write queue
+system.physmem.mergedWrBursts 709695 # Number of DRAM write bursts merged with an existing one
+system.physmem.neitherReadNorWriteReqs 15472 # Number of requests that are neither read nor write
+system.physmem.perBankRdBursts::0 981539 # Per bank write bursts
+system.physmem.perBankRdBursts::1 981448 # Per bank write bursts
+system.physmem.perBankRdBursts::2 981211 # Per bank write bursts
+system.physmem.perBankRdBursts::3 981521 # Per bank write bursts
+system.physmem.perBankRdBursts::4 988300 # Per bank write bursts
+system.physmem.perBankRdBursts::5 981533 # Per bank write bursts
+system.physmem.perBankRdBursts::6 981210 # Per bank write bursts
+system.physmem.perBankRdBursts::7 981071 # Per bank write bursts
+system.physmem.perBankRdBursts::8 981831 # Per bank write bursts
+system.physmem.perBankRdBursts::9 982015 # Per bank write bursts
+system.physmem.perBankRdBursts::10 981421 # Per bank write bursts
+system.physmem.perBankRdBursts::11 980878 # Per bank write bursts
+system.physmem.perBankRdBursts::12 981926 # Per bank write bursts
+system.physmem.perBankRdBursts::13 981948 # Per bank write bursts
+system.physmem.perBankRdBursts::14 981516 # Per bank write bursts
+system.physmem.perBankRdBursts::15 981038 # Per bank write bursts
+system.physmem.perBankWrBursts::0 7155 # Per bank write bursts
+system.physmem.perBankWrBursts::1 7293 # Per bank write bursts
+system.physmem.perBankWrBursts::2 6957 # Per bank write bursts
+system.physmem.perBankWrBursts::3 6994 # Per bank write bursts
+system.physmem.perBankWrBursts::4 7537 # Per bank write bursts
+system.physmem.perBankWrBursts::5 7187 # Per bank write bursts
+system.physmem.perBankWrBursts::6 7207 # Per bank write bursts
+system.physmem.perBankWrBursts::7 7058 # Per bank write bursts
+system.physmem.perBankWrBursts::8 7329 # Per bank write bursts
+system.physmem.perBankWrBursts::9 7596 # Per bank write bursts
+system.physmem.perBankWrBursts::10 7177 # Per bank write bursts
+system.physmem.perBankWrBursts::11 6681 # Per bank write bursts
+system.physmem.perBankWrBursts::12 7505 # Per bank write bursts
+system.physmem.perBankWrBursts::13 7329 # Per bank write bursts
+system.physmem.perBankWrBursts::14 7034 # Per bank write bursts
+system.physmem.perBankWrBursts::15 6715 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 1194307723500 # Total gap between requests
+system.physmem.totGap 2675178052500 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 6799 # Read request sizes (log2)
-system.physmem.readPktSize::3 6488089 # Read request sizes (log2)
+system.physmem.readPktSize::3 15532057 # Read request sizes (log2)
system.physmem.readPktSize::4 0 # Read request sizes (log2)
system.physmem.readPktSize::5 0 # Read request sizes (log2)
-system.physmem.readPktSize::6 159322 # Read request sizes (log2)
+system.physmem.readPktSize::6 173431 # Read request sizes (log2)
system.physmem.writePktSize::0 0 # Write request sizes (log2)
system.physmem.writePktSize::1 0 # Write request sizes (log2)
-system.physmem.writePktSize::2 756836 # Write request sizes (log2)
+system.physmem.writePktSize::2 757284 # Write request sizes (log2)
system.physmem.writePktSize::3 0 # Write request sizes (log2)
system.physmem.writePktSize::4 0 # Write request sizes (log2)
system.physmem.writePktSize::5 0 # Write request sizes (log2)
-system.physmem.writePktSize::6 64019 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 572550 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 410650 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::2 412558 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::3 460055 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::4 417389 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::5 445707 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::6 1151151 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::7 1116358 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::8 1442650 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::9 62467 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::10 48974 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::11 44870 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::12 43130 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::13 8689 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::14 8270 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::15 8147 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::16 108 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::17 3 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::18 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::19 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::20 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::21 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::22 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::23 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::24 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::25 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::26 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::27 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::28 0 # What read queue length does an incoming req see
+system.physmem.writePktSize::6 67188 # Write request sizes (log2)
+system.physmem.rdQLenPdf::0 1100287 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::1 996591 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::2 996926 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::3 1111424 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::4 1006011 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::5 1072049 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::6 2766642 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::7 2669294 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::8 3474563 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::9 133275 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::10 114946 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::11 106575 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::12 103058 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::13 20020 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::14 19187 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::15 18941 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::16 241 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::17 126 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::18 60 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::19 48 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::20 36 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::21 35 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::22 28 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::23 23 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::24 13 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::25 4 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::26 1 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::27 1 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::28 1 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::29 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::30 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::31 0 # What read queue length does an incoming req see
@@ -180,47 +202,47 @@ system.physmem.wrQLenPdf::11 1 # Wh
system.physmem.wrQLenPdf::12 1 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::13 1 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::14 1 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::15 3883 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::16 3903 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::17 6452 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::18 6480 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::19 6485 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::20 6485 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::21 6491 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::22 6485 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::23 6483 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::24 6485 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::25 6483 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::26 6486 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::27 6495 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::28 6488 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::29 6484 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::30 6486 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::31 6484 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::32 6481 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::33 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::34 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::35 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::36 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::37 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::38 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::39 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::40 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::41 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::42 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::43 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::44 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::45 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::46 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::47 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::48 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::49 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::50 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::51 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::52 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::53 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::54 0 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::55 0 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::15 4098 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::16 4127 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::17 4820 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::18 5767 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::19 6235 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::20 6391 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::21 6478 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::22 6628 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::23 6692 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::24 6804 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::25 6950 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::26 7066 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::27 7169 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::28 7372 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::29 7024 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::30 7083 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::31 7073 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::32 6754 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::33 103 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::34 52 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::35 26 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::36 9 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::37 4 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::38 2 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::39 2 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::40 3 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::41 3 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::42 3 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::43 4 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::44 4 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::45 4 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::46 2 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::47 2 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::48 1 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::49 1 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::50 1 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::51 1 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::52 1 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::53 1 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::54 1 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::55 1 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::56 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::57 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::58 0 # What write queue length does an incoming req see
@@ -229,394 +251,422 @@ system.physmem.wrQLenPdf::60 0 # Wh
system.physmem.wrQLenPdf::61 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::62 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.physmem.bytesPerActivate::samples 473292 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::mean 914.815615 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 785.169464 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 288.643252 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 25022 5.29% 5.29% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 21566 4.56% 9.84% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::256-383 5869 1.24% 11.08% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::384-511 2391 0.51% 11.59% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::512-639 2344 0.50% 12.08% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::640-767 1629 0.34% 12.43% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::768-895 4093 0.86% 13.29% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::896-1023 899 0.19% 13.48% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::1024-1151 409479 86.52% 100.00% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::total 473292 # Bytes accessed per row activation
-system.physmem.rdPerTurnAround::samples 6481 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::mean 1026.648974 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::stdev 26505.494009 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::0-65535 6473 99.88% 99.88% # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::65536-131071 1 0.02% 99.89% # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::196608-262143 3 0.05% 99.94% # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::589824-655359 1 0.02% 99.95% # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::786432-851967 1 0.02% 99.97% # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::983040-1.04858e+06 1 0.02% 99.98% # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::1.50733e+06-1.57286e+06 1 0.02% 100.00% # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::total 6481 # Reads before turning the bus around for writes
-system.physmem.wrPerTurnAround::samples 6481 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::mean 17.205215 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::gmean 17.176618 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::stdev 0.984217 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::16 2581 39.82% 39.82% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::17 15 0.23% 40.06% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::18 3862 59.59% 99.65% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::19 20 0.31% 99.95% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::20 3 0.05% 100.00% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::total 6481 # Writes before turning the bus around for reads
-system.physmem.totQLat 170730095750 # Total ticks spent queuing
-system.physmem.totMemAccLat 295487458250 # Total ticks spent from burst creation until serviced by the DRAM
-system.physmem.totBusLat 33268630000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 25659.32 # Average queueing delay per DRAM burst
+system.physmem.bytesPerActivate::samples 1051606 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::mean 963.108084 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::gmean 883.927529 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::stdev 220.726845 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::0-127 33004 3.14% 3.14% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::128-255 22001 2.09% 5.23% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::256-383 9307 0.89% 6.12% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::384-511 2514 0.24% 6.35% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::512-639 3272 0.31% 6.67% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::640-767 2167 0.21% 6.87% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::768-895 8722 0.83% 7.70% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::896-1023 1051 0.10% 7.80% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::1024-1151 969568 92.20% 100.00% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::total 1051606 # Bytes accessed per row activation
+system.physmem.rdPerTurnAround::samples 6601 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::mean 2380.003939 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::stdev 98592.588392 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::0-262143 6595 99.91% 99.91% # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::524288-786431 1 0.02% 99.92% # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::786432-1.04858e+06 2 0.03% 99.95% # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::1.04858e+06-1.31072e+06 1 0.02% 99.97% # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::1.31072e+06-1.57286e+06 1 0.02% 99.98% # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::7.60218e+06-7.86432e+06 1 0.02% 100.00% # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::total 6601 # Reads before turning the bus around for writes
+system.physmem.wrPerTurnAround::samples 6601 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::mean 17.384336 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::gmean 17.341066 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::stdev 1.250693 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::16 2463 37.31% 37.31% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::17 32 0.48% 37.80% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::18 3686 55.84% 93.64% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::19 215 3.26% 96.89% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::20 85 1.29% 98.18% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::21 50 0.76% 98.94% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::22 28 0.42% 99.36% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::23 18 0.27% 99.64% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::24 14 0.21% 99.85% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::25 7 0.11% 99.95% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::26 3 0.05% 100.00% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::total 6601 # Writes before turning the bus around for reads
+system.physmem.totQLat 408788863752 # Total ticks spent queuing
+system.physmem.totMemAccLat 703358976252 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totBusLat 78552030000 # Total ticks spent in databus transfers
+system.physmem.avgQLat 26020.26 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 44409.32 # Average memory access latency per DRAM burst
-system.physmem.avgRdBW 356.56 # Average DRAM read bandwidth in MiByte/s
-system.physmem.avgWrBW 5.98 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 52.02 # Average system read bandwidth in MiByte/s
-system.physmem.avgWrBWSys 5.97 # Average system write bandwidth in MiByte/s
+system.physmem.avgMemAccLat 44770.26 # Average memory access latency per DRAM burst
+system.physmem.avgRdBW 375.85 # Average DRAM read bandwidth in MiByte/s
+system.physmem.avgWrBW 2.75 # Average achieved write bandwidth in MiByte/s
+system.physmem.avgRdBWSys 50.61 # Average system read bandwidth in MiByte/s
+system.physmem.avgWrBWSys 2.74 # Average system write bandwidth in MiByte/s
system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.physmem.busUtil 2.83 # Data bus utilization in percentage
-system.physmem.busUtilRead 2.79 # Data bus utilization in percentage for reads
-system.physmem.busUtilWrite 0.05 # Data bus utilization in percentage for writes
-system.physmem.avgRdQLen 4.36 # Average read queue length when enqueuing
-system.physmem.avgWrQLen 23.98 # Average write queue length when enqueuing
-system.physmem.readRowHits 6199598 # Number of row buffer hits during reads
-system.physmem.writeRowHits 92343 # Number of row buffer hits during writes
-system.physmem.readRowHitRate 93.17 # Row buffer hit rate for reads
-system.physmem.writeRowHitRate 82.79 # Row buffer hit rate for writes
-system.physmem.avgGap 159772.22 # Average gap between requests
-system.physmem.pageHitRate 93.00 # Row buffer hit rate, read and write combined
-system.physmem.memoryStateTime::IDLE 945808643750 # Time in different power states
-system.physmem.memoryStateTime::REF 39880620000 # Time in different power states
+system.physmem.busUtil 2.96 # Data bus utilization in percentage
+system.physmem.busUtilRead 2.94 # Data bus utilization in percentage for reads
+system.physmem.busUtilWrite 0.02 # Data bus utilization in percentage for writes
+system.physmem.avgRdQLen 6.50 # Average read queue length when enqueuing
+system.physmem.avgWrQLen 26.70 # Average write queue length when enqueuing
+system.physmem.readRowHits 14689438 # Number of row buffer hits during reads
+system.physmem.writeRowHits 84116 # Number of row buffer hits during writes
+system.physmem.readRowHitRate 93.50 # Row buffer hit rate for reads
+system.physmem.writeRowHitRate 73.29 # Row buffer hit rate for writes
+system.physmem.avgGap 161771.61 # Average gap between requests
+system.physmem.pageHitRate 93.35 # Row buffer hit rate, read and write combined
+system.physmem.memoryStateTime::IDLE 2326940534750 # Time in different power states
+system.physmem.memoryStateTime::REF 89330020000 # Time in different power states
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem.memoryStateTime::ACT 208620525000 # Time in different power states
+system.physmem.memoryStateTime::ACT 258906121500 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.realview.nvmem.bytes_read::cpu0.inst 20 # Number of bytes read from this memory
-system.realview.nvmem.bytes_read::cpu1.inst 48 # Number of bytes read from this memory
-system.realview.nvmem.bytes_read::total 68 # Number of bytes read from this memory
-system.realview.nvmem.bytes_inst_read::cpu0.inst 20 # Number of instructions bytes read from this memory
-system.realview.nvmem.bytes_inst_read::cpu1.inst 48 # Number of instructions bytes read from this memory
-system.realview.nvmem.bytes_inst_read::total 68 # Number of instructions bytes read from this memory
-system.realview.nvmem.num_reads::cpu0.inst 5 # Number of read requests responded to by this memory
-system.realview.nvmem.num_reads::cpu1.inst 12 # Number of read requests responded to by this memory
-system.realview.nvmem.num_reads::total 17 # Number of read requests responded to by this memory
-system.realview.nvmem.bw_read::cpu0.inst 17 # Total read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_read::cpu1.inst 40 # Total read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_read::total 57 # Total read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_inst_read::cpu0.inst 17 # Instruction read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_inst_read::cpu1.inst 40 # Instruction read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_inst_read::total 57 # Instruction read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_total::cpu0.inst 17 # Total bandwidth to/from this memory (bytes/s)
-system.realview.nvmem.bw_total::cpu1.inst 40 # Total bandwidth to/from this memory (bytes/s)
-system.realview.nvmem.bw_total::total 57 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 60005732 # Throughput (bytes/s)
-system.membus.trans_dist::ReadReq 7703348 # Transaction distribution
-system.membus.trans_dist::ReadResp 7703348 # Transaction distribution
-system.membus.trans_dist::WriteReq 767581 # Transaction distribution
-system.membus.trans_dist::WriteResp 767581 # Transaction distribution
-system.membus.trans_dist::Writeback 64019 # Transaction distribution
-system.membus.trans_dist::UpgradeReq 31325 # Transaction distribution
-system.membus.trans_dist::SCUpgradeReq 17234 # Transaction distribution
-system.membus.trans_dist::UpgradeResp 12079 # Transaction distribution
-system.membus.trans_dist::ReadExReq 137481 # Transaction distribution
-system.membus.trans_dist::ReadExResp 137066 # Transaction distribution
-system.membus.pkt_count_system.l2c.mem_side::system.bridge.slave 2382642 # Packet count per connected master and slave (bytes)
+system.membus.trans_dist::ReadReq 16891737 # Transaction distribution
+system.membus.trans_dist::ReadResp 16891737 # Transaction distribution
+system.membus.trans_dist::WriteReq 769090 # Transaction distribution
+system.membus.trans_dist::WriteResp 769090 # Transaction distribution
+system.membus.trans_dist::Writeback 67188 # Transaction distribution
+system.membus.trans_dist::UpgradeReq 56135 # Transaction distribution
+system.membus.trans_dist::SCUpgradeReq 22757 # Transaction distribution
+system.membus.trans_dist::UpgradeResp 15472 # Transaction distribution
+system.membus.trans_dist::SCUpgradeFailReq 1 # Transaction distribution
+system.membus.trans_dist::ReadExReq 15580 # Transaction distribution
+system.membus.trans_dist::ReadExResp 8709 # Transaction distribution
+system.membus.pkt_count_system.l2c.mem_side::system.bridge.slave 2384390 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.l2c.mem_side::system.realview.nvmem.port 34 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.l2c.mem_side::system.realview.gic.pio 10312 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::system.realview.gic.pio 13404 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.l2c.mem_side::system.realview.a9scu.pio 4 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.l2c.mem_side::system.realview.local_cpu_timer.pio 906 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 1971036 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.l2c.mem_side::total 4364934 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 12976128 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.iocache.mem_side::total 12976128 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 17341062 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::system.bridge.slave 2389989 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::system.realview.nvmem.port 68 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::system.realview.gic.pio 20624 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::system.realview.a9scu.pio 8 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::system.realview.local_cpu_timer.pio 1812 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::system.physmem.port 17348564 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::total 19761065 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.iocache.mem_side::system.physmem.port 51904512 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.iocache.mem_side::total 51904512 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 71665577 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 71665577 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
-system.membus.reqLayer0.occupancy 1224785500 # Layer occupancy (ticks)
+system.membus.pkt_count_system.l2c.mem_side::system.realview.local_cpu_timer.pio 2098 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 2043502 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::total 4443432 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 31064064 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::total 31064064 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 35507496 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.bridge.slave 2392696 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.realview.nvmem.port 68 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.realview.gic.pio 26808 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.realview.a9scu.pio 8 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.realview.local_cpu_timer.pio 4196 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.physmem.port 18456148 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::total 20879924 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::system.physmem.port 124256256 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::total 124256256 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 145136180 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 70292 # Total snoops (count)
+system.membus.snoop_fanout::samples 326383 # Request fanout histogram
+system.membus.snoop_fanout::mean 1 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 326383 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 1 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 326383 # Request fanout histogram
+system.membus.reqLayer0.occupancy 1567209495 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 0.1 # Layer utilization (%)
system.membus.reqLayer1.occupancy 18000 # Layer occupancy (ticks)
system.membus.reqLayer1.utilization 0.0 # Layer utilization (%)
-system.membus.reqLayer2.occupancy 9231500 # Layer occupancy (ticks)
+system.membus.reqLayer2.occupancy 11789999 # Layer occupancy (ticks)
system.membus.reqLayer2.utilization 0.0 # Layer utilization (%)
system.membus.reqLayer4.occupancy 2500 # Layer occupancy (ticks)
system.membus.reqLayer4.utilization 0.0 # Layer utilization (%)
-system.membus.reqLayer5.occupancy 778500 # Layer occupancy (ticks)
+system.membus.reqLayer5.occupancy 2092500 # Layer occupancy (ticks)
system.membus.reqLayer5.utilization 0.0 # Layer utilization (%)
-system.membus.reqLayer6.occupancy 9212282000 # Layer occupancy (ticks)
-system.membus.reqLayer6.utilization 0.8 # Layer utilization (%)
-system.membus.respLayer1.occupancy 5079172023 # Layer occupancy (ticks)
-system.membus.respLayer1.utilization 0.4 # Layer utilization (%)
-system.membus.respLayer2.occupancy 16050388750 # Layer occupancy (ticks)
-system.membus.respLayer2.utilization 1.3 # Layer utilization (%)
+system.membus.reqLayer6.occupancy 18080219999 # Layer occupancy (ticks)
+system.membus.reqLayer6.utilization 0.7 # Layer utilization (%)
+system.membus.respLayer1.occupancy 4994463970 # Layer occupancy (ticks)
+system.membus.respLayer1.utilization 0.2 # Layer utilization (%)
+system.membus.respLayer2.occupancy 38410223885 # Layer occupancy (ticks)
+system.membus.respLayer2.utilization 1.4 # Layer utilization (%)
system.cpu_clk_domain.clock 500 # Clock period in ticks
-system.l2c.tags.replacements 69203 # number of replacements
-system.l2c.tags.tagsinuse 52959.316379 # Cycle average of tags in use
-system.l2c.tags.total_refs 1672724 # Total number of references to valid blocks.
-system.l2c.tags.sampled_refs 134375 # Sample count of references to valid blocks.
-system.l2c.tags.avg_refs 12.448179 # Average number of references to valid blocks.
+system.l2c.tags.replacements 91391 # number of replacements
+system.l2c.tags.tagsinuse 54779.294121 # Cycle average of tags in use
+system.l2c.tags.total_refs 364235 # Total number of references to valid blocks.
+system.l2c.tags.sampled_refs 156090 # Sample count of references to valid blocks.
+system.l2c.tags.avg_refs 2.333493 # Average number of references to valid blocks.
system.l2c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.l2c.tags.occ_blocks::writebacks 40136.915421 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.dtb.walker 0.000411 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.itb.walker 0.001544 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.inst 3716.167205 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.data 4233.542603 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.dtb.walker 2.741623 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.itb.walker 0.001622 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.inst 2809.362324 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.data 2060.583626 # Average occupied blocks per requestor
-system.l2c.tags.occ_percent::writebacks 0.612441 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.dtb.walker 0.000000 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.itb.walker 0.000000 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.inst 0.056704 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.data 0.064599 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1.dtb.walker 0.000042 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1.itb.walker 0.000000 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1.inst 0.042867 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1.data 0.031442 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::total 0.808095 # Average percentage of cache occupancy
-system.l2c.tags.occ_task_id_blocks::1023 5 # Occupied blocks per task id
-system.l2c.tags.occ_task_id_blocks::1024 65167 # Occupied blocks per task id
+system.l2c.tags.occ_blocks::writebacks 8096.170170 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.dtb.walker 0.060665 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.itb.walker 1.035962 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.inst 869.411373 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.data 1869.125081 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.l2cache.prefetcher 29277.356218 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.dtb.walker 1.888363 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.inst 410.348906 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.data 3214.362362 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.l2cache.prefetcher 11039.535021 # Average occupied blocks per requestor
+system.l2c.tags.occ_percent::writebacks 0.123538 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.dtb.walker 0.000001 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.itb.walker 0.000016 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.inst 0.013266 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.data 0.028521 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.l2cache.prefetcher 0.446737 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1.dtb.walker 0.000029 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1.inst 0.006261 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1.data 0.049047 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1.l2cache.prefetcher 0.168450 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::total 0.835866 # Average percentage of cache occupancy
+system.l2c.tags.occ_task_id_blocks::1022 51568 # Occupied blocks per task id
+system.l2c.tags.occ_task_id_blocks::1023 8 # Occupied blocks per task id
+system.l2c.tags.occ_task_id_blocks::1024 13123 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1022::2 28 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1022::3 4964 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1022::4 46576 # Occupied blocks per task id
system.l2c.tags.age_task_id_blocks_1023::3 1 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1023::4 4 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::0 20 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::1 29 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::2 1911 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::3 8176 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::4 55031 # Occupied blocks per task id
-system.l2c.tags.occ_task_id_percent::1023 0.000076 # Percentage of cache occupancy per task id
-system.l2c.tags.occ_task_id_percent::1024 0.994370 # Percentage of cache occupancy per task id
-system.l2c.tags.tag_accesses 17204185 # Number of tag accesses
-system.l2c.tags.data_accesses 17204185 # Number of data accesses
-system.l2c.ReadReq_hits::cpu0.dtb.walker 3944 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu0.itb.walker 1786 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu0.inst 419390 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu0.data 205855 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.dtb.walker 5333 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.itb.walker 1846 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.inst 464270 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.data 143434 # number of ReadReq hits
-system.l2c.ReadReq_hits::total 1245858 # number of ReadReq hits
-system.l2c.Writeback_hits::writebacks 570720 # number of Writeback hits
-system.l2c.Writeback_hits::total 570720 # number of Writeback hits
-system.l2c.UpgradeReq_hits::cpu0.data 1291 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::cpu1.data 523 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::total 1814 # number of UpgradeReq hits
-system.l2c.SCUpgradeReq_hits::cpu0.data 214 # number of SCUpgradeReq hits
-system.l2c.SCUpgradeReq_hits::cpu1.data 97 # number of SCUpgradeReq hits
-system.l2c.SCUpgradeReq_hits::total 311 # number of SCUpgradeReq hits
-system.l2c.ReadExReq_hits::cpu0.data 56339 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::cpu1.data 52717 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::total 109056 # number of ReadExReq hits
-system.l2c.demand_hits::cpu0.dtb.walker 3944 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu0.itb.walker 1786 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu0.inst 419390 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu0.data 262194 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.dtb.walker 5333 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.itb.walker 1846 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.inst 464270 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.data 196151 # number of demand (read+write) hits
-system.l2c.demand_hits::total 1354914 # number of demand (read+write) hits
-system.l2c.overall_hits::cpu0.dtb.walker 3944 # number of overall hits
-system.l2c.overall_hits::cpu0.itb.walker 1786 # number of overall hits
-system.l2c.overall_hits::cpu0.inst 419390 # number of overall hits
-system.l2c.overall_hits::cpu0.data 262194 # number of overall hits
-system.l2c.overall_hits::cpu1.dtb.walker 5333 # number of overall hits
-system.l2c.overall_hits::cpu1.itb.walker 1846 # number of overall hits
-system.l2c.overall_hits::cpu1.inst 464270 # number of overall hits
-system.l2c.overall_hits::cpu1.data 196151 # number of overall hits
-system.l2c.overall_hits::total 1354914 # number of overall hits
-system.l2c.ReadReq_misses::cpu0.dtb.walker 1 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu0.itb.walker 2 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu0.inst 5741 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu0.data 7844 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1.dtb.walker 4 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1.itb.walker 1 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1.inst 5048 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1.data 3616 # number of ReadReq misses
-system.l2c.ReadReq_misses::total 22257 # number of ReadReq misses
-system.l2c.UpgradeReq_misses::cpu0.data 4858 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu1.data 3744 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::total 8602 # number of UpgradeReq misses
-system.l2c.SCUpgradeReq_misses::cpu0.data 567 # number of SCUpgradeReq misses
-system.l2c.SCUpgradeReq_misses::cpu1.data 472 # number of SCUpgradeReq misses
-system.l2c.SCUpgradeReq_misses::total 1039 # number of SCUpgradeReq misses
-system.l2c.ReadExReq_misses::cpu0.data 67076 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::cpu1.data 72428 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::total 139504 # number of ReadExReq misses
-system.l2c.demand_misses::cpu0.dtb.walker 1 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu0.itb.walker 2 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu0.inst 5741 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu0.data 74920 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1.dtb.walker 4 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1.itb.walker 1 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1.inst 5048 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1.data 76044 # number of demand (read+write) misses
-system.l2c.demand_misses::total 161761 # number of demand (read+write) misses
-system.l2c.overall_misses::cpu0.dtb.walker 1 # number of overall misses
-system.l2c.overall_misses::cpu0.itb.walker 2 # number of overall misses
-system.l2c.overall_misses::cpu0.inst 5741 # number of overall misses
-system.l2c.overall_misses::cpu0.data 74920 # number of overall misses
-system.l2c.overall_misses::cpu1.dtb.walker 4 # number of overall misses
-system.l2c.overall_misses::cpu1.itb.walker 1 # number of overall misses
-system.l2c.overall_misses::cpu1.inst 5048 # number of overall misses
-system.l2c.overall_misses::cpu1.data 76044 # number of overall misses
-system.l2c.overall_misses::total 161761 # number of overall misses
-system.l2c.ReadReq_miss_latency::cpu0.dtb.walker 32000 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu0.itb.walker 149500 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu0.inst 405931250 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu0.data 580562999 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu1.dtb.walker 320500 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu1.itb.walker 75000 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu1.inst 360408000 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu1.data 277006500 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::total 1624485749 # number of ReadReq miss cycles
-system.l2c.UpgradeReq_miss_latency::cpu0.data 12826446 # number of UpgradeReq miss cycles
-system.l2c.UpgradeReq_miss_latency::cpu1.data 12064984 # number of UpgradeReq miss cycles
-system.l2c.UpgradeReq_miss_latency::total 24891430 # number of UpgradeReq miss cycles
-system.l2c.SCUpgradeReq_miss_latency::cpu0.data 1764424 # number of SCUpgradeReq miss cycles
-system.l2c.SCUpgradeReq_miss_latency::cpu1.data 2465394 # number of SCUpgradeReq miss cycles
-system.l2c.SCUpgradeReq_miss_latency::total 4229818 # number of SCUpgradeReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu0.data 4470263914 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu1.data 5223424391 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::total 9693688305 # number of ReadExReq miss cycles
-system.l2c.demand_miss_latency::cpu0.dtb.walker 32000 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu0.itb.walker 149500 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu0.inst 405931250 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu0.data 5050826913 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu1.dtb.walker 320500 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu1.itb.walker 75000 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu1.inst 360408000 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu1.data 5500430891 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::total 11318174054 # number of demand (read+write) miss cycles
-system.l2c.overall_miss_latency::cpu0.dtb.walker 32000 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu0.itb.walker 149500 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu0.inst 405931250 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu0.data 5050826913 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu1.dtb.walker 320500 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu1.itb.walker 75000 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu1.inst 360408000 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu1.data 5500430891 # number of overall miss cycles
-system.l2c.overall_miss_latency::total 11318174054 # number of overall miss cycles
-system.l2c.ReadReq_accesses::cpu0.dtb.walker 3945 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu0.itb.walker 1788 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu0.inst 425131 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu0.data 213699 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.dtb.walker 5337 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.itb.walker 1847 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.inst 469318 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.data 147050 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::total 1268115 # number of ReadReq accesses(hits+misses)
-system.l2c.Writeback_accesses::writebacks 570720 # number of Writeback accesses(hits+misses)
-system.l2c.Writeback_accesses::total 570720 # number of Writeback accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu0.data 6149 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu1.data 4267 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::total 10416 # number of UpgradeReq accesses(hits+misses)
-system.l2c.SCUpgradeReq_accesses::cpu0.data 781 # number of SCUpgradeReq accesses(hits+misses)
-system.l2c.SCUpgradeReq_accesses::cpu1.data 569 # number of SCUpgradeReq accesses(hits+misses)
-system.l2c.SCUpgradeReq_accesses::total 1350 # number of SCUpgradeReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu0.data 123415 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu1.data 125145 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::total 248560 # number of ReadExReq accesses(hits+misses)
-system.l2c.demand_accesses::cpu0.dtb.walker 3945 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu0.itb.walker 1788 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu0.inst 425131 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu0.data 337114 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.dtb.walker 5337 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.itb.walker 1847 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.inst 469318 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.data 272195 # number of demand (read+write) accesses
-system.l2c.demand_accesses::total 1516675 # number of demand (read+write) accesses
-system.l2c.overall_accesses::cpu0.dtb.walker 3945 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu0.itb.walker 1788 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu0.inst 425131 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu0.data 337114 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.dtb.walker 5337 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.itb.walker 1847 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.inst 469318 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.data 272195 # number of overall (read+write) accesses
-system.l2c.overall_accesses::total 1516675 # number of overall (read+write) accesses
-system.l2c.ReadReq_miss_rate::cpu0.dtb.walker 0.000253 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu0.itb.walker 0.001119 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu0.inst 0.013504 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu0.data 0.036706 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1.dtb.walker 0.000749 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1.itb.walker 0.000541 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1.inst 0.010756 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1.data 0.024590 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::total 0.017551 # miss rate for ReadReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu0.data 0.790047 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu1.data 0.877431 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::total 0.825845 # miss rate for UpgradeReq accesses
-system.l2c.SCUpgradeReq_miss_rate::cpu0.data 0.725992 # miss rate for SCUpgradeReq accesses
-system.l2c.SCUpgradeReq_miss_rate::cpu1.data 0.829525 # miss rate for SCUpgradeReq accesses
-system.l2c.SCUpgradeReq_miss_rate::total 0.769630 # miss rate for SCUpgradeReq accesses
-system.l2c.ReadExReq_miss_rate::cpu0.data 0.543500 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::cpu1.data 0.578753 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::total 0.561249 # miss rate for ReadExReq accesses
-system.l2c.demand_miss_rate::cpu0.dtb.walker 0.000253 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu0.itb.walker 0.001119 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu0.inst 0.013504 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu0.data 0.222239 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1.dtb.walker 0.000749 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1.itb.walker 0.000541 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1.inst 0.010756 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1.data 0.279373 # miss rate for demand accesses
-system.l2c.demand_miss_rate::total 0.106655 # miss rate for demand accesses
-system.l2c.overall_miss_rate::cpu0.dtb.walker 0.000253 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu0.itb.walker 0.001119 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu0.inst 0.013504 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu0.data 0.222239 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1.dtb.walker 0.000749 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1.itb.walker 0.000541 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1.inst 0.010756 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1.data 0.279373 # miss rate for overall accesses
-system.l2c.overall_miss_rate::total 0.106655 # miss rate for overall accesses
-system.l2c.ReadReq_avg_miss_latency::cpu0.dtb.walker 32000 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu0.itb.walker 74750 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu0.inst 70707.411601 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu0.data 74013.640872 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu1.dtb.walker 80125 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu1.itb.walker 75000 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu1.inst 71396.196513 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu1.data 76605.779867 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::total 72987.633059 # average ReadReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::cpu0.data 2640.272952 # average UpgradeReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::cpu1.data 3222.485043 # average UpgradeReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::total 2893.679377 # average UpgradeReq miss latency
-system.l2c.SCUpgradeReq_avg_miss_latency::cpu0.data 3111.858907 # average SCUpgradeReq miss latency
-system.l2c.SCUpgradeReq_avg_miss_latency::cpu1.data 5223.292373 # average SCUpgradeReq miss latency
-system.l2c.SCUpgradeReq_avg_miss_latency::total 4071.047161 # average SCUpgradeReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu0.data 66644.759884 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu1.data 72118.854462 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::total 69486.812600 # average ReadExReq miss latency
-system.l2c.demand_avg_miss_latency::cpu0.dtb.walker 32000 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu0.itb.walker 74750 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu0.inst 70707.411601 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu0.data 67416.269527 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu1.dtb.walker 80125 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu1.itb.walker 75000 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu1.inst 71396.196513 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu1.data 72332.214126 # average overall miss latency
-system.l2c.demand_avg_miss_latency::total 69968.497067 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu0.dtb.walker 32000 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu0.itb.walker 74750 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu0.inst 70707.411601 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu0.data 67416.269527 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu1.dtb.walker 80125 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu1.itb.walker 75000 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu1.inst 71396.196513 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu1.data 72332.214126 # average overall miss latency
-system.l2c.overall_avg_miss_latency::total 69968.497067 # average overall miss latency
+system.l2c.tags.age_task_id_blocks_1023::4 7 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::0 2 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::1 2 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::2 213 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::3 1345 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::4 11561 # Occupied blocks per task id
+system.l2c.tags.occ_task_id_percent::1022 0.786865 # Percentage of cache occupancy per task id
+system.l2c.tags.occ_task_id_percent::1023 0.000122 # Percentage of cache occupancy per task id
+system.l2c.tags.occ_task_id_percent::1024 0.200241 # Percentage of cache occupancy per task id
+system.l2c.tags.tag_accesses 4855174 # Number of tag accesses
+system.l2c.tags.data_accesses 4855174 # Number of data accesses
+system.l2c.ReadReq_hits::cpu0.dtb.walker 111 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu0.itb.walker 56 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu0.inst 5971 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu0.data 15212 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu0.l2cache.prefetcher 88244 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.dtb.walker 87 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.itb.walker 25 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.inst 4855 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.data 12536 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.l2cache.prefetcher 47744 # number of ReadReq hits
+system.l2c.ReadReq_hits::total 174841 # number of ReadReq hits
+system.l2c.Writeback_hits::writebacks 208041 # number of Writeback hits
+system.l2c.Writeback_hits::total 208041 # number of Writeback hits
+system.l2c.UpgradeReq_hits::cpu0.data 3552 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::cpu1.data 1697 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::total 5249 # number of UpgradeReq hits
+system.l2c.SCUpgradeReq_hits::cpu0.data 114 # number of SCUpgradeReq hits
+system.l2c.SCUpgradeReq_hits::cpu1.data 201 # number of SCUpgradeReq hits
+system.l2c.SCUpgradeReq_hits::total 315 # number of SCUpgradeReq hits
+system.l2c.ReadExReq_hits::cpu0.data 2350 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::cpu1.data 2153 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::total 4503 # number of ReadExReq hits
+system.l2c.demand_hits::cpu0.dtb.walker 111 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu0.itb.walker 56 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu0.inst 5971 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu0.data 17562 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu0.l2cache.prefetcher 88244 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.dtb.walker 87 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.itb.walker 25 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.inst 4855 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.data 14689 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.l2cache.prefetcher 47744 # number of demand (read+write) hits
+system.l2c.demand_hits::total 179344 # number of demand (read+write) hits
+system.l2c.overall_hits::cpu0.dtb.walker 111 # number of overall hits
+system.l2c.overall_hits::cpu0.itb.walker 56 # number of overall hits
+system.l2c.overall_hits::cpu0.inst 5971 # number of overall hits
+system.l2c.overall_hits::cpu0.data 17562 # number of overall hits
+system.l2c.overall_hits::cpu0.l2cache.prefetcher 88244 # number of overall hits
+system.l2c.overall_hits::cpu1.dtb.walker 87 # number of overall hits
+system.l2c.overall_hits::cpu1.itb.walker 25 # number of overall hits
+system.l2c.overall_hits::cpu1.inst 4855 # number of overall hits
+system.l2c.overall_hits::cpu1.data 14689 # number of overall hits
+system.l2c.overall_hits::cpu1.l2cache.prefetcher 47744 # number of overall hits
+system.l2c.overall_hits::total 179344 # number of overall hits
+system.l2c.ReadReq_misses::cpu0.dtb.walker 2 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu0.itb.walker 4 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu0.inst 1474 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu0.data 3581 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu0.l2cache.prefetcher 104062 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1.dtb.walker 2 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1.inst 586 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1.data 4041 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1.l2cache.prefetcher 50971 # number of ReadReq misses
+system.l2c.ReadReq_misses::total 164723 # number of ReadReq misses
+system.l2c.UpgradeReq_misses::cpu0.data 7774 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu1.data 5401 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::total 13175 # number of UpgradeReq misses
+system.l2c.SCUpgradeReq_misses::cpu0.data 1167 # number of SCUpgradeReq misses
+system.l2c.SCUpgradeReq_misses::cpu1.data 1038 # number of SCUpgradeReq misses
+system.l2c.SCUpgradeReq_misses::total 2205 # number of SCUpgradeReq misses
+system.l2c.ReadExReq_misses::cpu0.data 4506 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::cpu1.data 4295 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::total 8801 # number of ReadExReq misses
+system.l2c.demand_misses::cpu0.dtb.walker 2 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu0.itb.walker 4 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu0.inst 1474 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu0.data 8087 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu0.l2cache.prefetcher 104062 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1.dtb.walker 2 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1.inst 586 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1.data 8336 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1.l2cache.prefetcher 50971 # number of demand (read+write) misses
+system.l2c.demand_misses::total 173524 # number of demand (read+write) misses
+system.l2c.overall_misses::cpu0.dtb.walker 2 # number of overall misses
+system.l2c.overall_misses::cpu0.itb.walker 4 # number of overall misses
+system.l2c.overall_misses::cpu0.inst 1474 # number of overall misses
+system.l2c.overall_misses::cpu0.data 8087 # number of overall misses
+system.l2c.overall_misses::cpu0.l2cache.prefetcher 104062 # number of overall misses
+system.l2c.overall_misses::cpu1.dtb.walker 2 # number of overall misses
+system.l2c.overall_misses::cpu1.inst 586 # number of overall misses
+system.l2c.overall_misses::cpu1.data 8336 # number of overall misses
+system.l2c.overall_misses::cpu1.l2cache.prefetcher 50971 # number of overall misses
+system.l2c.overall_misses::total 173524 # number of overall misses
+system.l2c.ReadReq_miss_latency::cpu0.dtb.walker 107000 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu0.itb.walker 298500 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu0.inst 119004500 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu0.data 272579750 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu0.l2cache.prefetcher 9018021941 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu1.dtb.walker 164250 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu1.inst 50120250 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu1.data 314939500 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu1.l2cache.prefetcher 6107091681 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::total 15882327372 # number of ReadReq miss cycles
+system.l2c.UpgradeReq_miss_latency::cpu0.data 13917901 # number of UpgradeReq miss cycles
+system.l2c.UpgradeReq_miss_latency::cpu1.data 3379857 # number of UpgradeReq miss cycles
+system.l2c.UpgradeReq_miss_latency::total 17297758 # number of UpgradeReq miss cycles
+system.l2c.SCUpgradeReq_miss_latency::cpu0.data 704472 # number of SCUpgradeReq miss cycles
+system.l2c.SCUpgradeReq_miss_latency::cpu1.data 4360812 # number of SCUpgradeReq miss cycles
+system.l2c.SCUpgradeReq_miss_latency::total 5065284 # number of SCUpgradeReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu0.data 330076436 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu1.data 308773222 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::total 638849658 # number of ReadExReq miss cycles
+system.l2c.demand_miss_latency::cpu0.dtb.walker 107000 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu0.itb.walker 298500 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu0.inst 119004500 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu0.data 602656186 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu0.l2cache.prefetcher 9018021941 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu1.dtb.walker 164250 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu1.inst 50120250 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu1.data 623712722 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu1.l2cache.prefetcher 6107091681 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::total 16521177030 # number of demand (read+write) miss cycles
+system.l2c.overall_miss_latency::cpu0.dtb.walker 107000 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu0.itb.walker 298500 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu0.inst 119004500 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu0.data 602656186 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu0.l2cache.prefetcher 9018021941 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu1.dtb.walker 164250 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu1.inst 50120250 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu1.data 623712722 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu1.l2cache.prefetcher 6107091681 # number of overall miss cycles
+system.l2c.overall_miss_latency::total 16521177030 # number of overall miss cycles
+system.l2c.ReadReq_accesses::cpu0.dtb.walker 113 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu0.itb.walker 60 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu0.inst 7445 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu0.data 18793 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu0.l2cache.prefetcher 192306 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.dtb.walker 89 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.itb.walker 25 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.inst 5441 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.data 16577 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.l2cache.prefetcher 98715 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::total 339564 # number of ReadReq accesses(hits+misses)
+system.l2c.Writeback_accesses::writebacks 208041 # number of Writeback accesses(hits+misses)
+system.l2c.Writeback_accesses::total 208041 # number of Writeback accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu0.data 11326 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu1.data 7098 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::total 18424 # number of UpgradeReq accesses(hits+misses)
+system.l2c.SCUpgradeReq_accesses::cpu0.data 1281 # number of SCUpgradeReq accesses(hits+misses)
+system.l2c.SCUpgradeReq_accesses::cpu1.data 1239 # number of SCUpgradeReq accesses(hits+misses)
+system.l2c.SCUpgradeReq_accesses::total 2520 # number of SCUpgradeReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu0.data 6856 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu1.data 6448 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::total 13304 # number of ReadExReq accesses(hits+misses)
+system.l2c.demand_accesses::cpu0.dtb.walker 113 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu0.itb.walker 60 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu0.inst 7445 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu0.data 25649 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu0.l2cache.prefetcher 192306 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.dtb.walker 89 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.itb.walker 25 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.inst 5441 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.data 23025 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.l2cache.prefetcher 98715 # number of demand (read+write) accesses
+system.l2c.demand_accesses::total 352868 # number of demand (read+write) accesses
+system.l2c.overall_accesses::cpu0.dtb.walker 113 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu0.itb.walker 60 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu0.inst 7445 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu0.data 25649 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu0.l2cache.prefetcher 192306 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.dtb.walker 89 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.itb.walker 25 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.inst 5441 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.data 23025 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.l2cache.prefetcher 98715 # number of overall (read+write) accesses
+system.l2c.overall_accesses::total 352868 # number of overall (read+write) accesses
+system.l2c.ReadReq_miss_rate::cpu0.dtb.walker 0.017699 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu0.itb.walker 0.066667 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu0.inst 0.197985 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu0.data 0.190550 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu0.l2cache.prefetcher 0.541127 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1.dtb.walker 0.022472 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1.inst 0.107701 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1.data 0.243771 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1.l2cache.prefetcher 0.516345 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::total 0.485101 # miss rate for ReadReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu0.data 0.686385 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu1.data 0.760919 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::total 0.715100 # miss rate for UpgradeReq accesses
+system.l2c.SCUpgradeReq_miss_rate::cpu0.data 0.911007 # miss rate for SCUpgradeReq accesses
+system.l2c.SCUpgradeReq_miss_rate::cpu1.data 0.837772 # miss rate for SCUpgradeReq accesses
+system.l2c.SCUpgradeReq_miss_rate::total 0.875000 # miss rate for SCUpgradeReq accesses
+system.l2c.ReadExReq_miss_rate::cpu0.data 0.657235 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::cpu1.data 0.666098 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::total 0.661530 # miss rate for ReadExReq accesses
+system.l2c.demand_miss_rate::cpu0.dtb.walker 0.017699 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu0.itb.walker 0.066667 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu0.inst 0.197985 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu0.data 0.315295 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu0.l2cache.prefetcher 0.541127 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1.dtb.walker 0.022472 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1.inst 0.107701 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1.data 0.362041 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1.l2cache.prefetcher 0.516345 # miss rate for demand accesses
+system.l2c.demand_miss_rate::total 0.491753 # miss rate for demand accesses
+system.l2c.overall_miss_rate::cpu0.dtb.walker 0.017699 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu0.itb.walker 0.066667 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu0.inst 0.197985 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu0.data 0.315295 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu0.l2cache.prefetcher 0.541127 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1.dtb.walker 0.022472 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1.inst 0.107701 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1.data 0.362041 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1.l2cache.prefetcher 0.516345 # miss rate for overall accesses
+system.l2c.overall_miss_rate::total 0.491753 # miss rate for overall accesses
+system.l2c.ReadReq_avg_miss_latency::cpu0.dtb.walker 53500 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu0.itb.walker 74625 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu0.inst 80735.753053 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu0.data 76118.332868 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu0.l2cache.prefetcher 86660.086689 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu1.dtb.walker 82125 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu1.inst 85529.436860 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu1.data 77936.030685 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu1.l2cache.prefetcher 119815.025819 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::total 96418.395561 # average ReadReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::cpu0.data 1790.313995 # average UpgradeReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::cpu1.data 625.783559 # average UpgradeReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::total 1312.922808 # average UpgradeReq miss latency
+system.l2c.SCUpgradeReq_avg_miss_latency::cpu0.data 603.660668 # average SCUpgradeReq miss latency
+system.l2c.SCUpgradeReq_avg_miss_latency::cpu1.data 4201.167630 # average SCUpgradeReq miss latency
+system.l2c.SCUpgradeReq_avg_miss_latency::total 2297.180952 # average SCUpgradeReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu0.data 73252.648913 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu1.data 71891.320605 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::total 72588.303375 # average ReadExReq miss latency
+system.l2c.demand_avg_miss_latency::cpu0.dtb.walker 53500 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu0.itb.walker 74625 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu0.inst 80735.753053 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu0.data 74521.600841 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu0.l2cache.prefetcher 86660.086689 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu1.dtb.walker 82125 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu1.inst 85529.436860 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu1.data 74821.583733 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu1.l2cache.prefetcher 119815.025819 # average overall miss latency
+system.l2c.demand_avg_miss_latency::total 95209.752138 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu0.dtb.walker 53500 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu0.itb.walker 74625 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu0.inst 80735.753053 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu0.data 74521.600841 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu0.l2cache.prefetcher 86660.086689 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu1.dtb.walker 82125 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu1.inst 85529.436860 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu1.data 74821.583733 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu1.l2cache.prefetcher 119815.025819 # average overall miss latency
+system.l2c.overall_avg_miss_latency::total 95209.752138 # average overall miss latency
system.l2c.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.l2c.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.l2c.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -625,171 +675,183 @@ system.l2c.avg_blocked_cycles::no_mshrs nan # av
system.l2c.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.l2c.fast_writes 0 # number of fast writes performed
system.l2c.cache_copies 0 # number of cache copies performed
-system.l2c.writebacks::writebacks 64019 # number of writebacks
-system.l2c.writebacks::total 64019 # number of writebacks
-system.l2c.ReadReq_mshr_hits::cpu0.inst 1 # number of ReadReq MSHR hits
+system.l2c.writebacks::writebacks 67188 # number of writebacks
+system.l2c.writebacks::total 67188 # number of writebacks
+system.l2c.ReadReq_mshr_hits::cpu1.inst 1 # number of ReadReq MSHR hits
system.l2c.ReadReq_mshr_hits::total 1 # number of ReadReq MSHR hits
-system.l2c.demand_mshr_hits::cpu0.inst 1 # number of demand (read+write) MSHR hits
+system.l2c.demand_mshr_hits::cpu1.inst 1 # number of demand (read+write) MSHR hits
system.l2c.demand_mshr_hits::total 1 # number of demand (read+write) MSHR hits
-system.l2c.overall_mshr_hits::cpu0.inst 1 # number of overall MSHR hits
+system.l2c.overall_mshr_hits::cpu1.inst 1 # number of overall MSHR hits
system.l2c.overall_mshr_hits::total 1 # number of overall MSHR hits
-system.l2c.ReadReq_mshr_misses::cpu0.dtb.walker 1 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu0.itb.walker 2 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu0.inst 5740 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu0.data 7844 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu1.dtb.walker 4 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu1.itb.walker 1 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu1.inst 5048 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu1.data 3616 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::total 22256 # number of ReadReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::cpu0.data 4858 # number of UpgradeReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::cpu1.data 3744 # number of UpgradeReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::total 8602 # number of UpgradeReq MSHR misses
-system.l2c.SCUpgradeReq_mshr_misses::cpu0.data 567 # number of SCUpgradeReq MSHR misses
-system.l2c.SCUpgradeReq_mshr_misses::cpu1.data 472 # number of SCUpgradeReq MSHR misses
-system.l2c.SCUpgradeReq_mshr_misses::total 1039 # number of SCUpgradeReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::cpu0.data 67076 # number of ReadExReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::cpu1.data 72428 # number of ReadExReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::total 139504 # number of ReadExReq MSHR misses
-system.l2c.demand_mshr_misses::cpu0.dtb.walker 1 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu0.itb.walker 2 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu0.inst 5740 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu0.data 74920 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu1.dtb.walker 4 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu1.itb.walker 1 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu1.inst 5048 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu1.data 76044 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::total 161760 # number of demand (read+write) MSHR misses
-system.l2c.overall_mshr_misses::cpu0.dtb.walker 1 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu0.itb.walker 2 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu0.inst 5740 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu0.data 74920 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu1.dtb.walker 4 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu1.itb.walker 1 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu1.inst 5048 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu1.data 76044 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::total 161760 # number of overall MSHR misses
-system.l2c.ReadReq_mshr_miss_latency::cpu0.dtb.walker 20000 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu0.itb.walker 125000 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu0.inst 333147000 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu0.data 482688999 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu1.dtb.walker 270000 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu1.itb.walker 62500 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu1.inst 296491000 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu1.data 231948000 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::total 1344752499 # number of ReadReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::cpu0.data 48613352 # number of UpgradeReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::cpu1.data 37517733 # number of UpgradeReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::total 86131085 # number of UpgradeReq MSHR miss cycles
-system.l2c.SCUpgradeReq_mshr_miss_latency::cpu0.data 5678567 # number of SCUpgradeReq MSHR miss cycles
-system.l2c.SCUpgradeReq_mshr_miss_latency::cpu1.data 4724471 # number of SCUpgradeReq MSHR miss cycles
-system.l2c.SCUpgradeReq_mshr_miss_latency::total 10403038 # number of SCUpgradeReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::cpu0.data 3605327074 # number of ReadExReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::cpu1.data 4301754105 # number of ReadExReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::total 7907081179 # number of ReadExReq MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu0.dtb.walker 20000 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu0.itb.walker 125000 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu0.inst 333147000 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu0.data 4088016073 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu1.dtb.walker 270000 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu1.itb.walker 62500 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu1.inst 296491000 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu1.data 4533702105 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::total 9251833678 # number of demand (read+write) MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu0.dtb.walker 20000 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu0.itb.walker 125000 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu0.inst 333147000 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu0.data 4088016073 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu1.dtb.walker 270000 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu1.itb.walker 62500 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu1.inst 296491000 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu1.data 4533702105 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::total 9251833678 # number of overall MSHR miss cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu0.inst 350592250 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu0.data 12457114749 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu1.inst 5367250 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu1.data 154289145498 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::total 167102219747 # number of ReadReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::cpu0.data 1046762999 # number of WriteReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::cpu1.data 15721978412 # number of WriteReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::total 16768741411 # number of WriteReq MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu0.inst 350592250 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu0.data 13503877748 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu1.inst 5367250 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu1.data 170011123910 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::total 183870961158 # number of overall MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_miss_rate::cpu0.dtb.walker 0.000253 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu0.itb.walker 0.001119 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu0.inst 0.013502 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu0.data 0.036706 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu1.dtb.walker 0.000749 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu1.itb.walker 0.000541 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu1.inst 0.010756 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu1.data 0.024590 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::total 0.017550 # mshr miss rate for ReadReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::cpu0.data 0.790047 # mshr miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::cpu1.data 0.877431 # mshr miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::total 0.825845 # mshr miss rate for UpgradeReq accesses
-system.l2c.SCUpgradeReq_mshr_miss_rate::cpu0.data 0.725992 # mshr miss rate for SCUpgradeReq accesses
-system.l2c.SCUpgradeReq_mshr_miss_rate::cpu1.data 0.829525 # mshr miss rate for SCUpgradeReq accesses
-system.l2c.SCUpgradeReq_mshr_miss_rate::total 0.769630 # mshr miss rate for SCUpgradeReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::cpu0.data 0.543500 # mshr miss rate for ReadExReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::cpu1.data 0.578753 # mshr miss rate for ReadExReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::total 0.561249 # mshr miss rate for ReadExReq accesses
-system.l2c.demand_mshr_miss_rate::cpu0.dtb.walker 0.000253 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu0.itb.walker 0.001119 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu0.inst 0.013502 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu0.data 0.222239 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu1.dtb.walker 0.000749 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu1.itb.walker 0.000541 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu1.inst 0.010756 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu1.data 0.279373 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::total 0.106654 # mshr miss rate for demand accesses
-system.l2c.overall_mshr_miss_rate::cpu0.dtb.walker 0.000253 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu0.itb.walker 0.001119 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu0.inst 0.013502 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu0.data 0.222239 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu1.dtb.walker 0.000749 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu1.itb.walker 0.000541 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu1.inst 0.010756 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu1.data 0.279373 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::total 0.106654 # mshr miss rate for overall accesses
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.dtb.walker 20000 # average ReadReq mshr miss latency
+system.l2c.ReadReq_mshr_misses::cpu0.dtb.walker 2 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu0.itb.walker 4 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu0.inst 1474 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu0.data 3581 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu0.l2cache.prefetcher 104062 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu1.dtb.walker 2 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu1.inst 585 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu1.data 4041 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu1.l2cache.prefetcher 50971 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::total 164722 # number of ReadReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::cpu0.data 7774 # number of UpgradeReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::cpu1.data 5401 # number of UpgradeReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::total 13175 # number of UpgradeReq MSHR misses
+system.l2c.SCUpgradeReq_mshr_misses::cpu0.data 1167 # number of SCUpgradeReq MSHR misses
+system.l2c.SCUpgradeReq_mshr_misses::cpu1.data 1038 # number of SCUpgradeReq MSHR misses
+system.l2c.SCUpgradeReq_mshr_misses::total 2205 # number of SCUpgradeReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::cpu0.data 4506 # number of ReadExReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::cpu1.data 4295 # number of ReadExReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::total 8801 # number of ReadExReq MSHR misses
+system.l2c.demand_mshr_misses::cpu0.dtb.walker 2 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu0.itb.walker 4 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu0.inst 1474 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu0.data 8087 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu0.l2cache.prefetcher 104062 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu1.dtb.walker 2 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu1.inst 585 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu1.data 8336 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu1.l2cache.prefetcher 50971 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::total 173523 # number of demand (read+write) MSHR misses
+system.l2c.overall_mshr_misses::cpu0.dtb.walker 2 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu0.itb.walker 4 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu0.inst 1474 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu0.data 8087 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu0.l2cache.prefetcher 104062 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu1.dtb.walker 2 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu1.inst 585 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu1.data 8336 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu1.l2cache.prefetcher 50971 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::total 173523 # number of overall MSHR misses
+system.l2c.ReadReq_mshr_miss_latency::cpu0.dtb.walker 82500 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu0.itb.walker 250000 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu0.inst 100663500 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu0.data 227794750 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu0.l2cache.prefetcher 7718948941 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu1.dtb.walker 138750 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu1.inst 42844000 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu1.data 264712000 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu1.l2cache.prefetcher 5479054183 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::total 13834488624 # number of ReadReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::cpu0.data 78078748 # number of UpgradeReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::cpu1.data 54145386 # number of UpgradeReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::total 132224134 # number of UpgradeReq MSHR miss cycles
+system.l2c.SCUpgradeReq_mshr_miss_latency::cpu0.data 11801161 # number of SCUpgradeReq MSHR miss cycles
+system.l2c.SCUpgradeReq_mshr_miss_latency::cpu1.data 10401535 # number of SCUpgradeReq MSHR miss cycles
+system.l2c.SCUpgradeReq_mshr_miss_latency::total 22202696 # number of SCUpgradeReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::cpu0.data 273742064 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::cpu1.data 254569278 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::total 528311342 # number of ReadExReq MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu0.dtb.walker 82500 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu0.itb.walker 250000 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu0.inst 100663500 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu0.data 501536814 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu0.l2cache.prefetcher 7718948941 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu1.dtb.walker 138750 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu1.inst 42844000 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu1.data 519281278 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu1.l2cache.prefetcher 5479054183 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::total 14362799966 # number of demand (read+write) MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu0.dtb.walker 82500 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu0.itb.walker 250000 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu0.inst 100663500 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu0.data 501536814 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu0.l2cache.prefetcher 7718948941 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu1.dtb.walker 138750 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu1.inst 42844000 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu1.data 519281278 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu1.l2cache.prefetcher 5479054183 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::total 14362799966 # number of overall MSHR miss cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu0.inst 352521750 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu0.data 156454617998 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu1.inst 5602750 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu1.data 10840620247 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::total 167653362745 # number of ReadReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::cpu0.data 1361015000 # number of WriteReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::cpu1.data 15491155851 # number of WriteReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::total 16852170851 # number of WriteReq MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu0.inst 352521750 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu0.data 157815632998 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu1.inst 5602750 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu1.data 26331776098 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::total 184505533596 # number of overall MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_miss_rate::cpu0.dtb.walker 0.017699 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu0.itb.walker 0.066667 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu0.inst 0.197985 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu0.data 0.190550 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu0.l2cache.prefetcher 0.541127 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu1.dtb.walker 0.022472 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu1.inst 0.107517 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu1.data 0.243771 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu1.l2cache.prefetcher 0.516345 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::total 0.485099 # mshr miss rate for ReadReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::cpu0.data 0.686385 # mshr miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::cpu1.data 0.760919 # mshr miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::total 0.715100 # mshr miss rate for UpgradeReq accesses
+system.l2c.SCUpgradeReq_mshr_miss_rate::cpu0.data 0.911007 # mshr miss rate for SCUpgradeReq accesses
+system.l2c.SCUpgradeReq_mshr_miss_rate::cpu1.data 0.837772 # mshr miss rate for SCUpgradeReq accesses
+system.l2c.SCUpgradeReq_mshr_miss_rate::total 0.875000 # mshr miss rate for SCUpgradeReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::cpu0.data 0.657235 # mshr miss rate for ReadExReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::cpu1.data 0.666098 # mshr miss rate for ReadExReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::total 0.661530 # mshr miss rate for ReadExReq accesses
+system.l2c.demand_mshr_miss_rate::cpu0.dtb.walker 0.017699 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu0.itb.walker 0.066667 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu0.inst 0.197985 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu0.data 0.315295 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu0.l2cache.prefetcher 0.541127 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu1.dtb.walker 0.022472 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu1.inst 0.107517 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu1.data 0.362041 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu1.l2cache.prefetcher 0.516345 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::total 0.491750 # mshr miss rate for demand accesses
+system.l2c.overall_mshr_miss_rate::cpu0.dtb.walker 0.017699 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu0.itb.walker 0.066667 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu0.inst 0.197985 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu0.data 0.315295 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu0.l2cache.prefetcher 0.541127 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu1.dtb.walker 0.022472 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu1.inst 0.107517 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu1.data 0.362041 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu1.l2cache.prefetcher 0.516345 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::total 0.491750 # mshr miss rate for overall accesses
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.dtb.walker 41250 # average ReadReq mshr miss latency
system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.itb.walker 62500 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.inst 58039.547038 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.data 61536.078404 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.dtb.walker 67500 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.itb.walker 62500 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.inst 58734.350238 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.data 64144.911504 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::total 60422.020983 # average ReadReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu0.data 10006.865377 # average UpgradeReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu1.data 10020.762019 # average UpgradeReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::total 10012.913857 # average UpgradeReq mshr miss latency
-system.l2c.SCUpgradeReq_avg_mshr_miss_latency::cpu0.data 10015.109347 # average SCUpgradeReq mshr miss latency
-system.l2c.SCUpgradeReq_avg_mshr_miss_latency::cpu1.data 10009.472458 # average SCUpgradeReq mshr miss latency
-system.l2c.SCUpgradeReq_avg_mshr_miss_latency::total 10012.548604 # average SCUpgradeReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::cpu0.data 53749.881836 # average ReadExReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::cpu1.data 59393.523292 # average ReadExReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::total 56679.960281 # average ReadExReq mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu0.dtb.walker 20000 # average overall mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.inst 68292.740841 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.data 63612.049707 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.l2cache.prefetcher 74176.442323 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.dtb.walker 69375 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.inst 73237.606838 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.data 65506.557783 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.l2cache.prefetcher 107493.558749 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::total 83986.890786 # average ReadReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu0.data 10043.574479 # average UpgradeReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu1.data 10025.066839 # average UpgradeReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::total 10035.987400 # average UpgradeReq mshr miss latency
+system.l2c.SCUpgradeReq_avg_mshr_miss_latency::cpu0.data 10112.391602 # average SCUpgradeReq mshr miss latency
+system.l2c.SCUpgradeReq_avg_mshr_miss_latency::cpu1.data 10020.746628 # average SCUpgradeReq mshr miss latency
+system.l2c.SCUpgradeReq_avg_mshr_miss_latency::total 10069.249887 # average SCUpgradeReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::cpu0.data 60750.569019 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::cpu1.data 59271.077532 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::total 60028.558346 # average ReadExReq mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu0.dtb.walker 41250 # average overall mshr miss latency
system.l2c.demand_avg_mshr_miss_latency::cpu0.itb.walker 62500 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu0.inst 58039.547038 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu0.data 54565.083729 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu1.dtb.walker 67500 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu1.itb.walker 62500 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu1.inst 58734.350238 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu1.data 59619.458537 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::total 57194.817495 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu0.dtb.walker 20000 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu0.inst 68292.740841 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu0.data 62017.659701 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu0.l2cache.prefetcher 74176.442323 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu1.dtb.walker 69375 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu1.inst 73237.606838 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu1.data 62293.819338 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu1.l2cache.prefetcher 107493.558749 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::total 82771.736116 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu0.dtb.walker 41250 # average overall mshr miss latency
system.l2c.overall_avg_mshr_miss_latency::cpu0.itb.walker 62500 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu0.inst 58039.547038 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu0.data 54565.083729 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu1.dtb.walker 67500 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu1.itb.walker 62500 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu1.inst 58734.350238 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu1.data 59619.458537 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::total 57194.817495 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu0.inst 68292.740841 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu0.data 62017.659701 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu0.l2cache.prefetcher 74176.442323 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu1.dtb.walker 69375 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu1.inst 73237.606838 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu1.data 62293.819338 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu1.l2cache.prefetcher 107493.558749 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::total 82771.736116 # average overall mshr miss latency
system.l2c.ReadReq_avg_mshr_uncacheable_latency::cpu0.inst inf # average ReadReq mshr uncacheable latency
system.l2c.ReadReq_avg_mshr_uncacheable_latency::cpu0.data inf # average ReadReq mshr uncacheable latency
system.l2c.ReadReq_avg_mshr_uncacheable_latency::cpu1.inst inf # average ReadReq mshr uncacheable latency
@@ -810,67 +872,53 @@ system.cf0.dma_read_txs 0 # Nu
system.cf0.dma_write_full_pages 0 # Number of full page size DMA writes.
system.cf0.dma_write_bytes 0 # Number of bytes transfered via DMA writes.
system.cf0.dma_write_txs 0 # Number of DMA write transactions.
-system.toL2Bus.throughput 119643708 # Throughput (bytes/s)
-system.toL2Bus.trans_dist::ReadReq 2534658 # Transaction distribution
-system.toL2Bus.trans_dist::ReadResp 2534658 # Transaction distribution
-system.toL2Bus.trans_dist::WriteReq 767581 # Transaction distribution
-system.toL2Bus.trans_dist::WriteResp 767581 # Transaction distribution
-system.toL2Bus.trans_dist::Writeback 570720 # Transaction distribution
-system.toL2Bus.trans_dist::UpgradeReq 30701 # Transaction distribution
-system.toL2Bus.trans_dist::SCUpgradeReq 17545 # Transaction distribution
-system.toL2Bus.trans_dist::UpgradeResp 48246 # Transaction distribution
-system.toL2Bus.trans_dist::ReadExReq 260694 # Transaction distribution
-system.toL2Bus.trans_dist::ReadExResp 260694 # Transaction distribution
-system.toL2Bus.pkt_count_system.cpu0.icache.mem_side::system.l2c.cpu_side 864108 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu0.dcache.mem_side::system.l2c.cpu_side 1226294 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu0.itb.walker.dma::system.l2c.cpu_side 6184 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu0.dtb.walker.dma::system.l2c.cpu_side 12819 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu1.icache.mem_side::system.l2c.cpu_side 939372 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu1.dcache.mem_side::system.l2c.cpu_side 4600756 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu1.itb.walker.dma::system.l2c.cpu_side 6173 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu1.dtb.walker.dma::system.l2c.cpu_side 15243 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count::total 7670949 # Packet count per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu0.icache.mem_side::system.l2c.cpu_side 27234976 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu0.dcache.mem_side::system.l2c.cpu_side 41362613 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu0.itb.walker.dma::system.l2c.cpu_side 7152 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu0.dtb.walker.dma::system.l2c.cpu_side 15780 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu1.icache.mem_side::system.l2c.cpu_side 30036788 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu1.dcache.mem_side::system.l2c.cpu_side 39599456 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu1.itb.walker.dma::system.l2c.cpu_side 7388 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu1.dtb.walker.dma::system.l2c.cpu_side 21348 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size::total 138285501 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.data_through_bus 138285501 # Total data (bytes)
-system.toL2Bus.snoop_data_through_bus 4606436 # Total snoop data (bytes)
-system.toL2Bus.reqLayer0.occupancy 4757764712 # Layer occupancy (ticks)
-system.toL2Bus.reqLayer0.utilization 0.4 # Layer utilization (%)
-system.toL2Bus.respLayer0.occupancy 1924888432 # Layer occupancy (ticks)
-system.toL2Bus.respLayer0.utilization 0.2 # Layer utilization (%)
-system.toL2Bus.respLayer1.occupancy 1752701680 # Layer occupancy (ticks)
-system.toL2Bus.respLayer1.utilization 0.1 # Layer utilization (%)
-system.toL2Bus.respLayer2.occupancy 4396499 # Layer occupancy (ticks)
-system.toL2Bus.respLayer2.utilization 0.0 # Layer utilization (%)
-system.toL2Bus.respLayer3.occupancy 8876994 # Layer occupancy (ticks)
-system.toL2Bus.respLayer3.utilization 0.0 # Layer utilization (%)
-system.toL2Bus.respLayer6.occupancy 2115350205 # Layer occupancy (ticks)
-system.toL2Bus.respLayer6.utilization 0.2 # Layer utilization (%)
-system.toL2Bus.respLayer7.occupancy 2925844707 # Layer occupancy (ticks)
-system.toL2Bus.respLayer7.utilization 0.2 # Layer utilization (%)
-system.toL2Bus.respLayer8.occupancy 4326000 # Layer occupancy (ticks)
-system.toL2Bus.respLayer8.utilization 0.0 # Layer utilization (%)
-system.toL2Bus.respLayer9.occupancy 9906999 # Layer occupancy (ticks)
-system.toL2Bus.respLayer9.utilization 0.0 # Layer utilization (%)
-system.iobus.throughput 45460895 # Throughput (bytes/s)
-system.iobus.trans_dist::ReadReq 7671423 # Transaction distribution
-system.iobus.trans_dist::ReadResp 7671423 # Transaction distribution
-system.iobus.trans_dist::WriteReq 7962 # Transaction distribution
-system.iobus.trans_dist::WriteResp 7962 # Transaction distribution
-system.iobus.pkt_count_system.bridge.master::system.realview.uart.pio 30548 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count_system.bridge.master::system.realview.realview_io.pio 8040 # Packet count per connected master and slave (bytes)
+system.toL2Bus.trans_dist::ReadReq 1633013 # Transaction distribution
+system.toL2Bus.trans_dist::ReadResp 1633009 # Transaction distribution
+system.toL2Bus.trans_dist::WriteReq 769090 # Transaction distribution
+system.toL2Bus.trans_dist::WriteResp 769090 # Transaction distribution
+system.toL2Bus.trans_dist::Writeback 208041 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeReq 61292 # Transaction distribution
+system.toL2Bus.trans_dist::SCUpgradeReq 23072 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeResp 84364 # Transaction distribution
+system.toL2Bus.trans_dist::SCUpgradeFailReq 39 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeFailResp 39 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExReq 23321 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExResp 23321 # Transaction distribution
+system.toL2Bus.pkt_count_system.cpu0.l2cache.mem_side::system.l2c.cpu_side 2956029 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu1.l2cache.mem_side::system.l2c.cpu_side 2099720 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count::total 5055749 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.l2cache.mem_side::system.l2c.cpu_side 25795270 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.l2cache.mem_side::system.l2c.cpu_side 15582958 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size::total 41378228 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.snoops 171942 # Total snoops (count)
+system.toL2Bus.snoop_fanout::samples 753795 # Request fanout histogram
+system.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::1 753795 100.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.toL2Bus.snoop_fanout::total 753795 # Request fanout histogram
+system.toL2Bus.reqLayer0.occupancy 2576673570 # Layer occupancy (ticks)
+system.toL2Bus.reqLayer0.utilization 0.1 # Layer utilization (%)
+system.toL2Bus.respLayer0.occupancy 2390227339 # Layer occupancy (ticks)
+system.toL2Bus.respLayer0.utilization 0.1 # Layer utilization (%)
+system.toL2Bus.respLayer1.occupancy 1329617427 # Layer occupancy (ticks)
+system.toL2Bus.respLayer1.utilization 0.0 # Layer utilization (%)
+system.iobus.trans_dist::ReadReq 16716140 # Transaction distribution
+system.iobus.trans_dist::ReadResp 16716140 # Transaction distribution
+system.iobus.trans_dist::WriteReq 8087 # Transaction distribution
+system.iobus.trans_dist::WriteResp 8087 # Transaction distribution
+system.iobus.pkt_count_system.bridge.master::system.realview.uart.pio 30962 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.realview_io.pio 8820 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.realview.timer0.pio 34 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count_system.bridge.master::system.realview.timer1.pio 740 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.timer1.pio 1044 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.realview.clcd.pio 36 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.realview.kmi0.pio 124 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count_system.bridge.master::system.realview.kmi1.pio 496 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.kmi1.pio 746 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.realview.cf_ctrl.pio 2342380 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.realview.dmac_fake.pio 16 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.realview.uart1_fake.pio 16 # Packet count per connected master and slave (bytes)
@@ -887,54 +935,53 @@ system.iobus.pkt_count_system.bridge.master::system.realview.sci_fake.pio
system.iobus.pkt_count_system.bridge.master::system.realview.aaci_fake.pio 16 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.realview.mmc_fake.pio 16 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.realview.rtc.pio 16 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count_system.bridge.master::total 2382642 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count_system.realview.clcd.dma::system.iocache.cpu_side 12976128 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count_system.realview.clcd.dma::total 12976128 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count::total 15358770 # Packet count per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.uart.pio 40317 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.realview_io.pio 16080 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.timer0.pio 68 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.timer1.pio 1480 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.clcd.pio 72 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.kmi0.pio 86 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.kmi1.pio 272 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.cf_ctrl.pio 2331126 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.dmac_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.uart1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.uart2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.uart3_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.smc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.sp810_fake.pio 40 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.watchdog_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.gpio0_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.gpio1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.gpio2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.ssp_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.sci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.aaci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.mmc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.rtc.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::total 2389989 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.realview.clcd.dma::system.iocache.cpu_side 51904512 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.realview.clcd.dma::total 51904512 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size::total 54294501 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.data_through_bus 54294501 # Total data (bytes)
-system.iobus.reqLayer0.occupancy 21416000 # Layer occupancy (ticks)
+system.iobus.pkt_count_system.bridge.master::total 2384390 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.realview.clcd.dma::system.iocache.cpu_side 31064064 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.realview.clcd.dma::total 31064064 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count::total 33448454 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart.pio 40731 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.realview_io.pio 17640 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.timer0.pio 68 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.timer1.pio 2088 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.clcd.pio 72 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.kmi0.pio 86 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.kmi1.pio 397 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.cf_ctrl.pio 2331126 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.dmac_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart3_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.smc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.sp810_fake.pio 40 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.watchdog_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio0_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.ssp_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.sci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.aaci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.mmc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.rtc.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::total 2392696 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.realview.clcd.dma::system.iocache.cpu_side 124256256 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.realview.clcd.dma::total 124256256 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size::total 126648952 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.reqLayer0.occupancy 21726000 # Layer occupancy (ticks)
system.iobus.reqLayer0.utilization 0.0 # Layer utilization (%)
-system.iobus.reqLayer1.occupancy 4026000 # Layer occupancy (ticks)
+system.iobus.reqLayer1.occupancy 4416000 # Layer occupancy (ticks)
system.iobus.reqLayer1.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer2.occupancy 34000 # Layer occupancy (ticks)
system.iobus.reqLayer2.utilization 0.0 # Layer utilization (%)
-system.iobus.reqLayer3.occupancy 376000 # Layer occupancy (ticks)
+system.iobus.reqLayer3.occupancy 528000 # Layer occupancy (ticks)
system.iobus.reqLayer3.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer4.occupancy 27000 # Layer occupancy (ticks)
system.iobus.reqLayer4.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer5.occupancy 74000 # Layer occupancy (ticks)
system.iobus.reqLayer5.utilization 0.0 # Layer utilization (%)
-system.iobus.reqLayer6.occupancy 298000 # Layer occupancy (ticks)
+system.iobus.reqLayer6.occupancy 445000 # Layer occupancy (ticks)
system.iobus.reqLayer6.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer7.occupancy 1172909000 # Layer occupancy (ticks)
-system.iobus.reqLayer7.utilization 0.1 # Layer utilization (%)
+system.iobus.reqLayer7.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer9.occupancy 8000 # Layer occupancy (ticks)
system.iobus.reqLayer9.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer10.occupancy 8000 # Layer occupancy (ticks)
@@ -965,12 +1012,12 @@ system.iobus.reqLayer22.occupancy 8000 # La
system.iobus.reqLayer22.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer23.occupancy 8000 # Layer occupancy (ticks)
system.iobus.reqLayer23.utilization 0.0 # Layer utilization (%)
-system.iobus.reqLayer25.occupancy 6488064000 # Layer occupancy (ticks)
-system.iobus.reqLayer25.utilization 0.5 # Layer utilization (%)
-system.iobus.respLayer0.occupancy 2374680000 # Layer occupancy (ticks)
-system.iobus.respLayer0.utilization 0.2 # Layer utilization (%)
-system.iobus.respLayer1.occupancy 16364250250 # Layer occupancy (ticks)
-system.iobus.respLayer1.utilization 1.4 # Layer utilization (%)
+system.iobus.reqLayer26.occupancy 15532032000 # Layer occupancy (ticks)
+system.iobus.reqLayer26.utilization 0.6 # Layer utilization (%)
+system.iobus.respLayer0.occupancy 2376303000 # Layer occupancy (ticks)
+system.iobus.respLayer0.utilization 0.1 # Layer utilization (%)
+system.iobus.respLayer1.occupancy 39178496115 # Layer occupancy (ticks)
+system.iobus.respLayer1.utilization 1.5 # Layer utilization (%)
system.cpu0.dstage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu0.dstage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu0.dstage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -994,25 +1041,25 @@ system.cpu0.dstage2_mmu.stage2_tlb.misses 0 # D
system.cpu0.dstage2_mmu.stage2_tlb.accesses 0 # DTB accesses
system.cpu0.dtb.inst_hits 0 # ITB inst hits
system.cpu0.dtb.inst_misses 0 # ITB inst misses
-system.cpu0.dtb.read_hits 6063582 # DTB read hits
-system.cpu0.dtb.read_misses 3748 # DTB read misses
-system.cpu0.dtb.write_hits 5648980 # DTB write hits
-system.cpu0.dtb.write_misses 807 # DTB write misses
+system.cpu0.dtb.read_hits 7131006 # DTB read hits
+system.cpu0.dtb.read_misses 3644 # DTB read misses
+system.cpu0.dtb.write_hits 6127729 # DTB write hits
+system.cpu0.dtb.write_misses 663 # DTB write misses
system.cpu0.dtb.flush_tlb 4 # Number of times complete TLB was flushed
system.cpu0.dtb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
system.cpu0.dtb.flush_tlb_mva_asid 1439 # Number of times TLB was flushed by MVA & ASID
system.cpu0.dtb.flush_tlb_asid 63 # Number of times TLB was flushed by ASID
-system.cpu0.dtb.flush_entries 1709 # Number of entries that have been flushed from TLB
+system.cpu0.dtb.flush_entries 1893 # Number of entries that have been flushed from TLB
system.cpu0.dtb.align_faults 0 # Number of TLB faults due to alignment restrictions
-system.cpu0.dtb.prefetch_faults 140 # Number of TLB faults due to prefetch
+system.cpu0.dtb.prefetch_faults 116 # Number of TLB faults due to prefetch
system.cpu0.dtb.domain_faults 0 # Number of TLB faults due to domain restrictions
-system.cpu0.dtb.perms_faults 204 # Number of TLB faults due to permissions restrictions
-system.cpu0.dtb.read_accesses 6067330 # DTB read accesses
-system.cpu0.dtb.write_accesses 5649787 # DTB write accesses
+system.cpu0.dtb.perms_faults 248 # Number of TLB faults due to permissions restrictions
+system.cpu0.dtb.read_accesses 7134650 # DTB read accesses
+system.cpu0.dtb.write_accesses 6128392 # DTB write accesses
system.cpu0.dtb.inst_accesses 0 # ITB inst accesses
-system.cpu0.dtb.hits 11712562 # DTB hits
-system.cpu0.dtb.misses 4555 # DTB misses
-system.cpu0.dtb.accesses 11717117 # DTB accesses
+system.cpu0.dtb.hits 13258735 # DTB hits
+system.cpu0.dtb.misses 4307 # DTB misses
+system.cpu0.dtb.accesses 13263042 # DTB accesses
system.cpu0.istage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu0.istage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu0.istage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -1034,8 +1081,8 @@ system.cpu0.istage2_mmu.stage2_tlb.inst_accesses 0
system.cpu0.istage2_mmu.stage2_tlb.hits 0 # DTB hits
system.cpu0.istage2_mmu.stage2_tlb.misses 0 # DTB misses
system.cpu0.istage2_mmu.stage2_tlb.accesses 0 # DTB accesses
-system.cpu0.itb.inst_hits 29557926 # ITB inst hits
-system.cpu0.itb.inst_misses 2205 # ITB inst misses
+system.cpu0.itb.inst_hits 31182741 # ITB inst hits
+system.cpu0.itb.inst_misses 2176 # ITB inst misses
system.cpu0.itb.read_hits 0 # DTB read hits
system.cpu0.itb.read_misses 0 # DTB read misses
system.cpu0.itb.write_hits 0 # DTB write hits
@@ -1044,132 +1091,130 @@ system.cpu0.itb.flush_tlb 4 # Nu
system.cpu0.itb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
system.cpu0.itb.flush_tlb_mva_asid 1439 # Number of times TLB was flushed by MVA & ASID
system.cpu0.itb.flush_tlb_asid 63 # Number of times TLB was flushed by ASID
-system.cpu0.itb.flush_entries 1181 # Number of entries that have been flushed from TLB
+system.cpu0.itb.flush_entries 1281 # Number of entries that have been flushed from TLB
system.cpu0.itb.align_faults 0 # Number of TLB faults due to alignment restrictions
system.cpu0.itb.prefetch_faults 0 # Number of TLB faults due to prefetch
system.cpu0.itb.domain_faults 0 # Number of TLB faults due to domain restrictions
system.cpu0.itb.perms_faults 0 # Number of TLB faults due to permissions restrictions
system.cpu0.itb.read_accesses 0 # DTB read accesses
system.cpu0.itb.write_accesses 0 # DTB write accesses
-system.cpu0.itb.inst_accesses 29560131 # ITB inst accesses
-system.cpu0.itb.hits 29557926 # DTB hits
-system.cpu0.itb.misses 2205 # DTB misses
-system.cpu0.itb.accesses 29560131 # DTB accesses
-system.cpu0.numCycles 2388624356 # number of cpu cycles simulated
+system.cpu0.itb.inst_accesses 31184917 # ITB inst accesses
+system.cpu0.itb.hits 31182741 # DTB hits
+system.cpu0.itb.misses 2176 # DTB misses
+system.cpu0.itb.accesses 31184917 # DTB accesses
+system.cpu0.numCycles 5349463018 # number of cpu cycles simulated
system.cpu0.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu0.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu0.committedInsts 28859743 # Number of instructions committed
-system.cpu0.committedOps 34624628 # Number of ops (including micro ops) committed
-system.cpu0.num_int_alu_accesses 30439288 # Number of integer alu accesses
-system.cpu0.num_fp_alu_accesses 3860 # Number of float alu accesses
-system.cpu0.num_func_calls 1241573 # number of times a function call or return occured
-system.cpu0.num_conditional_control_insts 4174263 # number of instructions that are conditional controls
-system.cpu0.num_int_insts 30439288 # number of integer instructions
-system.cpu0.num_fp_insts 3860 # number of float instructions
-system.cpu0.num_int_register_reads 53589242 # number of times the integer registers were read
-system.cpu0.num_int_register_writes 19764786 # number of times the integer registers were written
-system.cpu0.num_fp_register_reads 3022 # number of times the floating registers were read
-system.cpu0.num_fp_register_writes 840 # number of times the floating registers were written
-system.cpu0.num_cc_register_reads 123695766 # number of times the CC registers were read
-system.cpu0.num_cc_register_writes 15045730 # number of times the CC registers were written
-system.cpu0.num_mem_refs 12225186 # number of memory refs
-system.cpu0.num_load_insts 6245915 # Number of load instructions
-system.cpu0.num_store_insts 5979271 # Number of store instructions
-system.cpu0.num_idle_cycles 2246427873.598119 # Number of idle cycles
-system.cpu0.num_busy_cycles 142196482.401881 # Number of busy cycles
-system.cpu0.not_idle_fraction 0.059531 # Percentage of non-idle cycles
-system.cpu0.idle_fraction 0.940469 # Percentage of idle cycles
-system.cpu0.Branches 5599312 # Number of branches fetched
-system.cpu0.op_class::No_OpClass 14563 0.04% 0.04% # Class of executed instruction
-system.cpu0.op_class::IntAlu 22957352 65.14% 65.18% # Class of executed instruction
-system.cpu0.op_class::IntMult 43755 0.12% 65.31% # Class of executed instruction
-system.cpu0.op_class::IntDiv 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::FloatAdd 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::FloatCmp 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::FloatCvt 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::FloatMult 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::FloatDiv 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::FloatSqrt 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdAdd 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdAddAcc 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdAlu 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdCmp 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdCvt 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdMisc 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdMult 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdMultAcc 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdShift 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdShiftAcc 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdSqrt 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdFloatAdd 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdFloatAlu 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdFloatCmp 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdFloatCvt 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdFloatDiv 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdFloatMisc 692 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdFloatMult 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdFloatMultAcc 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::SimdFloatSqrt 0 0.00% 65.31% # Class of executed instruction
-system.cpu0.op_class::MemRead 6245915 17.72% 83.03% # Class of executed instruction
-system.cpu0.op_class::MemWrite 5979271 16.97% 100.00% # Class of executed instruction
+system.cpu0.committedInsts 30507218 # Number of instructions committed
+system.cpu0.committedOps 36803230 # Number of ops (including micro ops) committed
+system.cpu0.num_int_alu_accesses 32859018 # Number of integer alu accesses
+system.cpu0.num_fp_alu_accesses 5449 # Number of float alu accesses
+system.cpu0.num_func_calls 1290775 # number of times a function call or return occured
+system.cpu0.num_conditional_control_insts 3957686 # number of instructions that are conditional controls
+system.cpu0.num_int_insts 32859018 # number of integer instructions
+system.cpu0.num_fp_insts 5449 # number of float instructions
+system.cpu0.num_int_register_reads 60131579 # number of times the integer registers were read
+system.cpu0.num_int_register_writes 21902535 # number of times the integer registers were written
+system.cpu0.num_fp_register_reads 4535 # number of times the floating registers were read
+system.cpu0.num_fp_register_writes 916 # number of times the floating registers were written
+system.cpu0.num_cc_register_reads 133610661 # number of times the CC registers were read
+system.cpu0.num_cc_register_writes 14490121 # number of times the CC registers were written
+system.cpu0.num_mem_refs 13795466 # number of memory refs
+system.cpu0.num_load_insts 7343231 # Number of load instructions
+system.cpu0.num_store_insts 6452235 # Number of store instructions
+system.cpu0.num_idle_cycles 4898257252.279955 # Number of idle cycles
+system.cpu0.num_busy_cycles 451205765.720045 # Number of busy cycles
+system.cpu0.not_idle_fraction 0.084346 # Percentage of non-idle cycles
+system.cpu0.idle_fraction 0.915654 # Percentage of idle cycles
+system.cpu0.Branches 5660514 # Number of branches fetched
+system.cpu0.op_class::No_OpClass 16321 0.04% 0.04% # Class of executed instruction
+system.cpu0.op_class::IntAlu 23591543 62.99% 63.03% # Class of executed instruction
+system.cpu0.op_class::IntMult 47189 0.13% 63.16% # Class of executed instruction
+system.cpu0.op_class::IntDiv 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::FloatAdd 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::FloatCmp 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::FloatCvt 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::FloatMult 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::FloatDiv 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::FloatSqrt 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdAdd 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdAddAcc 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdAlu 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdCmp 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdCvt 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdMisc 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdMult 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdMultAcc 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdShift 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdShiftAcc 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdSqrt 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdFloatAdd 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdFloatAlu 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdFloatCmp 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdFloatCvt 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdFloatDiv 0 0.00% 63.16% # Class of executed instruction
+system.cpu0.op_class::SimdFloatMisc 1591 0.00% 63.17% # Class of executed instruction
+system.cpu0.op_class::SimdFloatMult 0 0.00% 63.17% # Class of executed instruction
+system.cpu0.op_class::SimdFloatMultAcc 0 0.00% 63.17% # Class of executed instruction
+system.cpu0.op_class::SimdFloatSqrt 0 0.00% 63.17% # Class of executed instruction
+system.cpu0.op_class::MemRead 7343231 19.61% 82.77% # Class of executed instruction
+system.cpu0.op_class::MemWrite 6452235 17.23% 100.00% # Class of executed instruction
system.cpu0.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
system.cpu0.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu0.op_class::total 35241548 # Class of executed instruction
+system.cpu0.op_class::total 37452110 # Class of executed instruction
system.cpu0.kern.inst.arm 0 # number of arm instructions executed
-system.cpu0.kern.inst.quiesce 47055 # number of quiesce instructions executed
-system.cpu0.icache.tags.replacements 425168 # number of replacements
-system.cpu0.icache.tags.tagsinuse 509.375466 # Cycle average of tags in use
-system.cpu0.icache.tags.total_refs 29132228 # Total number of references to valid blocks.
-system.cpu0.icache.tags.sampled_refs 425680 # Sample count of references to valid blocks.
-system.cpu0.icache.tags.avg_refs 68.436920 # Average number of references to valid blocks.
-system.cpu0.icache.tags.warmup_cycle 75988011000 # Cycle when the warmup percentage was hit.
-system.cpu0.icache.tags.occ_blocks::cpu0.inst 509.375466 # Average occupied blocks per requestor
-system.cpu0.icache.tags.occ_percent::cpu0.inst 0.994874 # Average percentage of cache occupancy
-system.cpu0.icache.tags.occ_percent::total 0.994874 # Average percentage of cache occupancy
+system.cpu0.kern.inst.quiesce 51950 # number of quiesce instructions executed
+system.cpu0.icache.tags.replacements 369506 # number of replacements
+system.cpu0.icache.tags.tagsinuse 511.465010 # Cycle average of tags in use
+system.cpu0.icache.tags.total_refs 30812705 # Total number of references to valid blocks.
+system.cpu0.icache.tags.sampled_refs 370018 # Sample count of references to valid blocks.
+system.cpu0.icache.tags.avg_refs 83.273530 # Average number of references to valid blocks.
+system.cpu0.icache.tags.warmup_cycle 10201796750 # Cycle when the warmup percentage was hit.
+system.cpu0.icache.tags.occ_blocks::cpu0.inst 511.465010 # Average occupied blocks per requestor
+system.cpu0.icache.tags.occ_percent::cpu0.inst 0.998955 # Average percentage of cache occupancy
+system.cpu0.icache.tags.occ_percent::total 0.998955 # Average percentage of cache occupancy
system.cpu0.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::0 41 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::1 188 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::2 269 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::3 14 # Occupied blocks per task id
+system.cpu0.icache.tags.age_task_id_blocks_1024::2 506 # Occupied blocks per task id
+system.cpu0.icache.tags.age_task_id_blocks_1024::3 6 # Occupied blocks per task id
system.cpu0.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu0.icache.tags.tag_accesses 29983590 # Number of tag accesses
-system.cpu0.icache.tags.data_accesses 29983590 # Number of data accesses
-system.cpu0.icache.ReadReq_hits::cpu0.inst 29132228 # number of ReadReq hits
-system.cpu0.icache.ReadReq_hits::total 29132228 # number of ReadReq hits
-system.cpu0.icache.demand_hits::cpu0.inst 29132228 # number of demand (read+write) hits
-system.cpu0.icache.demand_hits::total 29132228 # number of demand (read+write) hits
-system.cpu0.icache.overall_hits::cpu0.inst 29132228 # number of overall hits
-system.cpu0.icache.overall_hits::total 29132228 # number of overall hits
-system.cpu0.icache.ReadReq_misses::cpu0.inst 425681 # number of ReadReq misses
-system.cpu0.icache.ReadReq_misses::total 425681 # number of ReadReq misses
-system.cpu0.icache.demand_misses::cpu0.inst 425681 # number of demand (read+write) misses
-system.cpu0.icache.demand_misses::total 425681 # number of demand (read+write) misses
-system.cpu0.icache.overall_misses::cpu0.inst 425681 # number of overall misses
-system.cpu0.icache.overall_misses::total 425681 # number of overall misses
-system.cpu0.icache.ReadReq_miss_latency::cpu0.inst 5899766682 # number of ReadReq miss cycles
-system.cpu0.icache.ReadReq_miss_latency::total 5899766682 # number of ReadReq miss cycles
-system.cpu0.icache.demand_miss_latency::cpu0.inst 5899766682 # number of demand (read+write) miss cycles
-system.cpu0.icache.demand_miss_latency::total 5899766682 # number of demand (read+write) miss cycles
-system.cpu0.icache.overall_miss_latency::cpu0.inst 5899766682 # number of overall miss cycles
-system.cpu0.icache.overall_miss_latency::total 5899766682 # number of overall miss cycles
-system.cpu0.icache.ReadReq_accesses::cpu0.inst 29557909 # number of ReadReq accesses(hits+misses)
-system.cpu0.icache.ReadReq_accesses::total 29557909 # number of ReadReq accesses(hits+misses)
-system.cpu0.icache.demand_accesses::cpu0.inst 29557909 # number of demand (read+write) accesses
-system.cpu0.icache.demand_accesses::total 29557909 # number of demand (read+write) accesses
-system.cpu0.icache.overall_accesses::cpu0.inst 29557909 # number of overall (read+write) accesses
-system.cpu0.icache.overall_accesses::total 29557909 # number of overall (read+write) accesses
-system.cpu0.icache.ReadReq_miss_rate::cpu0.inst 0.014402 # miss rate for ReadReq accesses
-system.cpu0.icache.ReadReq_miss_rate::total 0.014402 # miss rate for ReadReq accesses
-system.cpu0.icache.demand_miss_rate::cpu0.inst 0.014402 # miss rate for demand accesses
-system.cpu0.icache.demand_miss_rate::total 0.014402 # miss rate for demand accesses
-system.cpu0.icache.overall_miss_rate::cpu0.inst 0.014402 # miss rate for overall accesses
-system.cpu0.icache.overall_miss_rate::total 0.014402 # miss rate for overall accesses
-system.cpu0.icache.ReadReq_avg_miss_latency::cpu0.inst 13859.595993 # average ReadReq miss latency
-system.cpu0.icache.ReadReq_avg_miss_latency::total 13859.595993 # average ReadReq miss latency
-system.cpu0.icache.demand_avg_miss_latency::cpu0.inst 13859.595993 # average overall miss latency
-system.cpu0.icache.demand_avg_miss_latency::total 13859.595993 # average overall miss latency
-system.cpu0.icache.overall_avg_miss_latency::cpu0.inst 13859.595993 # average overall miss latency
-system.cpu0.icache.overall_avg_miss_latency::total 13859.595993 # average overall miss latency
+system.cpu0.icache.tags.tag_accesses 62735467 # Number of tag accesses
+system.cpu0.icache.tags.data_accesses 62735467 # Number of data accesses
+system.cpu0.icache.ReadReq_hits::cpu0.inst 30812705 # number of ReadReq hits
+system.cpu0.icache.ReadReq_hits::total 30812705 # number of ReadReq hits
+system.cpu0.icache.demand_hits::cpu0.inst 30812705 # number of demand (read+write) hits
+system.cpu0.icache.demand_hits::total 30812705 # number of demand (read+write) hits
+system.cpu0.icache.overall_hits::cpu0.inst 30812705 # number of overall hits
+system.cpu0.icache.overall_hits::total 30812705 # number of overall hits
+system.cpu0.icache.ReadReq_misses::cpu0.inst 370019 # number of ReadReq misses
+system.cpu0.icache.ReadReq_misses::total 370019 # number of ReadReq misses
+system.cpu0.icache.demand_misses::cpu0.inst 370019 # number of demand (read+write) misses
+system.cpu0.icache.demand_misses::total 370019 # number of demand (read+write) misses
+system.cpu0.icache.overall_misses::cpu0.inst 370019 # number of overall misses
+system.cpu0.icache.overall_misses::total 370019 # number of overall misses
+system.cpu0.icache.ReadReq_miss_latency::cpu0.inst 3209345752 # number of ReadReq miss cycles
+system.cpu0.icache.ReadReq_miss_latency::total 3209345752 # number of ReadReq miss cycles
+system.cpu0.icache.demand_miss_latency::cpu0.inst 3209345752 # number of demand (read+write) miss cycles
+system.cpu0.icache.demand_miss_latency::total 3209345752 # number of demand (read+write) miss cycles
+system.cpu0.icache.overall_miss_latency::cpu0.inst 3209345752 # number of overall miss cycles
+system.cpu0.icache.overall_miss_latency::total 3209345752 # number of overall miss cycles
+system.cpu0.icache.ReadReq_accesses::cpu0.inst 31182724 # number of ReadReq accesses(hits+misses)
+system.cpu0.icache.ReadReq_accesses::total 31182724 # number of ReadReq accesses(hits+misses)
+system.cpu0.icache.demand_accesses::cpu0.inst 31182724 # number of demand (read+write) accesses
+system.cpu0.icache.demand_accesses::total 31182724 # number of demand (read+write) accesses
+system.cpu0.icache.overall_accesses::cpu0.inst 31182724 # number of overall (read+write) accesses
+system.cpu0.icache.overall_accesses::total 31182724 # number of overall (read+write) accesses
+system.cpu0.icache.ReadReq_miss_rate::cpu0.inst 0.011866 # miss rate for ReadReq accesses
+system.cpu0.icache.ReadReq_miss_rate::total 0.011866 # miss rate for ReadReq accesses
+system.cpu0.icache.demand_miss_rate::cpu0.inst 0.011866 # miss rate for demand accesses
+system.cpu0.icache.demand_miss_rate::total 0.011866 # miss rate for demand accesses
+system.cpu0.icache.overall_miss_rate::cpu0.inst 0.011866 # miss rate for overall accesses
+system.cpu0.icache.overall_miss_rate::total 0.011866 # miss rate for overall accesses
+system.cpu0.icache.ReadReq_avg_miss_latency::cpu0.inst 8673.462044 # average ReadReq miss latency
+system.cpu0.icache.ReadReq_avg_miss_latency::total 8673.462044 # average ReadReq miss latency
+system.cpu0.icache.demand_avg_miss_latency::cpu0.inst 8673.462044 # average overall miss latency
+system.cpu0.icache.demand_avg_miss_latency::total 8673.462044 # average overall miss latency
+system.cpu0.icache.overall_avg_miss_latency::cpu0.inst 8673.462044 # average overall miss latency
+system.cpu0.icache.overall_avg_miss_latency::total 8673.462044 # average overall miss latency
system.cpu0.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu0.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu0.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -1178,136 +1223,471 @@ system.cpu0.icache.avg_blocked_cycles::no_mshrs nan
system.cpu0.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu0.icache.fast_writes 0 # number of fast writes performed
system.cpu0.icache.cache_copies 0 # number of cache copies performed
-system.cpu0.icache.ReadReq_mshr_misses::cpu0.inst 425681 # number of ReadReq MSHR misses
-system.cpu0.icache.ReadReq_mshr_misses::total 425681 # number of ReadReq MSHR misses
-system.cpu0.icache.demand_mshr_misses::cpu0.inst 425681 # number of demand (read+write) MSHR misses
-system.cpu0.icache.demand_mshr_misses::total 425681 # number of demand (read+write) MSHR misses
-system.cpu0.icache.overall_mshr_misses::cpu0.inst 425681 # number of overall MSHR misses
-system.cpu0.icache.overall_mshr_misses::total 425681 # number of overall MSHR misses
-system.cpu0.icache.ReadReq_mshr_miss_latency::cpu0.inst 5046160318 # number of ReadReq MSHR miss cycles
-system.cpu0.icache.ReadReq_mshr_miss_latency::total 5046160318 # number of ReadReq MSHR miss cycles
-system.cpu0.icache.demand_mshr_miss_latency::cpu0.inst 5046160318 # number of demand (read+write) MSHR miss cycles
-system.cpu0.icache.demand_mshr_miss_latency::total 5046160318 # number of demand (read+write) MSHR miss cycles
-system.cpu0.icache.overall_mshr_miss_latency::cpu0.inst 5046160318 # number of overall MSHR miss cycles
-system.cpu0.icache.overall_mshr_miss_latency::total 5046160318 # number of overall MSHR miss cycles
-system.cpu0.icache.ReadReq_mshr_uncacheable_latency::cpu0.inst 442165750 # number of ReadReq MSHR uncacheable cycles
-system.cpu0.icache.ReadReq_mshr_uncacheable_latency::total 442165750 # number of ReadReq MSHR uncacheable cycles
-system.cpu0.icache.overall_mshr_uncacheable_latency::cpu0.inst 442165750 # number of overall MSHR uncacheable cycles
-system.cpu0.icache.overall_mshr_uncacheable_latency::total 442165750 # number of overall MSHR uncacheable cycles
-system.cpu0.icache.ReadReq_mshr_miss_rate::cpu0.inst 0.014402 # mshr miss rate for ReadReq accesses
-system.cpu0.icache.ReadReq_mshr_miss_rate::total 0.014402 # mshr miss rate for ReadReq accesses
-system.cpu0.icache.demand_mshr_miss_rate::cpu0.inst 0.014402 # mshr miss rate for demand accesses
-system.cpu0.icache.demand_mshr_miss_rate::total 0.014402 # mshr miss rate for demand accesses
-system.cpu0.icache.overall_mshr_miss_rate::cpu0.inst 0.014402 # mshr miss rate for overall accesses
-system.cpu0.icache.overall_mshr_miss_rate::total 0.014402 # mshr miss rate for overall accesses
-system.cpu0.icache.ReadReq_avg_mshr_miss_latency::cpu0.inst 11854.323585 # average ReadReq mshr miss latency
-system.cpu0.icache.ReadReq_avg_mshr_miss_latency::total 11854.323585 # average ReadReq mshr miss latency
-system.cpu0.icache.demand_avg_mshr_miss_latency::cpu0.inst 11854.323585 # average overall mshr miss latency
-system.cpu0.icache.demand_avg_mshr_miss_latency::total 11854.323585 # average overall mshr miss latency
-system.cpu0.icache.overall_avg_mshr_miss_latency::cpu0.inst 11854.323585 # average overall mshr miss latency
-system.cpu0.icache.overall_avg_mshr_miss_latency::total 11854.323585 # average overall mshr miss latency
+system.cpu0.icache.ReadReq_mshr_misses::cpu0.inst 370019 # number of ReadReq MSHR misses
+system.cpu0.icache.ReadReq_mshr_misses::total 370019 # number of ReadReq MSHR misses
+system.cpu0.icache.demand_mshr_misses::cpu0.inst 370019 # number of demand (read+write) MSHR misses
+system.cpu0.icache.demand_mshr_misses::total 370019 # number of demand (read+write) MSHR misses
+system.cpu0.icache.overall_mshr_misses::cpu0.inst 370019 # number of overall MSHR misses
+system.cpu0.icache.overall_mshr_misses::total 370019 # number of overall MSHR misses
+system.cpu0.icache.ReadReq_mshr_miss_latency::cpu0.inst 2653955748 # number of ReadReq MSHR miss cycles
+system.cpu0.icache.ReadReq_mshr_miss_latency::total 2653955748 # number of ReadReq MSHR miss cycles
+system.cpu0.icache.demand_mshr_miss_latency::cpu0.inst 2653955748 # number of demand (read+write) MSHR miss cycles
+system.cpu0.icache.demand_mshr_miss_latency::total 2653955748 # number of demand (read+write) MSHR miss cycles
+system.cpu0.icache.overall_mshr_miss_latency::cpu0.inst 2653955748 # number of overall MSHR miss cycles
+system.cpu0.icache.overall_mshr_miss_latency::total 2653955748 # number of overall MSHR miss cycles
+system.cpu0.icache.ReadReq_mshr_uncacheable_latency::cpu0.inst 531257750 # number of ReadReq MSHR uncacheable cycles
+system.cpu0.icache.ReadReq_mshr_uncacheable_latency::total 531257750 # number of ReadReq MSHR uncacheable cycles
+system.cpu0.icache.overall_mshr_uncacheable_latency::cpu0.inst 531257750 # number of overall MSHR uncacheable cycles
+system.cpu0.icache.overall_mshr_uncacheable_latency::total 531257750 # number of overall MSHR uncacheable cycles
+system.cpu0.icache.ReadReq_mshr_miss_rate::cpu0.inst 0.011866 # mshr miss rate for ReadReq accesses
+system.cpu0.icache.ReadReq_mshr_miss_rate::total 0.011866 # mshr miss rate for ReadReq accesses
+system.cpu0.icache.demand_mshr_miss_rate::cpu0.inst 0.011866 # mshr miss rate for demand accesses
+system.cpu0.icache.demand_mshr_miss_rate::total 0.011866 # mshr miss rate for demand accesses
+system.cpu0.icache.overall_mshr_miss_rate::cpu0.inst 0.011866 # mshr miss rate for overall accesses
+system.cpu0.icache.overall_mshr_miss_rate::total 0.011866 # mshr miss rate for overall accesses
+system.cpu0.icache.ReadReq_avg_mshr_miss_latency::cpu0.inst 7172.485056 # average ReadReq mshr miss latency
+system.cpu0.icache.ReadReq_avg_mshr_miss_latency::total 7172.485056 # average ReadReq mshr miss latency
+system.cpu0.icache.demand_avg_mshr_miss_latency::cpu0.inst 7172.485056 # average overall mshr miss latency
+system.cpu0.icache.demand_avg_mshr_miss_latency::total 7172.485056 # average overall mshr miss latency
+system.cpu0.icache.overall_avg_mshr_miss_latency::cpu0.inst 7172.485056 # average overall mshr miss latency
+system.cpu0.icache.overall_avg_mshr_miss_latency::total 7172.485056 # average overall mshr miss latency
system.cpu0.icache.ReadReq_avg_mshr_uncacheable_latency::cpu0.inst inf # average ReadReq mshr uncacheable latency
system.cpu0.icache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu0.icache.overall_avg_mshr_uncacheable_latency::cpu0.inst inf # average overall mshr uncacheable latency
system.cpu0.icache.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu0.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu0.dcache.tags.replacements 329792 # number of replacements
-system.cpu0.dcache.tags.tagsinuse 452.041842 # Cycle average of tags in use
-system.cpu0.dcache.tags.total_refs 11239100 # Total number of references to valid blocks.
-system.cpu0.dcache.tags.sampled_refs 330304 # Sample count of references to valid blocks.
-system.cpu0.dcache.tags.avg_refs 34.026533 # Average number of references to valid blocks.
-system.cpu0.dcache.tags.warmup_cycle 671364250 # Cycle when the warmup percentage was hit.
-system.cpu0.dcache.tags.occ_blocks::cpu0.data 452.041842 # Average occupied blocks per requestor
-system.cpu0.dcache.tags.occ_percent::cpu0.data 0.882894 # Average percentage of cache occupancy
-system.cpu0.dcache.tags.occ_percent::total 0.882894 # Average percentage of cache occupancy
-system.cpu0.dcache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu0.dcache.tags.age_task_id_blocks_1024::0 75 # Occupied blocks per task id
-system.cpu0.dcache.tags.age_task_id_blocks_1024::1 342 # Occupied blocks per task id
-system.cpu0.dcache.tags.age_task_id_blocks_1024::2 94 # Occupied blocks per task id
-system.cpu0.dcache.tags.age_task_id_blocks_1024::3 1 # Occupied blocks per task id
-system.cpu0.dcache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu0.dcache.tags.tag_accesses 46848154 # Number of tag accesses
-system.cpu0.dcache.tags.data_accesses 46848154 # Number of data accesses
-system.cpu0.dcache.ReadReq_hits::cpu0.data 5514035 # number of ReadReq hits
-system.cpu0.dcache.ReadReq_hits::total 5514035 # number of ReadReq hits
-system.cpu0.dcache.WriteReq_hits::cpu0.data 5340154 # number of WriteReq hits
-system.cpu0.dcache.WriteReq_hits::total 5340154 # number of WriteReq hits
-system.cpu0.dcache.SoftPFReq_hits::cpu0.data 64966 # number of SoftPFReq hits
-system.cpu0.dcache.SoftPFReq_hits::total 64966 # number of SoftPFReq hits
-system.cpu0.dcache.LoadLockedReq_hits::cpu0.data 148024 # number of LoadLockedReq hits
-system.cpu0.dcache.LoadLockedReq_hits::total 148024 # number of LoadLockedReq hits
-system.cpu0.dcache.StoreCondReq_hits::cpu0.data 149636 # number of StoreCondReq hits
-system.cpu0.dcache.StoreCondReq_hits::total 149636 # number of StoreCondReq hits
-system.cpu0.dcache.demand_hits::cpu0.data 10854189 # number of demand (read+write) hits
-system.cpu0.dcache.demand_hits::total 10854189 # number of demand (read+write) hits
-system.cpu0.dcache.overall_hits::cpu0.data 10919155 # number of overall hits
-system.cpu0.dcache.overall_hits::total 10919155 # number of overall hits
-system.cpu0.dcache.ReadReq_misses::cpu0.data 179189 # number of ReadReq misses
-system.cpu0.dcache.ReadReq_misses::total 179189 # number of ReadReq misses
-system.cpu0.dcache.WriteReq_misses::cpu0.data 145422 # number of WriteReq misses
-system.cpu0.dcache.WriteReq_misses::total 145422 # number of WriteReq misses
-system.cpu0.dcache.SoftPFReq_misses::cpu0.data 62829 # number of SoftPFReq misses
-system.cpu0.dcache.SoftPFReq_misses::total 62829 # number of SoftPFReq misses
-system.cpu0.dcache.LoadLockedReq_misses::cpu0.data 9439 # number of LoadLockedReq misses
-system.cpu0.dcache.LoadLockedReq_misses::total 9439 # number of LoadLockedReq misses
-system.cpu0.dcache.StoreCondReq_misses::cpu0.data 7485 # number of StoreCondReq misses
-system.cpu0.dcache.StoreCondReq_misses::total 7485 # number of StoreCondReq misses
-system.cpu0.dcache.demand_misses::cpu0.data 324611 # number of demand (read+write) misses
-system.cpu0.dcache.demand_misses::total 324611 # number of demand (read+write) misses
-system.cpu0.dcache.overall_misses::cpu0.data 387440 # number of overall misses
-system.cpu0.dcache.overall_misses::total 387440 # number of overall misses
-system.cpu0.dcache.ReadReq_miss_latency::cpu0.data 2350643732 # number of ReadReq miss cycles
-system.cpu0.dcache.ReadReq_miss_latency::total 2350643732 # number of ReadReq miss cycles
-system.cpu0.dcache.WriteReq_miss_latency::cpu0.data 5817567140 # number of WriteReq miss cycles
-system.cpu0.dcache.WriteReq_miss_latency::total 5817567140 # number of WriteReq miss cycles
-system.cpu0.dcache.LoadLockedReq_miss_latency::cpu0.data 94706749 # number of LoadLockedReq miss cycles
-system.cpu0.dcache.LoadLockedReq_miss_latency::total 94706749 # number of LoadLockedReq miss cycles
-system.cpu0.dcache.StoreCondReq_miss_latency::cpu0.data 44450567 # number of StoreCondReq miss cycles
-system.cpu0.dcache.StoreCondReq_miss_latency::total 44450567 # number of StoreCondReq miss cycles
-system.cpu0.dcache.demand_miss_latency::cpu0.data 8168210872 # number of demand (read+write) miss cycles
-system.cpu0.dcache.demand_miss_latency::total 8168210872 # number of demand (read+write) miss cycles
-system.cpu0.dcache.overall_miss_latency::cpu0.data 8168210872 # number of overall miss cycles
-system.cpu0.dcache.overall_miss_latency::total 8168210872 # number of overall miss cycles
-system.cpu0.dcache.ReadReq_accesses::cpu0.data 5693224 # number of ReadReq accesses(hits+misses)
-system.cpu0.dcache.ReadReq_accesses::total 5693224 # number of ReadReq accesses(hits+misses)
-system.cpu0.dcache.WriteReq_accesses::cpu0.data 5485576 # number of WriteReq accesses(hits+misses)
-system.cpu0.dcache.WriteReq_accesses::total 5485576 # number of WriteReq accesses(hits+misses)
-system.cpu0.dcache.SoftPFReq_accesses::cpu0.data 127795 # number of SoftPFReq accesses(hits+misses)
-system.cpu0.dcache.SoftPFReq_accesses::total 127795 # number of SoftPFReq accesses(hits+misses)
-system.cpu0.dcache.LoadLockedReq_accesses::cpu0.data 157463 # number of LoadLockedReq accesses(hits+misses)
-system.cpu0.dcache.LoadLockedReq_accesses::total 157463 # number of LoadLockedReq accesses(hits+misses)
-system.cpu0.dcache.StoreCondReq_accesses::cpu0.data 157121 # number of StoreCondReq accesses(hits+misses)
-system.cpu0.dcache.StoreCondReq_accesses::total 157121 # number of StoreCondReq accesses(hits+misses)
-system.cpu0.dcache.demand_accesses::cpu0.data 11178800 # number of demand (read+write) accesses
-system.cpu0.dcache.demand_accesses::total 11178800 # number of demand (read+write) accesses
-system.cpu0.dcache.overall_accesses::cpu0.data 11306595 # number of overall (read+write) accesses
-system.cpu0.dcache.overall_accesses::total 11306595 # number of overall (read+write) accesses
-system.cpu0.dcache.ReadReq_miss_rate::cpu0.data 0.031474 # miss rate for ReadReq accesses
-system.cpu0.dcache.ReadReq_miss_rate::total 0.031474 # miss rate for ReadReq accesses
-system.cpu0.dcache.WriteReq_miss_rate::cpu0.data 0.026510 # miss rate for WriteReq accesses
-system.cpu0.dcache.WriteReq_miss_rate::total 0.026510 # miss rate for WriteReq accesses
-system.cpu0.dcache.SoftPFReq_miss_rate::cpu0.data 0.491639 # miss rate for SoftPFReq accesses
-system.cpu0.dcache.SoftPFReq_miss_rate::total 0.491639 # miss rate for SoftPFReq accesses
-system.cpu0.dcache.LoadLockedReq_miss_rate::cpu0.data 0.059944 # miss rate for LoadLockedReq accesses
-system.cpu0.dcache.LoadLockedReq_miss_rate::total 0.059944 # miss rate for LoadLockedReq accesses
-system.cpu0.dcache.StoreCondReq_miss_rate::cpu0.data 0.047638 # miss rate for StoreCondReq accesses
-system.cpu0.dcache.StoreCondReq_miss_rate::total 0.047638 # miss rate for StoreCondReq accesses
-system.cpu0.dcache.demand_miss_rate::cpu0.data 0.029038 # miss rate for demand accesses
-system.cpu0.dcache.demand_miss_rate::total 0.029038 # miss rate for demand accesses
-system.cpu0.dcache.overall_miss_rate::cpu0.data 0.034267 # miss rate for overall accesses
-system.cpu0.dcache.overall_miss_rate::total 0.034267 # miss rate for overall accesses
-system.cpu0.dcache.ReadReq_avg_miss_latency::cpu0.data 13118.236789 # average ReadReq miss latency
-system.cpu0.dcache.ReadReq_avg_miss_latency::total 13118.236789 # average ReadReq miss latency
-system.cpu0.dcache.WriteReq_avg_miss_latency::cpu0.data 40004.725145 # average WriteReq miss latency
-system.cpu0.dcache.WriteReq_avg_miss_latency::total 40004.725145 # average WriteReq miss latency
-system.cpu0.dcache.LoadLockedReq_avg_miss_latency::cpu0.data 10033.557474 # average LoadLockedReq miss latency
-system.cpu0.dcache.LoadLockedReq_avg_miss_latency::total 10033.557474 # average LoadLockedReq miss latency
-system.cpu0.dcache.StoreCondReq_avg_miss_latency::cpu0.data 5938.619506 # average StoreCondReq miss latency
-system.cpu0.dcache.StoreCondReq_avg_miss_latency::total 5938.619506 # average StoreCondReq miss latency
-system.cpu0.dcache.demand_avg_miss_latency::cpu0.data 25163.074794 # average overall miss latency
-system.cpu0.dcache.demand_avg_miss_latency::total 25163.074794 # average overall miss latency
-system.cpu0.dcache.overall_avg_miss_latency::cpu0.data 21082.518253 # average overall miss latency
-system.cpu0.dcache.overall_avg_miss_latency::total 21082.518253 # average overall miss latency
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_identified 4129417 # number of hwpf identified
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_already_in_mshr 113341 # number of hwpf that were already in mshr
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_already_in_cache 3763718 # number of hwpf that were already in the cache
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_already_in_prefetcher 300 # number of hwpf that were already in the prefetch queue
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_evicted 0 # number of hwpf removed due to no buffer left
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_removed_MSHR_hit 22 # number of hwpf removed because MSHR allocated
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_issued 252036 # number of hwpf issued
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_span_page 312183 # number of hwpf spanning a virtual page
+system.cpu0.l2cache.prefetcher.prefetcher.num_hwpf_squashed_from_miss 0 # number of hwpf that got squashed due to a miss aborting calculation time
+system.cpu0.l2cache.tags.replacements 213190 # number of replacements
+system.cpu0.l2cache.tags.tagsinuse 16168.240053 # Cycle average of tags in use
+system.cpu0.l2cache.tags.total_refs 848978 # Total number of references to valid blocks.
+system.cpu0.l2cache.tags.sampled_refs 228702 # Sample count of references to valid blocks.
+system.cpu0.l2cache.tags.avg_refs 3.712158 # Average number of references to valid blocks.
+system.cpu0.l2cache.tags.warmup_cycle 7921739000 # Cycle when the warmup percentage was hit.
+system.cpu0.l2cache.tags.occ_blocks::writebacks 4749.054127 # Average occupied blocks per requestor
+system.cpu0.l2cache.tags.occ_blocks::cpu0.dtb.walker 3.517230 # Average occupied blocks per requestor
+system.cpu0.l2cache.tags.occ_blocks::cpu0.itb.walker 0.260718 # Average occupied blocks per requestor
+system.cpu0.l2cache.tags.occ_blocks::cpu0.inst 821.211493 # Average occupied blocks per requestor
+system.cpu0.l2cache.tags.occ_blocks::cpu0.data 1542.145038 # Average occupied blocks per requestor
+system.cpu0.l2cache.tags.occ_blocks::cpu0.l2cache.prefetcher 9052.051448 # Average occupied blocks per requestor
+system.cpu0.l2cache.tags.occ_percent::writebacks 0.289859 # Average percentage of cache occupancy
+system.cpu0.l2cache.tags.occ_percent::cpu0.dtb.walker 0.000215 # Average percentage of cache occupancy
+system.cpu0.l2cache.tags.occ_percent::cpu0.itb.walker 0.000016 # Average percentage of cache occupancy
+system.cpu0.l2cache.tags.occ_percent::cpu0.inst 0.050123 # Average percentage of cache occupancy
+system.cpu0.l2cache.tags.occ_percent::cpu0.data 0.094125 # Average percentage of cache occupancy
+system.cpu0.l2cache.tags.occ_percent::cpu0.l2cache.prefetcher 0.552493 # Average percentage of cache occupancy
+system.cpu0.l2cache.tags.occ_percent::total 0.986831 # Average percentage of cache occupancy
+system.cpu0.l2cache.tags.occ_task_id_blocks::1022 8284 # Occupied blocks per task id
+system.cpu0.l2cache.tags.occ_task_id_blocks::1023 9 # Occupied blocks per task id
+system.cpu0.l2cache.tags.occ_task_id_blocks::1024 7219 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1022::2 1260 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1022::3 1944 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1022::4 5080 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1023::3 3 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1023::4 6 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1024::2 1775 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1024::3 1943 # Occupied blocks per task id
+system.cpu0.l2cache.tags.age_task_id_blocks_1024::4 3501 # Occupied blocks per task id
+system.cpu0.l2cache.tags.occ_task_id_percent::1022 0.505615 # Percentage of cache occupancy per task id
+system.cpu0.l2cache.tags.occ_task_id_percent::1023 0.000549 # Percentage of cache occupancy per task id
+system.cpu0.l2cache.tags.occ_task_id_percent::1024 0.440613 # Percentage of cache occupancy per task id
+system.cpu0.l2cache.tags.tag_accesses 17864213 # Number of tag accesses
+system.cpu0.l2cache.tags.data_accesses 17864213 # Number of data accesses
+system.cpu0.l2cache.ReadReq_hits::cpu0.dtb.walker 4737 # number of ReadReq hits
+system.cpu0.l2cache.ReadReq_hits::cpu0.itb.walker 2374 # number of ReadReq hits
+system.cpu0.l2cache.ReadReq_hits::cpu0.inst 361048 # number of ReadReq hits
+system.cpu0.l2cache.ReadReq_hits::cpu0.data 184302 # number of ReadReq hits
+system.cpu0.l2cache.ReadReq_hits::total 552461 # number of ReadReq hits
+system.cpu0.l2cache.Writeback_hits::writebacks 286361 # number of Writeback hits
+system.cpu0.l2cache.Writeback_hits::total 286361 # number of Writeback hits
+system.cpu0.l2cache.UpgradeReq_hits::cpu0.data 5612 # number of UpgradeReq hits
+system.cpu0.l2cache.UpgradeReq_hits::total 5612 # number of UpgradeReq hits
+system.cpu0.l2cache.SCUpgradeReq_hits::cpu0.data 831 # number of SCUpgradeReq hits
+system.cpu0.l2cache.SCUpgradeReq_hits::total 831 # number of SCUpgradeReq hits
+system.cpu0.l2cache.ReadExReq_hits::cpu0.data 133749 # number of ReadExReq hits
+system.cpu0.l2cache.ReadExReq_hits::total 133749 # number of ReadExReq hits
+system.cpu0.l2cache.demand_hits::cpu0.dtb.walker 4737 # number of demand (read+write) hits
+system.cpu0.l2cache.demand_hits::cpu0.itb.walker 2374 # number of demand (read+write) hits
+system.cpu0.l2cache.demand_hits::cpu0.inst 361048 # number of demand (read+write) hits
+system.cpu0.l2cache.demand_hits::cpu0.data 318051 # number of demand (read+write) hits
+system.cpu0.l2cache.demand_hits::total 686210 # number of demand (read+write) hits
+system.cpu0.l2cache.overall_hits::cpu0.dtb.walker 4737 # number of overall hits
+system.cpu0.l2cache.overall_hits::cpu0.itb.walker 2374 # number of overall hits
+system.cpu0.l2cache.overall_hits::cpu0.inst 361048 # number of overall hits
+system.cpu0.l2cache.overall_hits::cpu0.data 318051 # number of overall hits
+system.cpu0.l2cache.overall_hits::total 686210 # number of overall hits
+system.cpu0.l2cache.ReadReq_misses::cpu0.dtb.walker 225 # number of ReadReq misses
+system.cpu0.l2cache.ReadReq_misses::cpu0.itb.walker 146 # number of ReadReq misses
+system.cpu0.l2cache.ReadReq_misses::cpu0.inst 8693 # number of ReadReq misses
+system.cpu0.l2cache.ReadReq_misses::cpu0.data 48360 # number of ReadReq misses
+system.cpu0.l2cache.ReadReq_misses::total 57424 # number of ReadReq misses
+system.cpu0.l2cache.Writeback_misses::writebacks 2 # number of Writeback misses
+system.cpu0.l2cache.Writeback_misses::total 2 # number of Writeback misses
+system.cpu0.l2cache.UpgradeReq_misses::cpu0.data 18405 # number of UpgradeReq misses
+system.cpu0.l2cache.UpgradeReq_misses::total 18405 # number of UpgradeReq misses
+system.cpu0.l2cache.SCUpgradeReq_misses::cpu0.data 10323 # number of SCUpgradeReq misses
+system.cpu0.l2cache.SCUpgradeReq_misses::total 10323 # number of SCUpgradeReq misses
+system.cpu0.l2cache.SCUpgradeFailReq_misses::cpu0.data 2 # number of SCUpgradeFailReq misses
+system.cpu0.l2cache.SCUpgradeFailReq_misses::total 2 # number of SCUpgradeFailReq misses
+system.cpu0.l2cache.ReadExReq_misses::cpu0.data 24100 # number of ReadExReq misses
+system.cpu0.l2cache.ReadExReq_misses::total 24100 # number of ReadExReq misses
+system.cpu0.l2cache.demand_misses::cpu0.dtb.walker 225 # number of demand (read+write) misses
+system.cpu0.l2cache.demand_misses::cpu0.itb.walker 146 # number of demand (read+write) misses
+system.cpu0.l2cache.demand_misses::cpu0.inst 8693 # number of demand (read+write) misses
+system.cpu0.l2cache.demand_misses::cpu0.data 72460 # number of demand (read+write) misses
+system.cpu0.l2cache.demand_misses::total 81524 # number of demand (read+write) misses
+system.cpu0.l2cache.overall_misses::cpu0.dtb.walker 225 # number of overall misses
+system.cpu0.l2cache.overall_misses::cpu0.itb.walker 146 # number of overall misses
+system.cpu0.l2cache.overall_misses::cpu0.inst 8693 # number of overall misses
+system.cpu0.l2cache.overall_misses::cpu0.data 72460 # number of overall misses
+system.cpu0.l2cache.overall_misses::total 81524 # number of overall misses
+system.cpu0.l2cache.ReadReq_miss_latency::cpu0.dtb.walker 4897000 # number of ReadReq miss cycles
+system.cpu0.l2cache.ReadReq_miss_latency::cpu0.itb.walker 3307000 # number of ReadReq miss cycles
+system.cpu0.l2cache.ReadReq_miss_latency::cpu0.inst 300815745 # number of ReadReq miss cycles
+system.cpu0.l2cache.ReadReq_miss_latency::cpu0.data 1253383203 # number of ReadReq miss cycles
+system.cpu0.l2cache.ReadReq_miss_latency::total 1562402948 # number of ReadReq miss cycles
+system.cpu0.l2cache.UpgradeReq_miss_latency::cpu0.data 288253128 # number of UpgradeReq miss cycles
+system.cpu0.l2cache.UpgradeReq_miss_latency::total 288253128 # number of UpgradeReq miss cycles
+system.cpu0.l2cache.SCUpgradeReq_miss_latency::cpu0.data 204026156 # number of SCUpgradeReq miss cycles
+system.cpu0.l2cache.SCUpgradeReq_miss_latency::total 204026156 # number of SCUpgradeReq miss cycles
+system.cpu0.l2cache.SCUpgradeFailReq_miss_latency::cpu0.data 1056000 # number of SCUpgradeFailReq miss cycles
+system.cpu0.l2cache.SCUpgradeFailReq_miss_latency::total 1056000 # number of SCUpgradeFailReq miss cycles
+system.cpu0.l2cache.ReadExReq_miss_latency::cpu0.data 855610215 # number of ReadExReq miss cycles
+system.cpu0.l2cache.ReadExReq_miss_latency::total 855610215 # number of ReadExReq miss cycles
+system.cpu0.l2cache.demand_miss_latency::cpu0.dtb.walker 4897000 # number of demand (read+write) miss cycles
+system.cpu0.l2cache.demand_miss_latency::cpu0.itb.walker 3307000 # number of demand (read+write) miss cycles
+system.cpu0.l2cache.demand_miss_latency::cpu0.inst 300815745 # number of demand (read+write) miss cycles
+system.cpu0.l2cache.demand_miss_latency::cpu0.data 2108993418 # number of demand (read+write) miss cycles
+system.cpu0.l2cache.demand_miss_latency::total 2418013163 # number of demand (read+write) miss cycles
+system.cpu0.l2cache.overall_miss_latency::cpu0.dtb.walker 4897000 # number of overall miss cycles
+system.cpu0.l2cache.overall_miss_latency::cpu0.itb.walker 3307000 # number of overall miss cycles
+system.cpu0.l2cache.overall_miss_latency::cpu0.inst 300815745 # number of overall miss cycles
+system.cpu0.l2cache.overall_miss_latency::cpu0.data 2108993418 # number of overall miss cycles
+system.cpu0.l2cache.overall_miss_latency::total 2418013163 # number of overall miss cycles
+system.cpu0.l2cache.ReadReq_accesses::cpu0.dtb.walker 4962 # number of ReadReq accesses(hits+misses)
+system.cpu0.l2cache.ReadReq_accesses::cpu0.itb.walker 2520 # number of ReadReq accesses(hits+misses)
+system.cpu0.l2cache.ReadReq_accesses::cpu0.inst 369741 # number of ReadReq accesses(hits+misses)
+system.cpu0.l2cache.ReadReq_accesses::cpu0.data 232662 # number of ReadReq accesses(hits+misses)
+system.cpu0.l2cache.ReadReq_accesses::total 609885 # number of ReadReq accesses(hits+misses)
+system.cpu0.l2cache.Writeback_accesses::writebacks 286363 # number of Writeback accesses(hits+misses)
+system.cpu0.l2cache.Writeback_accesses::total 286363 # number of Writeback accesses(hits+misses)
+system.cpu0.l2cache.UpgradeReq_accesses::cpu0.data 24017 # number of UpgradeReq accesses(hits+misses)
+system.cpu0.l2cache.UpgradeReq_accesses::total 24017 # number of UpgradeReq accesses(hits+misses)
+system.cpu0.l2cache.SCUpgradeReq_accesses::cpu0.data 11154 # number of SCUpgradeReq accesses(hits+misses)
+system.cpu0.l2cache.SCUpgradeReq_accesses::total 11154 # number of SCUpgradeReq accesses(hits+misses)
+system.cpu0.l2cache.SCUpgradeFailReq_accesses::cpu0.data 2 # number of SCUpgradeFailReq accesses(hits+misses)
+system.cpu0.l2cache.SCUpgradeFailReq_accesses::total 2 # number of SCUpgradeFailReq accesses(hits+misses)
+system.cpu0.l2cache.ReadExReq_accesses::cpu0.data 157849 # number of ReadExReq accesses(hits+misses)
+system.cpu0.l2cache.ReadExReq_accesses::total 157849 # number of ReadExReq accesses(hits+misses)
+system.cpu0.l2cache.demand_accesses::cpu0.dtb.walker 4962 # number of demand (read+write) accesses
+system.cpu0.l2cache.demand_accesses::cpu0.itb.walker 2520 # number of demand (read+write) accesses
+system.cpu0.l2cache.demand_accesses::cpu0.inst 369741 # number of demand (read+write) accesses
+system.cpu0.l2cache.demand_accesses::cpu0.data 390511 # number of demand (read+write) accesses
+system.cpu0.l2cache.demand_accesses::total 767734 # number of demand (read+write) accesses
+system.cpu0.l2cache.overall_accesses::cpu0.dtb.walker 4962 # number of overall (read+write) accesses
+system.cpu0.l2cache.overall_accesses::cpu0.itb.walker 2520 # number of overall (read+write) accesses
+system.cpu0.l2cache.overall_accesses::cpu0.inst 369741 # number of overall (read+write) accesses
+system.cpu0.l2cache.overall_accesses::cpu0.data 390511 # number of overall (read+write) accesses
+system.cpu0.l2cache.overall_accesses::total 767734 # number of overall (read+write) accesses
+system.cpu0.l2cache.ReadReq_miss_rate::cpu0.dtb.walker 0.045345 # miss rate for ReadReq accesses
+system.cpu0.l2cache.ReadReq_miss_rate::cpu0.itb.walker 0.057937 # miss rate for ReadReq accesses
+system.cpu0.l2cache.ReadReq_miss_rate::cpu0.inst 0.023511 # miss rate for ReadReq accesses
+system.cpu0.l2cache.ReadReq_miss_rate::cpu0.data 0.207855 # miss rate for ReadReq accesses
+system.cpu0.l2cache.ReadReq_miss_rate::total 0.094155 # miss rate for ReadReq accesses
+system.cpu0.l2cache.Writeback_miss_rate::writebacks 0.000007 # miss rate for Writeback accesses
+system.cpu0.l2cache.Writeback_miss_rate::total 0.000007 # miss rate for Writeback accesses
+system.cpu0.l2cache.UpgradeReq_miss_rate::cpu0.data 0.766332 # miss rate for UpgradeReq accesses
+system.cpu0.l2cache.UpgradeReq_miss_rate::total 0.766332 # miss rate for UpgradeReq accesses
+system.cpu0.l2cache.SCUpgradeReq_miss_rate::cpu0.data 0.925498 # miss rate for SCUpgradeReq accesses
+system.cpu0.l2cache.SCUpgradeReq_miss_rate::total 0.925498 # miss rate for SCUpgradeReq accesses
+system.cpu0.l2cache.SCUpgradeFailReq_miss_rate::cpu0.data 1 # miss rate for SCUpgradeFailReq accesses
+system.cpu0.l2cache.SCUpgradeFailReq_miss_rate::total 1 # miss rate for SCUpgradeFailReq accesses
+system.cpu0.l2cache.ReadExReq_miss_rate::cpu0.data 0.152678 # miss rate for ReadExReq accesses
+system.cpu0.l2cache.ReadExReq_miss_rate::total 0.152678 # miss rate for ReadExReq accesses
+system.cpu0.l2cache.demand_miss_rate::cpu0.dtb.walker 0.045345 # miss rate for demand accesses
+system.cpu0.l2cache.demand_miss_rate::cpu0.itb.walker 0.057937 # miss rate for demand accesses
+system.cpu0.l2cache.demand_miss_rate::cpu0.inst 0.023511 # miss rate for demand accesses
+system.cpu0.l2cache.demand_miss_rate::cpu0.data 0.185552 # miss rate for demand accesses
+system.cpu0.l2cache.demand_miss_rate::total 0.106188 # miss rate for demand accesses
+system.cpu0.l2cache.overall_miss_rate::cpu0.dtb.walker 0.045345 # miss rate for overall accesses
+system.cpu0.l2cache.overall_miss_rate::cpu0.itb.walker 0.057937 # miss rate for overall accesses
+system.cpu0.l2cache.overall_miss_rate::cpu0.inst 0.023511 # miss rate for overall accesses
+system.cpu0.l2cache.overall_miss_rate::cpu0.data 0.185552 # miss rate for overall accesses
+system.cpu0.l2cache.overall_miss_rate::total 0.106188 # miss rate for overall accesses
+system.cpu0.l2cache.ReadReq_avg_miss_latency::cpu0.dtb.walker 21764.444444 # average ReadReq miss latency
+system.cpu0.l2cache.ReadReq_avg_miss_latency::cpu0.itb.walker 22650.684932 # average ReadReq miss latency
+system.cpu0.l2cache.ReadReq_avg_miss_latency::cpu0.inst 34604.365006 # average ReadReq miss latency
+system.cpu0.l2cache.ReadReq_avg_miss_latency::cpu0.data 25917.766811 # average ReadReq miss latency
+system.cpu0.l2cache.ReadReq_avg_miss_latency::total 27208.187308 # average ReadReq miss latency
+system.cpu0.l2cache.UpgradeReq_avg_miss_latency::cpu0.data 15661.674980 # average UpgradeReq miss latency
+system.cpu0.l2cache.UpgradeReq_avg_miss_latency::total 15661.674980 # average UpgradeReq miss latency
+system.cpu0.l2cache.SCUpgradeReq_avg_miss_latency::cpu0.data 19764.230941 # average SCUpgradeReq miss latency
+system.cpu0.l2cache.SCUpgradeReq_avg_miss_latency::total 19764.230941 # average SCUpgradeReq miss latency
+system.cpu0.l2cache.SCUpgradeFailReq_avg_miss_latency::cpu0.data 528000 # average SCUpgradeFailReq miss latency
+system.cpu0.l2cache.SCUpgradeFailReq_avg_miss_latency::total 528000 # average SCUpgradeFailReq miss latency
+system.cpu0.l2cache.ReadExReq_avg_miss_latency::cpu0.data 35502.498548 # average ReadExReq miss latency
+system.cpu0.l2cache.ReadExReq_avg_miss_latency::total 35502.498548 # average ReadExReq miss latency
+system.cpu0.l2cache.demand_avg_miss_latency::cpu0.dtb.walker 21764.444444 # average overall miss latency
+system.cpu0.l2cache.demand_avg_miss_latency::cpu0.itb.walker 22650.684932 # average overall miss latency
+system.cpu0.l2cache.demand_avg_miss_latency::cpu0.inst 34604.365006 # average overall miss latency
+system.cpu0.l2cache.demand_avg_miss_latency::cpu0.data 29105.622661 # average overall miss latency
+system.cpu0.l2cache.demand_avg_miss_latency::total 29660.138892 # average overall miss latency
+system.cpu0.l2cache.overall_avg_miss_latency::cpu0.dtb.walker 21764.444444 # average overall miss latency
+system.cpu0.l2cache.overall_avg_miss_latency::cpu0.itb.walker 22650.684932 # average overall miss latency
+system.cpu0.l2cache.overall_avg_miss_latency::cpu0.inst 34604.365006 # average overall miss latency
+system.cpu0.l2cache.overall_avg_miss_latency::cpu0.data 29105.622661 # average overall miss latency
+system.cpu0.l2cache.overall_avg_miss_latency::total 29660.138892 # average overall miss latency
+system.cpu0.l2cache.blocked_cycles::no_mshrs 1020 # number of cycles access was blocked
+system.cpu0.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu0.l2cache.blocked::no_mshrs 31 # number of cycles access was blocked
+system.cpu0.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu0.l2cache.avg_blocked_cycles::no_mshrs 32.903226 # average number of cycles each access was blocked
+system.cpu0.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
+system.cpu0.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu0.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu0.l2cache.writebacks::writebacks 141584 # number of writebacks
+system.cpu0.l2cache.writebacks::total 141584 # number of writebacks
+system.cpu0.l2cache.ReadReq_mshr_hits::cpu0.inst 1192 # number of ReadReq MSHR hits
+system.cpu0.l2cache.ReadReq_mshr_hits::cpu0.data 751 # number of ReadReq MSHR hits
+system.cpu0.l2cache.ReadReq_mshr_hits::total 1943 # number of ReadReq MSHR hits
+system.cpu0.l2cache.ReadExReq_mshr_hits::cpu0.data 493 # number of ReadExReq MSHR hits
+system.cpu0.l2cache.ReadExReq_mshr_hits::total 493 # number of ReadExReq MSHR hits
+system.cpu0.l2cache.demand_mshr_hits::cpu0.inst 1192 # number of demand (read+write) MSHR hits
+system.cpu0.l2cache.demand_mshr_hits::cpu0.data 1244 # number of demand (read+write) MSHR hits
+system.cpu0.l2cache.demand_mshr_hits::total 2436 # number of demand (read+write) MSHR hits
+system.cpu0.l2cache.overall_mshr_hits::cpu0.inst 1192 # number of overall MSHR hits
+system.cpu0.l2cache.overall_mshr_hits::cpu0.data 1244 # number of overall MSHR hits
+system.cpu0.l2cache.overall_mshr_hits::total 2436 # number of overall MSHR hits
+system.cpu0.l2cache.ReadReq_mshr_misses::cpu0.dtb.walker 225 # number of ReadReq MSHR misses
+system.cpu0.l2cache.ReadReq_mshr_misses::cpu0.itb.walker 146 # number of ReadReq MSHR misses
+system.cpu0.l2cache.ReadReq_mshr_misses::cpu0.inst 7501 # number of ReadReq MSHR misses
+system.cpu0.l2cache.ReadReq_mshr_misses::cpu0.data 47609 # number of ReadReq MSHR misses
+system.cpu0.l2cache.ReadReq_mshr_misses::total 55481 # number of ReadReq MSHR misses
+system.cpu0.l2cache.Writeback_mshr_misses::writebacks 2 # number of Writeback MSHR misses
+system.cpu0.l2cache.Writeback_mshr_misses::total 2 # number of Writeback MSHR misses
+system.cpu0.l2cache.HardPFReq_mshr_misses::cpu0.l2cache.prefetcher 252027 # number of HardPFReq MSHR misses
+system.cpu0.l2cache.HardPFReq_mshr_misses::total 252027 # number of HardPFReq MSHR misses
+system.cpu0.l2cache.UpgradeReq_mshr_misses::cpu0.data 18405 # number of UpgradeReq MSHR misses
+system.cpu0.l2cache.UpgradeReq_mshr_misses::total 18405 # number of UpgradeReq MSHR misses
+system.cpu0.l2cache.SCUpgradeReq_mshr_misses::cpu0.data 10323 # number of SCUpgradeReq MSHR misses
+system.cpu0.l2cache.SCUpgradeReq_mshr_misses::total 10323 # number of SCUpgradeReq MSHR misses
+system.cpu0.l2cache.SCUpgradeFailReq_mshr_misses::cpu0.data 2 # number of SCUpgradeFailReq MSHR misses
+system.cpu0.l2cache.SCUpgradeFailReq_mshr_misses::total 2 # number of SCUpgradeFailReq MSHR misses
+system.cpu0.l2cache.ReadExReq_mshr_misses::cpu0.data 23607 # number of ReadExReq MSHR misses
+system.cpu0.l2cache.ReadExReq_mshr_misses::total 23607 # number of ReadExReq MSHR misses
+system.cpu0.l2cache.demand_mshr_misses::cpu0.dtb.walker 225 # number of demand (read+write) MSHR misses
+system.cpu0.l2cache.demand_mshr_misses::cpu0.itb.walker 146 # number of demand (read+write) MSHR misses
+system.cpu0.l2cache.demand_mshr_misses::cpu0.inst 7501 # number of demand (read+write) MSHR misses
+system.cpu0.l2cache.demand_mshr_misses::cpu0.data 71216 # number of demand (read+write) MSHR misses
+system.cpu0.l2cache.demand_mshr_misses::total 79088 # number of demand (read+write) MSHR misses
+system.cpu0.l2cache.overall_mshr_misses::cpu0.dtb.walker 225 # number of overall MSHR misses
+system.cpu0.l2cache.overall_mshr_misses::cpu0.itb.walker 146 # number of overall MSHR misses
+system.cpu0.l2cache.overall_mshr_misses::cpu0.inst 7501 # number of overall MSHR misses
+system.cpu0.l2cache.overall_mshr_misses::cpu0.data 71216 # number of overall MSHR misses
+system.cpu0.l2cache.overall_mshr_misses::cpu0.l2cache.prefetcher 252027 # number of overall MSHR misses
+system.cpu0.l2cache.overall_mshr_misses::total 331115 # number of overall MSHR misses
+system.cpu0.l2cache.ReadReq_mshr_miss_latency::cpu0.dtb.walker 3322000 # number of ReadReq MSHR miss cycles
+system.cpu0.l2cache.ReadReq_mshr_miss_latency::cpu0.itb.walker 2285000 # number of ReadReq MSHR miss cycles
+system.cpu0.l2cache.ReadReq_mshr_miss_latency::cpu0.inst 227161754 # number of ReadReq MSHR miss cycles
+system.cpu0.l2cache.ReadReq_mshr_miss_latency::cpu0.data 910924475 # number of ReadReq MSHR miss cycles
+system.cpu0.l2cache.ReadReq_mshr_miss_latency::total 1143693229 # number of ReadReq MSHR miss cycles
+system.cpu0.l2cache.HardPFReq_mshr_miss_latency::cpu0.l2cache.prefetcher 10450561115 # number of HardPFReq MSHR miss cycles
+system.cpu0.l2cache.HardPFReq_mshr_miss_latency::total 10450561115 # number of HardPFReq MSHR miss cycles
+system.cpu0.l2cache.UpgradeReq_mshr_miss_latency::cpu0.data 330354697 # number of UpgradeReq MSHR miss cycles
+system.cpu0.l2cache.UpgradeReq_mshr_miss_latency::total 330354697 # number of UpgradeReq MSHR miss cycles
+system.cpu0.l2cache.SCUpgradeReq_mshr_miss_latency::cpu0.data 150011322 # number of SCUpgradeReq MSHR miss cycles
+system.cpu0.l2cache.SCUpgradeReq_mshr_miss_latency::total 150011322 # number of SCUpgradeReq MSHR miss cycles
+system.cpu0.l2cache.SCUpgradeFailReq_mshr_miss_latency::cpu0.data 888000 # number of SCUpgradeFailReq MSHR miss cycles
+system.cpu0.l2cache.SCUpgradeFailReq_mshr_miss_latency::total 888000 # number of SCUpgradeFailReq MSHR miss cycles
+system.cpu0.l2cache.ReadExReq_mshr_miss_latency::cpu0.data 642746273 # number of ReadExReq MSHR miss cycles
+system.cpu0.l2cache.ReadExReq_mshr_miss_latency::total 642746273 # number of ReadExReq MSHR miss cycles
+system.cpu0.l2cache.demand_mshr_miss_latency::cpu0.dtb.walker 3322000 # number of demand (read+write) MSHR miss cycles
+system.cpu0.l2cache.demand_mshr_miss_latency::cpu0.itb.walker 2285000 # number of demand (read+write) MSHR miss cycles
+system.cpu0.l2cache.demand_mshr_miss_latency::cpu0.inst 227161754 # number of demand (read+write) MSHR miss cycles
+system.cpu0.l2cache.demand_mshr_miss_latency::cpu0.data 1553670748 # number of demand (read+write) MSHR miss cycles
+system.cpu0.l2cache.demand_mshr_miss_latency::total 1786439502 # number of demand (read+write) MSHR miss cycles
+system.cpu0.l2cache.overall_mshr_miss_latency::cpu0.dtb.walker 3322000 # number of overall MSHR miss cycles
+system.cpu0.l2cache.overall_mshr_miss_latency::cpu0.itb.walker 2285000 # number of overall MSHR miss cycles
+system.cpu0.l2cache.overall_mshr_miss_latency::cpu0.inst 227161754 # number of overall MSHR miss cycles
+system.cpu0.l2cache.overall_mshr_miss_latency::cpu0.data 1553670748 # number of overall MSHR miss cycles
+system.cpu0.l2cache.overall_mshr_miss_latency::cpu0.l2cache.prefetcher 10450561115 # number of overall MSHR miss cycles
+system.cpu0.l2cache.overall_mshr_miss_latency::total 12237000617 # number of overall MSHR miss cycles
+system.cpu0.l2cache.ReadReq_mshr_uncacheable_latency::cpu0.inst 478295250 # number of ReadReq MSHR uncacheable cycles
+system.cpu0.l2cache.ReadReq_mshr_uncacheable_latency::cpu0.data 176453658508 # number of ReadReq MSHR uncacheable cycles
+system.cpu0.l2cache.ReadReq_mshr_uncacheable_latency::total 176931953758 # number of ReadReq MSHR uncacheable cycles
+system.cpu0.l2cache.WriteReq_mshr_uncacheable_latency::cpu0.data 1575154999 # number of WriteReq MSHR uncacheable cycles
+system.cpu0.l2cache.WriteReq_mshr_uncacheable_latency::total 1575154999 # number of WriteReq MSHR uncacheable cycles
+system.cpu0.l2cache.overall_mshr_uncacheable_latency::cpu0.inst 478295250 # number of overall MSHR uncacheable cycles
+system.cpu0.l2cache.overall_mshr_uncacheable_latency::cpu0.data 178028813507 # number of overall MSHR uncacheable cycles
+system.cpu0.l2cache.overall_mshr_uncacheable_latency::total 178507108757 # number of overall MSHR uncacheable cycles
+system.cpu0.l2cache.ReadReq_mshr_miss_rate::cpu0.dtb.walker 0.045345 # mshr miss rate for ReadReq accesses
+system.cpu0.l2cache.ReadReq_mshr_miss_rate::cpu0.itb.walker 0.057937 # mshr miss rate for ReadReq accesses
+system.cpu0.l2cache.ReadReq_mshr_miss_rate::cpu0.inst 0.020287 # mshr miss rate for ReadReq accesses
+system.cpu0.l2cache.ReadReq_mshr_miss_rate::cpu0.data 0.204627 # mshr miss rate for ReadReq accesses
+system.cpu0.l2cache.ReadReq_mshr_miss_rate::total 0.090970 # mshr miss rate for ReadReq accesses
+system.cpu0.l2cache.Writeback_mshr_miss_rate::writebacks 0.000007 # mshr miss rate for Writeback accesses
+system.cpu0.l2cache.Writeback_mshr_miss_rate::total 0.000007 # mshr miss rate for Writeback accesses
+system.cpu0.l2cache.HardPFReq_mshr_miss_rate::cpu0.l2cache.prefetcher inf # mshr miss rate for HardPFReq accesses
+system.cpu0.l2cache.HardPFReq_mshr_miss_rate::total inf # mshr miss rate for HardPFReq accesses
+system.cpu0.l2cache.UpgradeReq_mshr_miss_rate::cpu0.data 0.766332 # mshr miss rate for UpgradeReq accesses
+system.cpu0.l2cache.UpgradeReq_mshr_miss_rate::total 0.766332 # mshr miss rate for UpgradeReq accesses
+system.cpu0.l2cache.SCUpgradeReq_mshr_miss_rate::cpu0.data 0.925498 # mshr miss rate for SCUpgradeReq accesses
+system.cpu0.l2cache.SCUpgradeReq_mshr_miss_rate::total 0.925498 # mshr miss rate for SCUpgradeReq accesses
+system.cpu0.l2cache.SCUpgradeFailReq_mshr_miss_rate::cpu0.data 1 # mshr miss rate for SCUpgradeFailReq accesses
+system.cpu0.l2cache.SCUpgradeFailReq_mshr_miss_rate::total 1 # mshr miss rate for SCUpgradeFailReq accesses
+system.cpu0.l2cache.ReadExReq_mshr_miss_rate::cpu0.data 0.149554 # mshr miss rate for ReadExReq accesses
+system.cpu0.l2cache.ReadExReq_mshr_miss_rate::total 0.149554 # mshr miss rate for ReadExReq accesses
+system.cpu0.l2cache.demand_mshr_miss_rate::cpu0.dtb.walker 0.045345 # mshr miss rate for demand accesses
+system.cpu0.l2cache.demand_mshr_miss_rate::cpu0.itb.walker 0.057937 # mshr miss rate for demand accesses
+system.cpu0.l2cache.demand_mshr_miss_rate::cpu0.inst 0.020287 # mshr miss rate for demand accesses
+system.cpu0.l2cache.demand_mshr_miss_rate::cpu0.data 0.182366 # mshr miss rate for demand accesses
+system.cpu0.l2cache.demand_mshr_miss_rate::total 0.103015 # mshr miss rate for demand accesses
+system.cpu0.l2cache.overall_mshr_miss_rate::cpu0.dtb.walker 0.045345 # mshr miss rate for overall accesses
+system.cpu0.l2cache.overall_mshr_miss_rate::cpu0.itb.walker 0.057937 # mshr miss rate for overall accesses
+system.cpu0.l2cache.overall_mshr_miss_rate::cpu0.inst 0.020287 # mshr miss rate for overall accesses
+system.cpu0.l2cache.overall_mshr_miss_rate::cpu0.data 0.182366 # mshr miss rate for overall accesses
+system.cpu0.l2cache.overall_mshr_miss_rate::cpu0.l2cache.prefetcher inf # mshr miss rate for overall accesses
+system.cpu0.l2cache.overall_mshr_miss_rate::total 0.431289 # mshr miss rate for overall accesses
+system.cpu0.l2cache.ReadReq_avg_mshr_miss_latency::cpu0.dtb.walker 14764.444444 # average ReadReq mshr miss latency
+system.cpu0.l2cache.ReadReq_avg_mshr_miss_latency::cpu0.itb.walker 15650.684932 # average ReadReq mshr miss latency
+system.cpu0.l2cache.ReadReq_avg_mshr_miss_latency::cpu0.inst 30284.195974 # average ReadReq mshr miss latency
+system.cpu0.l2cache.ReadReq_avg_mshr_miss_latency::cpu0.data 19133.451133 # average ReadReq mshr miss latency
+system.cpu0.l2cache.ReadReq_avg_mshr_miss_latency::total 20614.142301 # average ReadReq mshr miss latency
+system.cpu0.l2cache.HardPFReq_avg_mshr_miss_latency::cpu0.l2cache.prefetcher 41466.037825 # average HardPFReq mshr miss latency
+system.cpu0.l2cache.HardPFReq_avg_mshr_miss_latency::total 41466.037825 # average HardPFReq mshr miss latency
+system.cpu0.l2cache.UpgradeReq_avg_mshr_miss_latency::cpu0.data 17949.182124 # average UpgradeReq mshr miss latency
+system.cpu0.l2cache.UpgradeReq_avg_mshr_miss_latency::total 17949.182124 # average UpgradeReq mshr miss latency
+system.cpu0.l2cache.SCUpgradeReq_avg_mshr_miss_latency::cpu0.data 14531.756466 # average SCUpgradeReq mshr miss latency
+system.cpu0.l2cache.SCUpgradeReq_avg_mshr_miss_latency::total 14531.756466 # average SCUpgradeReq mshr miss latency
+system.cpu0.l2cache.SCUpgradeFailReq_avg_mshr_miss_latency::cpu0.data 444000 # average SCUpgradeFailReq mshr miss latency
+system.cpu0.l2cache.SCUpgradeFailReq_avg_mshr_miss_latency::total 444000 # average SCUpgradeFailReq mshr miss latency
+system.cpu0.l2cache.ReadExReq_avg_mshr_miss_latency::cpu0.data 27226.935782 # average ReadExReq mshr miss latency
+system.cpu0.l2cache.ReadExReq_avg_mshr_miss_latency::total 27226.935782 # average ReadExReq mshr miss latency
+system.cpu0.l2cache.demand_avg_mshr_miss_latency::cpu0.dtb.walker 14764.444444 # average overall mshr miss latency
+system.cpu0.l2cache.demand_avg_mshr_miss_latency::cpu0.itb.walker 15650.684932 # average overall mshr miss latency
+system.cpu0.l2cache.demand_avg_mshr_miss_latency::cpu0.inst 30284.195974 # average overall mshr miss latency
+system.cpu0.l2cache.demand_avg_mshr_miss_latency::cpu0.data 21816.315828 # average overall mshr miss latency
+system.cpu0.l2cache.demand_avg_mshr_miss_latency::total 22587.996940 # average overall mshr miss latency
+system.cpu0.l2cache.overall_avg_mshr_miss_latency::cpu0.dtb.walker 14764.444444 # average overall mshr miss latency
+system.cpu0.l2cache.overall_avg_mshr_miss_latency::cpu0.itb.walker 15650.684932 # average overall mshr miss latency
+system.cpu0.l2cache.overall_avg_mshr_miss_latency::cpu0.inst 30284.195974 # average overall mshr miss latency
+system.cpu0.l2cache.overall_avg_mshr_miss_latency::cpu0.data 21816.315828 # average overall mshr miss latency
+system.cpu0.l2cache.overall_avg_mshr_miss_latency::cpu0.l2cache.prefetcher 41466.037825 # average overall mshr miss latency
+system.cpu0.l2cache.overall_avg_mshr_miss_latency::total 36956.950356 # average overall mshr miss latency
+system.cpu0.l2cache.ReadReq_avg_mshr_uncacheable_latency::cpu0.inst inf # average ReadReq mshr uncacheable latency
+system.cpu0.l2cache.ReadReq_avg_mshr_uncacheable_latency::cpu0.data inf # average ReadReq mshr uncacheable latency
+system.cpu0.l2cache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
+system.cpu0.l2cache.WriteReq_avg_mshr_uncacheable_latency::cpu0.data inf # average WriteReq mshr uncacheable latency
+system.cpu0.l2cache.WriteReq_avg_mshr_uncacheable_latency::total inf # average WriteReq mshr uncacheable latency
+system.cpu0.l2cache.overall_avg_mshr_uncacheable_latency::cpu0.inst inf # average overall mshr uncacheable latency
+system.cpu0.l2cache.overall_avg_mshr_uncacheable_latency::cpu0.data inf # average overall mshr uncacheable latency
+system.cpu0.l2cache.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
+system.cpu0.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu0.dcache.tags.replacements 355829 # number of replacements
+system.cpu0.dcache.tags.tagsinuse 496.967445 # Cycle average of tags in use
+system.cpu0.dcache.tags.total_refs 11721464 # Total number of references to valid blocks.
+system.cpu0.dcache.tags.sampled_refs 356159 # Sample count of references to valid blocks.
+system.cpu0.dcache.tags.avg_refs 32.910762 # Average number of references to valid blocks.
+system.cpu0.dcache.tags.warmup_cycle 767187000 # Cycle when the warmup percentage was hit.
+system.cpu0.dcache.tags.occ_blocks::cpu0.data 496.967445 # Average occupied blocks per requestor
+system.cpu0.dcache.tags.occ_percent::cpu0.data 0.970640 # Average percentage of cache occupancy
+system.cpu0.dcache.tags.occ_percent::total 0.970640 # Average percentage of cache occupancy
+system.cpu0.dcache.tags.occ_task_id_blocks::1024 330 # Occupied blocks per task id
+system.cpu0.dcache.tags.age_task_id_blocks_1024::2 330 # Occupied blocks per task id
+system.cpu0.dcache.tags.occ_task_id_percent::1024 0.644531 # Percentage of cache occupancy per task id
+system.cpu0.dcache.tags.tag_accesses 24668842 # Number of tag accesses
+system.cpu0.dcache.tags.data_accesses 24668842 # Number of data accesses
+system.cpu0.dcache.ReadReq_hits::cpu0.data 5548461 # number of ReadReq hits
+system.cpu0.dcache.ReadReq_hits::total 5548461 # number of ReadReq hits
+system.cpu0.dcache.WriteReq_hits::cpu0.data 5771889 # number of WriteReq hits
+system.cpu0.dcache.WriteReq_hits::total 5771889 # number of WriteReq hits
+system.cpu0.dcache.SoftPFReq_hits::cpu0.data 62661 # number of SoftPFReq hits
+system.cpu0.dcache.SoftPFReq_hits::total 62661 # number of SoftPFReq hits
+system.cpu0.dcache.LoadLockedReq_hits::cpu0.data 153118 # number of LoadLockedReq hits
+system.cpu0.dcache.LoadLockedReq_hits::total 153118 # number of LoadLockedReq hits
+system.cpu0.dcache.StoreCondReq_hits::cpu0.data 152372 # number of StoreCondReq hits
+system.cpu0.dcache.StoreCondReq_hits::total 152372 # number of StoreCondReq hits
+system.cpu0.dcache.demand_hits::cpu0.data 11320350 # number of demand (read+write) hits
+system.cpu0.dcache.demand_hits::total 11320350 # number of demand (read+write) hits
+system.cpu0.dcache.overall_hits::cpu0.data 11383011 # number of overall hits
+system.cpu0.dcache.overall_hits::total 11383011 # number of overall hits
+system.cpu0.dcache.ReadReq_misses::cpu0.data 178532 # number of ReadReq misses
+system.cpu0.dcache.ReadReq_misses::total 178532 # number of ReadReq misses
+system.cpu0.dcache.WriteReq_misses::cpu0.data 183693 # number of WriteReq misses
+system.cpu0.dcache.WriteReq_misses::total 183693 # number of WriteReq misses
+system.cpu0.dcache.SoftPFReq_misses::cpu0.data 66756 # number of SoftPFReq misses
+system.cpu0.dcache.SoftPFReq_misses::total 66756 # number of SoftPFReq misses
+system.cpu0.dcache.LoadLockedReq_misses::cpu0.data 10498 # number of LoadLockedReq misses
+system.cpu0.dcache.LoadLockedReq_misses::total 10498 # number of LoadLockedReq misses
+system.cpu0.dcache.StoreCondReq_misses::cpu0.data 11173 # number of StoreCondReq misses
+system.cpu0.dcache.StoreCondReq_misses::total 11173 # number of StoreCondReq misses
+system.cpu0.dcache.demand_misses::cpu0.data 362225 # number of demand (read+write) misses
+system.cpu0.dcache.demand_misses::total 362225 # number of demand (read+write) misses
+system.cpu0.dcache.overall_misses::cpu0.data 428981 # number of overall misses
+system.cpu0.dcache.overall_misses::total 428981 # number of overall misses
+system.cpu0.dcache.ReadReq_miss_latency::cpu0.data 2139066005 # number of ReadReq miss cycles
+system.cpu0.dcache.ReadReq_miss_latency::total 2139066005 # number of ReadReq miss cycles
+system.cpu0.dcache.WriteReq_miss_latency::cpu0.data 2832298001 # number of WriteReq miss cycles
+system.cpu0.dcache.WriteReq_miss_latency::total 2832298001 # number of WriteReq miss cycles
+system.cpu0.dcache.LoadLockedReq_miss_latency::cpu0.data 176126000 # number of LoadLockedReq miss cycles
+system.cpu0.dcache.LoadLockedReq_miss_latency::total 176126000 # number of LoadLockedReq miss cycles
+system.cpu0.dcache.StoreCondReq_miss_latency::cpu0.data 261398841 # number of StoreCondReq miss cycles
+system.cpu0.dcache.StoreCondReq_miss_latency::total 261398841 # number of StoreCondReq miss cycles
+system.cpu0.dcache.StoreCondFailReq_miss_latency::cpu0.data 1128000 # number of StoreCondFailReq miss cycles
+system.cpu0.dcache.StoreCondFailReq_miss_latency::total 1128000 # number of StoreCondFailReq miss cycles
+system.cpu0.dcache.demand_miss_latency::cpu0.data 4971364006 # number of demand (read+write) miss cycles
+system.cpu0.dcache.demand_miss_latency::total 4971364006 # number of demand (read+write) miss cycles
+system.cpu0.dcache.overall_miss_latency::cpu0.data 4971364006 # number of overall miss cycles
+system.cpu0.dcache.overall_miss_latency::total 4971364006 # number of overall miss cycles
+system.cpu0.dcache.ReadReq_accesses::cpu0.data 5726993 # number of ReadReq accesses(hits+misses)
+system.cpu0.dcache.ReadReq_accesses::total 5726993 # number of ReadReq accesses(hits+misses)
+system.cpu0.dcache.WriteReq_accesses::cpu0.data 5955582 # number of WriteReq accesses(hits+misses)
+system.cpu0.dcache.WriteReq_accesses::total 5955582 # number of WriteReq accesses(hits+misses)
+system.cpu0.dcache.SoftPFReq_accesses::cpu0.data 129417 # number of SoftPFReq accesses(hits+misses)
+system.cpu0.dcache.SoftPFReq_accesses::total 129417 # number of SoftPFReq accesses(hits+misses)
+system.cpu0.dcache.LoadLockedReq_accesses::cpu0.data 163616 # number of LoadLockedReq accesses(hits+misses)
+system.cpu0.dcache.LoadLockedReq_accesses::total 163616 # number of LoadLockedReq accesses(hits+misses)
+system.cpu0.dcache.StoreCondReq_accesses::cpu0.data 163545 # number of StoreCondReq accesses(hits+misses)
+system.cpu0.dcache.StoreCondReq_accesses::total 163545 # number of StoreCondReq accesses(hits+misses)
+system.cpu0.dcache.demand_accesses::cpu0.data 11682575 # number of demand (read+write) accesses
+system.cpu0.dcache.demand_accesses::total 11682575 # number of demand (read+write) accesses
+system.cpu0.dcache.overall_accesses::cpu0.data 11811992 # number of overall (read+write) accesses
+system.cpu0.dcache.overall_accesses::total 11811992 # number of overall (read+write) accesses
+system.cpu0.dcache.ReadReq_miss_rate::cpu0.data 0.031174 # miss rate for ReadReq accesses
+system.cpu0.dcache.ReadReq_miss_rate::total 0.031174 # miss rate for ReadReq accesses
+system.cpu0.dcache.WriteReq_miss_rate::cpu0.data 0.030844 # miss rate for WriteReq accesses
+system.cpu0.dcache.WriteReq_miss_rate::total 0.030844 # miss rate for WriteReq accesses
+system.cpu0.dcache.SoftPFReq_miss_rate::cpu0.data 0.515821 # miss rate for SoftPFReq accesses
+system.cpu0.dcache.SoftPFReq_miss_rate::total 0.515821 # miss rate for SoftPFReq accesses
+system.cpu0.dcache.LoadLockedReq_miss_rate::cpu0.data 0.064162 # miss rate for LoadLockedReq accesses
+system.cpu0.dcache.LoadLockedReq_miss_rate::total 0.064162 # miss rate for LoadLockedReq accesses
+system.cpu0.dcache.StoreCondReq_miss_rate::cpu0.data 0.068318 # miss rate for StoreCondReq accesses
+system.cpu0.dcache.StoreCondReq_miss_rate::total 0.068318 # miss rate for StoreCondReq accesses
+system.cpu0.dcache.demand_miss_rate::cpu0.data 0.031006 # miss rate for demand accesses
+system.cpu0.dcache.demand_miss_rate::total 0.031006 # miss rate for demand accesses
+system.cpu0.dcache.overall_miss_rate::cpu0.data 0.036317 # miss rate for overall accesses
+system.cpu0.dcache.overall_miss_rate::total 0.036317 # miss rate for overall accesses
+system.cpu0.dcache.ReadReq_avg_miss_latency::cpu0.data 11981.415124 # average ReadReq miss latency
+system.cpu0.dcache.ReadReq_avg_miss_latency::total 11981.415124 # average ReadReq miss latency
+system.cpu0.dcache.WriteReq_avg_miss_latency::cpu0.data 15418.649600 # average WriteReq miss latency
+system.cpu0.dcache.WriteReq_avg_miss_latency::total 15418.649600 # average WriteReq miss latency
+system.cpu0.dcache.LoadLockedReq_avg_miss_latency::cpu0.data 16777.100400 # average LoadLockedReq miss latency
+system.cpu0.dcache.LoadLockedReq_avg_miss_latency::total 16777.100400 # average LoadLockedReq miss latency
+system.cpu0.dcache.StoreCondReq_avg_miss_latency::cpu0.data 23395.582297 # average StoreCondReq miss latency
+system.cpu0.dcache.StoreCondReq_avg_miss_latency::total 23395.582297 # average StoreCondReq miss latency
+system.cpu0.dcache.StoreCondFailReq_avg_miss_latency::cpu0.data inf # average StoreCondFailReq miss latency
+system.cpu0.dcache.StoreCondFailReq_avg_miss_latency::total inf # average StoreCondFailReq miss latency
+system.cpu0.dcache.demand_avg_miss_latency::cpu0.data 13724.519307 # average overall miss latency
+system.cpu0.dcache.demand_avg_miss_latency::total 13724.519307 # average overall miss latency
+system.cpu0.dcache.overall_avg_miss_latency::cpu0.data 11588.774342 # average overall miss latency
+system.cpu0.dcache.overall_avg_miss_latency::total 11588.774342 # average overall miss latency
system.cpu0.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu0.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu0.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -1316,78 +1696,82 @@ system.cpu0.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu0.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu0.dcache.fast_writes 0 # number of fast writes performed
system.cpu0.dcache.cache_copies 0 # number of cache copies performed
-system.cpu0.dcache.writebacks::writebacks 305747 # number of writebacks
-system.cpu0.dcache.writebacks::total 305747 # number of writebacks
-system.cpu0.dcache.ReadReq_mshr_hits::cpu0.data 276 # number of ReadReq MSHR hits
-system.cpu0.dcache.ReadReq_mshr_hits::total 276 # number of ReadReq MSHR hits
-system.cpu0.dcache.WriteReq_mshr_hits::cpu0.data 4042 # number of WriteReq MSHR hits
-system.cpu0.dcache.WriteReq_mshr_hits::total 4042 # number of WriteReq MSHR hits
-system.cpu0.dcache.demand_mshr_hits::cpu0.data 4318 # number of demand (read+write) MSHR hits
-system.cpu0.dcache.demand_mshr_hits::total 4318 # number of demand (read+write) MSHR hits
-system.cpu0.dcache.overall_mshr_hits::cpu0.data 4318 # number of overall MSHR hits
-system.cpu0.dcache.overall_mshr_hits::total 4318 # number of overall MSHR hits
-system.cpu0.dcache.ReadReq_mshr_misses::cpu0.data 178913 # number of ReadReq MSHR misses
-system.cpu0.dcache.ReadReq_mshr_misses::total 178913 # number of ReadReq MSHR misses
-system.cpu0.dcache.WriteReq_mshr_misses::cpu0.data 141380 # number of WriteReq MSHR misses
-system.cpu0.dcache.WriteReq_mshr_misses::total 141380 # number of WriteReq MSHR misses
-system.cpu0.dcache.SoftPFReq_mshr_misses::cpu0.data 48508 # number of SoftPFReq MSHR misses
-system.cpu0.dcache.SoftPFReq_mshr_misses::total 48508 # number of SoftPFReq MSHR misses
-system.cpu0.dcache.LoadLockedReq_mshr_misses::cpu0.data 9439 # number of LoadLockedReq MSHR misses
-system.cpu0.dcache.LoadLockedReq_mshr_misses::total 9439 # number of LoadLockedReq MSHR misses
-system.cpu0.dcache.StoreCondReq_mshr_misses::cpu0.data 7483 # number of StoreCondReq MSHR misses
-system.cpu0.dcache.StoreCondReq_mshr_misses::total 7483 # number of StoreCondReq MSHR misses
-system.cpu0.dcache.demand_mshr_misses::cpu0.data 320293 # number of demand (read+write) MSHR misses
-system.cpu0.dcache.demand_mshr_misses::total 320293 # number of demand (read+write) MSHR misses
-system.cpu0.dcache.overall_mshr_misses::cpu0.data 368801 # number of overall MSHR misses
-system.cpu0.dcache.overall_mshr_misses::total 368801 # number of overall MSHR misses
-system.cpu0.dcache.ReadReq_mshr_miss_latency::cpu0.data 1988652518 # number of ReadReq MSHR miss cycles
-system.cpu0.dcache.ReadReq_mshr_miss_latency::total 1988652518 # number of ReadReq MSHR miss cycles
-system.cpu0.dcache.WriteReq_mshr_miss_latency::cpu0.data 5320324110 # number of WriteReq MSHR miss cycles
-system.cpu0.dcache.WriteReq_mshr_miss_latency::total 5320324110 # number of WriteReq MSHR miss cycles
-system.cpu0.dcache.SoftPFReq_mshr_miss_latency::cpu0.data 853626758 # number of SoftPFReq MSHR miss cycles
-system.cpu0.dcache.SoftPFReq_mshr_miss_latency::total 853626758 # number of SoftPFReq MSHR miss cycles
-system.cpu0.dcache.LoadLockedReq_mshr_miss_latency::cpu0.data 75777251 # number of LoadLockedReq MSHR miss cycles
-system.cpu0.dcache.LoadLockedReq_mshr_miss_latency::total 75777251 # number of LoadLockedReq MSHR miss cycles
-system.cpu0.dcache.StoreCondReq_mshr_miss_latency::cpu0.data 29483433 # number of StoreCondReq MSHR miss cycles
-system.cpu0.dcache.StoreCondReq_mshr_miss_latency::total 29483433 # number of StoreCondReq MSHR miss cycles
-system.cpu0.dcache.demand_mshr_miss_latency::cpu0.data 7308976628 # number of demand (read+write) MSHR miss cycles
-system.cpu0.dcache.demand_mshr_miss_latency::total 7308976628 # number of demand (read+write) MSHR miss cycles
-system.cpu0.dcache.overall_mshr_miss_latency::cpu0.data 8162603386 # number of overall MSHR miss cycles
-system.cpu0.dcache.overall_mshr_miss_latency::total 8162603386 # number of overall MSHR miss cycles
-system.cpu0.dcache.ReadReq_mshr_uncacheable_latency::cpu0.data 13564535750 # number of ReadReq MSHR uncacheable cycles
-system.cpu0.dcache.ReadReq_mshr_uncacheable_latency::total 13564535750 # number of ReadReq MSHR uncacheable cycles
-system.cpu0.dcache.WriteReq_mshr_uncacheable_latency::cpu0.data 1170801000 # number of WriteReq MSHR uncacheable cycles
-system.cpu0.dcache.WriteReq_mshr_uncacheable_latency::total 1170801000 # number of WriteReq MSHR uncacheable cycles
-system.cpu0.dcache.overall_mshr_uncacheable_latency::cpu0.data 14735336750 # number of overall MSHR uncacheable cycles
-system.cpu0.dcache.overall_mshr_uncacheable_latency::total 14735336750 # number of overall MSHR uncacheable cycles
-system.cpu0.dcache.ReadReq_mshr_miss_rate::cpu0.data 0.031426 # mshr miss rate for ReadReq accesses
-system.cpu0.dcache.ReadReq_mshr_miss_rate::total 0.031426 # mshr miss rate for ReadReq accesses
-system.cpu0.dcache.WriteReq_mshr_miss_rate::cpu0.data 0.025773 # mshr miss rate for WriteReq accesses
-system.cpu0.dcache.WriteReq_mshr_miss_rate::total 0.025773 # mshr miss rate for WriteReq accesses
-system.cpu0.dcache.SoftPFReq_mshr_miss_rate::cpu0.data 0.379577 # mshr miss rate for SoftPFReq accesses
-system.cpu0.dcache.SoftPFReq_mshr_miss_rate::total 0.379577 # mshr miss rate for SoftPFReq accesses
-system.cpu0.dcache.LoadLockedReq_mshr_miss_rate::cpu0.data 0.059944 # mshr miss rate for LoadLockedReq accesses
-system.cpu0.dcache.LoadLockedReq_mshr_miss_rate::total 0.059944 # mshr miss rate for LoadLockedReq accesses
-system.cpu0.dcache.StoreCondReq_mshr_miss_rate::cpu0.data 0.047626 # mshr miss rate for StoreCondReq accesses
-system.cpu0.dcache.StoreCondReq_mshr_miss_rate::total 0.047626 # mshr miss rate for StoreCondReq accesses
-system.cpu0.dcache.demand_mshr_miss_rate::cpu0.data 0.028652 # mshr miss rate for demand accesses
-system.cpu0.dcache.demand_mshr_miss_rate::total 0.028652 # mshr miss rate for demand accesses
-system.cpu0.dcache.overall_mshr_miss_rate::cpu0.data 0.032618 # mshr miss rate for overall accesses
-system.cpu0.dcache.overall_mshr_miss_rate::total 0.032618 # mshr miss rate for overall accesses
-system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::cpu0.data 11115.192960 # average ReadReq mshr miss latency
-system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::total 11115.192960 # average ReadReq mshr miss latency
-system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::cpu0.data 37631.377210 # average WriteReq mshr miss latency
-system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::total 37631.377210 # average WriteReq mshr miss latency
-system.cpu0.dcache.SoftPFReq_avg_mshr_miss_latency::cpu0.data 17597.649006 # average SoftPFReq mshr miss latency
-system.cpu0.dcache.SoftPFReq_avg_mshr_miss_latency::total 17597.649006 # average SoftPFReq mshr miss latency
-system.cpu0.dcache.LoadLockedReq_avg_mshr_miss_latency::cpu0.data 8028.101600 # average LoadLockedReq mshr miss latency
-system.cpu0.dcache.LoadLockedReq_avg_mshr_miss_latency::total 8028.101600 # average LoadLockedReq mshr miss latency
-system.cpu0.dcache.StoreCondReq_avg_mshr_miss_latency::cpu0.data 3940.055192 # average StoreCondReq mshr miss latency
-system.cpu0.dcache.StoreCondReq_avg_mshr_miss_latency::total 3940.055192 # average StoreCondReq mshr miss latency
-system.cpu0.dcache.demand_avg_mshr_miss_latency::cpu0.data 22819.657713 # average overall mshr miss latency
-system.cpu0.dcache.demand_avg_mshr_miss_latency::total 22819.657713 # average overall mshr miss latency
-system.cpu0.dcache.overall_avg_mshr_miss_latency::cpu0.data 22132.812509 # average overall mshr miss latency
-system.cpu0.dcache.overall_avg_mshr_miss_latency::total 22132.812509 # average overall mshr miss latency
+system.cpu0.dcache.writebacks::writebacks 286365 # number of writebacks
+system.cpu0.dcache.writebacks::total 286365 # number of writebacks
+system.cpu0.dcache.ReadReq_mshr_hits::cpu0.data 3418 # number of ReadReq MSHR hits
+system.cpu0.dcache.ReadReq_mshr_hits::total 3418 # number of ReadReq MSHR hits
+system.cpu0.dcache.WriteReq_mshr_hits::cpu0.data 2438 # number of WriteReq MSHR hits
+system.cpu0.dcache.WriteReq_mshr_hits::total 2438 # number of WriteReq MSHR hits
+system.cpu0.dcache.demand_mshr_hits::cpu0.data 5856 # number of demand (read+write) MSHR hits
+system.cpu0.dcache.demand_mshr_hits::total 5856 # number of demand (read+write) MSHR hits
+system.cpu0.dcache.overall_mshr_hits::cpu0.data 5856 # number of overall MSHR hits
+system.cpu0.dcache.overall_mshr_hits::total 5856 # number of overall MSHR hits
+system.cpu0.dcache.ReadReq_mshr_misses::cpu0.data 175114 # number of ReadReq MSHR misses
+system.cpu0.dcache.ReadReq_mshr_misses::total 175114 # number of ReadReq MSHR misses
+system.cpu0.dcache.WriteReq_mshr_misses::cpu0.data 181255 # number of WriteReq MSHR misses
+system.cpu0.dcache.WriteReq_mshr_misses::total 181255 # number of WriteReq MSHR misses
+system.cpu0.dcache.SoftPFReq_mshr_misses::cpu0.data 47050 # number of SoftPFReq MSHR misses
+system.cpu0.dcache.SoftPFReq_mshr_misses::total 47050 # number of SoftPFReq MSHR misses
+system.cpu0.dcache.LoadLockedReq_mshr_misses::cpu0.data 10498 # number of LoadLockedReq MSHR misses
+system.cpu0.dcache.LoadLockedReq_mshr_misses::total 10498 # number of LoadLockedReq MSHR misses
+system.cpu0.dcache.StoreCondReq_mshr_misses::cpu0.data 11156 # number of StoreCondReq MSHR misses
+system.cpu0.dcache.StoreCondReq_mshr_misses::total 11156 # number of StoreCondReq MSHR misses
+system.cpu0.dcache.demand_mshr_misses::cpu0.data 356369 # number of demand (read+write) MSHR misses
+system.cpu0.dcache.demand_mshr_misses::total 356369 # number of demand (read+write) MSHR misses
+system.cpu0.dcache.overall_mshr_misses::cpu0.data 403419 # number of overall MSHR misses
+system.cpu0.dcache.overall_mshr_misses::total 403419 # number of overall MSHR misses
+system.cpu0.dcache.ReadReq_mshr_miss_latency::cpu0.data 1737360745 # number of ReadReq MSHR miss cycles
+system.cpu0.dcache.ReadReq_mshr_miss_latency::total 1737360745 # number of ReadReq MSHR miss cycles
+system.cpu0.dcache.WriteReq_mshr_miss_latency::cpu0.data 2335118999 # number of WriteReq MSHR miss cycles
+system.cpu0.dcache.WriteReq_mshr_miss_latency::total 2335118999 # number of WriteReq MSHR miss cycles
+system.cpu0.dcache.SoftPFReq_mshr_miss_latency::cpu0.data 699675494 # number of SoftPFReq MSHR miss cycles
+system.cpu0.dcache.SoftPFReq_mshr_miss_latency::total 699675494 # number of SoftPFReq MSHR miss cycles
+system.cpu0.dcache.LoadLockedReq_mshr_miss_latency::cpu0.data 155125000 # number of LoadLockedReq MSHR miss cycles
+system.cpu0.dcache.LoadLockedReq_mshr_miss_latency::total 155125000 # number of LoadLockedReq MSHR miss cycles
+system.cpu0.dcache.StoreCondReq_mshr_miss_latency::cpu0.data 237977159 # number of StoreCondReq MSHR miss cycles
+system.cpu0.dcache.StoreCondReq_mshr_miss_latency::total 237977159 # number of StoreCondReq MSHR miss cycles
+system.cpu0.dcache.StoreCondFailReq_mshr_miss_latency::cpu0.data 1080000 # number of StoreCondFailReq MSHR miss cycles
+system.cpu0.dcache.StoreCondFailReq_mshr_miss_latency::total 1080000 # number of StoreCondFailReq MSHR miss cycles
+system.cpu0.dcache.demand_mshr_miss_latency::cpu0.data 4072479744 # number of demand (read+write) MSHR miss cycles
+system.cpu0.dcache.demand_mshr_miss_latency::total 4072479744 # number of demand (read+write) MSHR miss cycles
+system.cpu0.dcache.overall_mshr_miss_latency::cpu0.data 4772155238 # number of overall MSHR miss cycles
+system.cpu0.dcache.overall_mshr_miss_latency::total 4772155238 # number of overall MSHR miss cycles
+system.cpu0.dcache.ReadReq_mshr_uncacheable_latency::cpu0.data 185341734990 # number of ReadReq MSHR uncacheable cycles
+system.cpu0.dcache.ReadReq_mshr_uncacheable_latency::total 185341734990 # number of ReadReq MSHR uncacheable cycles
+system.cpu0.dcache.WriteReq_mshr_uncacheable_latency::cpu0.data 1669232496 # number of WriteReq MSHR uncacheable cycles
+system.cpu0.dcache.WriteReq_mshr_uncacheable_latency::total 1669232496 # number of WriteReq MSHR uncacheable cycles
+system.cpu0.dcache.overall_mshr_uncacheable_latency::cpu0.data 187010967486 # number of overall MSHR uncacheable cycles
+system.cpu0.dcache.overall_mshr_uncacheable_latency::total 187010967486 # number of overall MSHR uncacheable cycles
+system.cpu0.dcache.ReadReq_mshr_miss_rate::cpu0.data 0.030577 # mshr miss rate for ReadReq accesses
+system.cpu0.dcache.ReadReq_mshr_miss_rate::total 0.030577 # mshr miss rate for ReadReq accesses
+system.cpu0.dcache.WriteReq_mshr_miss_rate::cpu0.data 0.030434 # mshr miss rate for WriteReq accesses
+system.cpu0.dcache.WriteReq_mshr_miss_rate::total 0.030434 # mshr miss rate for WriteReq accesses
+system.cpu0.dcache.SoftPFReq_mshr_miss_rate::cpu0.data 0.363553 # mshr miss rate for SoftPFReq accesses
+system.cpu0.dcache.SoftPFReq_mshr_miss_rate::total 0.363553 # mshr miss rate for SoftPFReq accesses
+system.cpu0.dcache.LoadLockedReq_mshr_miss_rate::cpu0.data 0.064162 # mshr miss rate for LoadLockedReq accesses
+system.cpu0.dcache.LoadLockedReq_mshr_miss_rate::total 0.064162 # mshr miss rate for LoadLockedReq accesses
+system.cpu0.dcache.StoreCondReq_mshr_miss_rate::cpu0.data 0.068214 # mshr miss rate for StoreCondReq accesses
+system.cpu0.dcache.StoreCondReq_mshr_miss_rate::total 0.068214 # mshr miss rate for StoreCondReq accesses
+system.cpu0.dcache.demand_mshr_miss_rate::cpu0.data 0.030504 # mshr miss rate for demand accesses
+system.cpu0.dcache.demand_mshr_miss_rate::total 0.030504 # mshr miss rate for demand accesses
+system.cpu0.dcache.overall_mshr_miss_rate::cpu0.data 0.034153 # mshr miss rate for overall accesses
+system.cpu0.dcache.overall_mshr_miss_rate::total 0.034153 # mshr miss rate for overall accesses
+system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::cpu0.data 9921.312659 # average ReadReq mshr miss latency
+system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::total 9921.312659 # average ReadReq mshr miss latency
+system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::cpu0.data 12883.059772 # average WriteReq mshr miss latency
+system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::total 12883.059772 # average WriteReq mshr miss latency
+system.cpu0.dcache.SoftPFReq_avg_mshr_miss_latency::cpu0.data 14870.892540 # average SoftPFReq mshr miss latency
+system.cpu0.dcache.SoftPFReq_avg_mshr_miss_latency::total 14870.892540 # average SoftPFReq mshr miss latency
+system.cpu0.dcache.LoadLockedReq_avg_mshr_miss_latency::cpu0.data 14776.624119 # average LoadLockedReq mshr miss latency
+system.cpu0.dcache.LoadLockedReq_avg_mshr_miss_latency::total 14776.624119 # average LoadLockedReq mshr miss latency
+system.cpu0.dcache.StoreCondReq_avg_mshr_miss_latency::cpu0.data 21331.763984 # average StoreCondReq mshr miss latency
+system.cpu0.dcache.StoreCondReq_avg_mshr_miss_latency::total 21331.763984 # average StoreCondReq mshr miss latency
+system.cpu0.dcache.StoreCondFailReq_avg_mshr_miss_latency::cpu0.data inf # average StoreCondFailReq mshr miss latency
+system.cpu0.dcache.StoreCondFailReq_avg_mshr_miss_latency::total inf # average StoreCondFailReq mshr miss latency
+system.cpu0.dcache.demand_avg_mshr_miss_latency::cpu0.data 11427.704834 # average overall mshr miss latency
+system.cpu0.dcache.demand_avg_mshr_miss_latency::total 11427.704834 # average overall mshr miss latency
+system.cpu0.dcache.overall_avg_mshr_miss_latency::cpu0.data 11829.277347 # average overall mshr miss latency
+system.cpu0.dcache.overall_avg_mshr_miss_latency::total 11829.277347 # average overall mshr miss latency
system.cpu0.dcache.ReadReq_avg_mshr_uncacheable_latency::cpu0.data inf # average ReadReq mshr uncacheable latency
system.cpu0.dcache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu0.dcache.WriteReq_avg_mshr_uncacheable_latency::cpu0.data inf # average WriteReq mshr uncacheable latency
@@ -1395,6 +1779,57 @@ system.cpu0.dcache.WriteReq_avg_mshr_uncacheable_latency::total inf
system.cpu0.dcache.overall_avg_mshr_uncacheable_latency::cpu0.data inf # average overall mshr uncacheable latency
system.cpu0.dcache.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu0.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu0.toL2Bus.trans_dist::ReadReq 1907557 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::ReadResp 1767698 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::WriteReq 12543 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::WriteResp 12543 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::Writeback 286363 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::HardPFReq 331583 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::UpgradeReq 53089 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::SCUpgradeReq 23925 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::UpgradeResp 60027 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::SCUpgradeFailReq 17 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::UpgradeFailResp 39 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::ReadExReq 171374 # Transaction distribution
+system.cpu0.toL2Bus.trans_dist::ReadExResp 163301 # Transaction distribution
+system.cpu0.toL2Bus.pkt_count_system.cpu0.icache.mem_side::system.cpu0.l2cache.cpu_side 753056 # Packet count per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_count_system.cpu0.dcache.mem_side::system.cpu0.l2cache.cpu_side 3449820 # Packet count per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_count_system.cpu0.itb.walker.dma::system.cpu0.l2cache.cpu_side 6852 # Packet count per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_count_system.cpu0.dtb.walker.dma::system.cpu0.l2cache.cpu_side 13348 # Packet count per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_count::total 4223076 # Packet count per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_size_system.cpu0.icache.mem_side::system.cpu0.l2cache.cpu_side 23690016 # Cumulative packet size per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_size_system.cpu0.dcache.mem_side::system.cpu0.l2cache.cpu_side 48159078 # Cumulative packet size per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_size_system.cpu0.itb.walker.dma::system.cpu0.l2cache.cpu_side 10080 # Cumulative packet size per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_size_system.cpu0.dtb.walker.dma::system.cpu0.l2cache.cpu_side 19848 # Cumulative packet size per connected master and slave (bytes)
+system.cpu0.toL2Bus.pkt_size::total 71879022 # Cumulative packet size per connected master and slave (bytes)
+system.cpu0.toL2Bus.snoops 631972 # Total snoops (count)
+system.cpu0.toL2Bus.snoop_fanout::samples 1656253 # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::mean 5.339000 # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::stdev 0.473370 # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::5 1094784 66.10% 66.10% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::6 561469 33.90% 100.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::min_value 5 # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::max_value 6 # Request fanout histogram
+system.cpu0.toL2Bus.snoop_fanout::total 1656253 # Request fanout histogram
+system.cpu0.toL2Bus.reqLayer0.occupancy 1405252745 # Layer occupancy (ticks)
+system.cpu0.toL2Bus.reqLayer0.utilization 0.1 # Layer utilization (%)
+system.cpu0.toL2Bus.snoopLayer0.occupancy 72604500 # Layer occupancy (ticks)
+system.cpu0.toL2Bus.snoopLayer0.utilization 0.0 # Layer utilization (%)
+system.cpu0.toL2Bus.respLayer0.occupancy 563408502 # Layer occupancy (ticks)
+system.cpu0.toL2Bus.respLayer0.utilization 0.0 # Layer utilization (%)
+system.cpu0.toL2Bus.respLayer1.occupancy 1726182117 # Layer occupancy (ticks)
+system.cpu0.toL2Bus.respLayer1.utilization 0.1 # Layer utilization (%)
+system.cpu0.toL2Bus.respLayer2.occupancy 4332000 # Layer occupancy (ticks)
+system.cpu0.toL2Bus.respLayer2.utilization 0.0 # Layer utilization (%)
+system.cpu0.toL2Bus.respLayer3.occupancy 8386000 # Layer occupancy (ticks)
+system.cpu0.toL2Bus.respLayer3.utilization 0.0 # Layer utilization (%)
system.cpu1.dstage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu1.dstage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu1.dstage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -1418,25 +1853,25 @@ system.cpu1.dstage2_mmu.stage2_tlb.misses 0 # D
system.cpu1.dstage2_mmu.stage2_tlb.accesses 0 # DTB accesses
system.cpu1.dtb.inst_hits 0 # ITB inst hits
system.cpu1.dtb.inst_misses 0 # ITB inst misses
-system.cpu1.dtb.read_hits 7408792 # DTB read hits
-system.cpu1.dtb.read_misses 3640 # DTB read misses
-system.cpu1.dtb.write_hits 5825509 # DTB write hits
-system.cpu1.dtb.write_misses 1435 # DTB write misses
+system.cpu1.dtb.read_hits 6599972 # DTB read hits
+system.cpu1.dtb.read_misses 3720 # DTB read misses
+system.cpu1.dtb.write_hits 5539858 # DTB write hits
+system.cpu1.dtb.write_misses 1581 # DTB write misses
system.cpu1.dtb.flush_tlb 4 # Number of times complete TLB was flushed
system.cpu1.dtb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
system.cpu1.dtb.flush_tlb_mva_asid 1439 # Number of times TLB was flushed by MVA & ASID
system.cpu1.dtb.flush_tlb_asid 63 # Number of times TLB was flushed by ASID
-system.cpu1.dtb.flush_entries 1866 # Number of entries that have been flushed from TLB
+system.cpu1.dtb.flush_entries 1672 # Number of entries that have been flushed from TLB
system.cpu1.dtb.align_faults 0 # Number of TLB faults due to alignment restrictions
-system.cpu1.dtb.prefetch_faults 141 # Number of TLB faults due to prefetch
+system.cpu1.dtb.prefetch_faults 123 # Number of TLB faults due to prefetch
system.cpu1.dtb.domain_faults 0 # Number of TLB faults due to domain restrictions
-system.cpu1.dtb.perms_faults 248 # Number of TLB faults due to permissions restrictions
-system.cpu1.dtb.read_accesses 7412432 # DTB read accesses
-system.cpu1.dtb.write_accesses 5826944 # DTB write accesses
+system.cpu1.dtb.perms_faults 204 # Number of TLB faults due to permissions restrictions
+system.cpu1.dtb.read_accesses 6603692 # DTB read accesses
+system.cpu1.dtb.write_accesses 5541439 # DTB write accesses
system.cpu1.dtb.inst_accesses 0 # ITB inst accesses
-system.cpu1.dtb.hits 13234301 # DTB hits
-system.cpu1.dtb.misses 5075 # DTB misses
-system.cpu1.dtb.accesses 13239376 # DTB accesses
+system.cpu1.dtb.hits 12139830 # DTB hits
+system.cpu1.dtb.misses 5301 # DTB misses
+system.cpu1.dtb.accesses 12145131 # DTB accesses
system.cpu1.istage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu1.istage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu1.istage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -1458,8 +1893,8 @@ system.cpu1.istage2_mmu.stage2_tlb.inst_accesses 0
system.cpu1.istage2_mmu.stage2_tlb.hits 0 # DTB hits
system.cpu1.istage2_mmu.stage2_tlb.misses 0 # DTB misses
system.cpu1.istage2_mmu.stage2_tlb.accesses 0 # DTB accesses
-system.cpu1.itb.inst_hits 33190882 # ITB inst hits
-system.cpu1.itb.inst_misses 2171 # ITB inst misses
+system.cpu1.itb.inst_hits 32728613 # ITB inst hits
+system.cpu1.itb.inst_misses 2200 # ITB inst misses
system.cpu1.itb.read_hits 0 # DTB read hits
system.cpu1.itb.read_misses 0 # DTB read misses
system.cpu1.itb.write_hits 0 # DTB write hits
@@ -1468,130 +1903,132 @@ system.cpu1.itb.flush_tlb 4 # Nu
system.cpu1.itb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
system.cpu1.itb.flush_tlb_mva_asid 1439 # Number of times TLB was flushed by MVA & ASID
system.cpu1.itb.flush_tlb_asid 63 # Number of times TLB was flushed by ASID
-system.cpu1.itb.flush_entries 1276 # Number of entries that have been flushed from TLB
+system.cpu1.itb.flush_entries 1176 # Number of entries that have been flushed from TLB
system.cpu1.itb.align_faults 0 # Number of TLB faults due to alignment restrictions
system.cpu1.itb.prefetch_faults 0 # Number of TLB faults due to prefetch
system.cpu1.itb.domain_faults 0 # Number of TLB faults due to domain restrictions
system.cpu1.itb.perms_faults 0 # Number of TLB faults due to permissions restrictions
system.cpu1.itb.read_accesses 0 # DTB read accesses
system.cpu1.itb.write_accesses 0 # DTB write accesses
-system.cpu1.itb.inst_accesses 33193053 # ITB inst accesses
-system.cpu1.itb.hits 33190882 # DTB hits
-system.cpu1.itb.misses 2171 # DTB misses
-system.cpu1.itb.accesses 33193053 # DTB accesses
-system.cpu1.numCycles 2387219429 # number of cpu cycles simulated
+system.cpu1.itb.inst_accesses 32730813 # ITB inst accesses
+system.cpu1.itb.hits 32728613 # DTB hits
+system.cpu1.itb.misses 2200 # DTB misses
+system.cpu1.itb.accesses 32730813 # DTB accesses
+system.cpu1.numCycles 5350361558 # number of cpu cycles simulated
system.cpu1.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu1.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu1.committedInsts 32579955 # Number of instructions committed
-system.cpu1.committedOps 38765002 # Number of ops (including micro ops) committed
-system.cpu1.num_int_alu_accesses 35167643 # Number of integer alu accesses
-system.cpu1.num_fp_alu_accesses 6793 # Number of float alu accesses
-system.cpu1.num_func_calls 962341 # number of times a function call or return occured
-system.cpu1.num_conditional_control_insts 3529676 # number of instructions that are conditional controls
-system.cpu1.num_int_insts 35167643 # number of integer instructions
-system.cpu1.num_fp_insts 6793 # number of float instructions
-system.cpu1.num_int_register_reads 64976079 # number of times the integer registers were read
-system.cpu1.num_int_register_writes 23977665 # number of times the integer registers were written
-system.cpu1.num_fp_register_reads 4535 # number of times the floating registers were read
-system.cpu1.num_fp_register_writes 2260 # number of times the floating registers were written
-system.cpu1.num_cc_register_reads 139669414 # number of times the CC registers were read
-system.cpu1.num_cc_register_writes 14465628 # number of times the CC registers were written
-system.cpu1.num_mem_refs 13620676 # number of memory refs
-system.cpu1.num_load_insts 7578910 # Number of load instructions
-system.cpu1.num_store_insts 6041766 # Number of store instructions
-system.cpu1.num_idle_cycles 1873842319.884373 # Number of idle cycles
-system.cpu1.num_busy_cycles 513377109.115627 # Number of busy cycles
-system.cpu1.not_idle_fraction 0.215052 # Percentage of non-idle cycles
-system.cpu1.idle_fraction 0.784948 # Percentage of idle cycles
-system.cpu1.Branches 4944984 # Number of branches fetched
-system.cpu1.op_class::No_OpClass 14265 0.04% 0.04% # Class of executed instruction
-system.cpu1.op_class::IntAlu 25564023 65.13% 65.17% # Class of executed instruction
-system.cpu1.op_class::IntMult 50133 0.13% 65.29% # Class of executed instruction
-system.cpu1.op_class::IntDiv 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::FloatAdd 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::FloatCmp 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::FloatCvt 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::FloatMult 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::FloatDiv 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::FloatSqrt 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdAdd 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdAddAcc 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdAlu 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdCmp 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdCvt 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdMisc 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdMult 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdMultAcc 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdShift 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdShiftAcc 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdSqrt 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdFloatAdd 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdFloatAlu 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdFloatCmp 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdFloatCvt 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdFloatDiv 0 0.00% 65.29% # Class of executed instruction
-system.cpu1.op_class::SimdFloatMisc 1482 0.00% 65.30% # Class of executed instruction
-system.cpu1.op_class::SimdFloatMult 0 0.00% 65.30% # Class of executed instruction
-system.cpu1.op_class::SimdFloatMultAcc 0 0.00% 65.30% # Class of executed instruction
-system.cpu1.op_class::SimdFloatSqrt 0 0.00% 65.30% # Class of executed instruction
-system.cpu1.op_class::MemRead 7578910 19.31% 84.61% # Class of executed instruction
-system.cpu1.op_class::MemWrite 6041766 15.39% 100.00% # Class of executed instruction
+system.cpu1.committedInsts 32086754 # Number of instructions committed
+system.cpu1.committedOps 37934299 # Number of ops (including micro ops) committed
+system.cpu1.num_int_alu_accesses 33961237 # Number of integer alu accesses
+system.cpu1.num_fp_alu_accesses 4436 # Number of float alu accesses
+system.cpu1.num_func_calls 973285 # number of times a function call or return occured
+system.cpu1.num_conditional_control_insts 3888456 # number of instructions that are conditional controls
+system.cpu1.num_int_insts 33961237 # number of integer instructions
+system.cpu1.num_fp_insts 4436 # number of float instructions
+system.cpu1.num_int_register_reads 60527961 # number of times the integer registers were read
+system.cpu1.num_int_register_writes 22681940 # number of times the integer registers were written
+system.cpu1.num_fp_register_reads 3022 # number of times the floating registers were read
+system.cpu1.num_fp_register_writes 1416 # number of times the floating registers were written
+system.cpu1.num_cc_register_reads 134686779 # number of times the CC registers were read
+system.cpu1.num_cc_register_writes 15567897 # number of times the CC registers were written
+system.cpu1.num_mem_refs 12531559 # number of memory refs
+system.cpu1.num_load_insts 6744563 # Number of load instructions
+system.cpu1.num_store_insts 5786996 # Number of store instructions
+system.cpu1.num_idle_cycles 5182201093.372063 # Number of idle cycles
+system.cpu1.num_busy_cycles 168160464.627937 # Number of busy cycles
+system.cpu1.not_idle_fraction 0.031430 # Percentage of non-idle cycles
+system.cpu1.idle_fraction 0.968570 # Percentage of idle cycles
+system.cpu1.Branches 5094014 # Number of branches fetched
+system.cpu1.op_class::No_OpClass 12501 0.03% 0.03% # Class of executed instruction
+system.cpu1.op_class::IntAlu 25826807 67.22% 67.25% # Class of executed instruction
+system.cpu1.op_class::IntMult 50699 0.13% 67.38% # Class of executed instruction
+system.cpu1.op_class::IntDiv 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::FloatAdd 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::FloatCmp 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::FloatCvt 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::FloatMult 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::FloatDiv 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::FloatSqrt 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdAdd 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdAddAcc 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdAlu 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdCmp 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdCvt 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdMisc 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdMult 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdMultAcc 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdShift 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdShiftAcc 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdSqrt 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdFloatAdd 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdFloatAlu 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdFloatCmp 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdFloatCvt 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdFloatDiv 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdFloatMisc 745 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdFloatMult 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdFloatMultAcc 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::SimdFloatSqrt 0 0.00% 67.38% # Class of executed instruction
+system.cpu1.op_class::MemRead 6744563 17.55% 84.94% # Class of executed instruction
+system.cpu1.op_class::MemWrite 5786996 15.06% 100.00% # Class of executed instruction
system.cpu1.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
system.cpu1.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu1.op_class::total 39250579 # Class of executed instruction
+system.cpu1.op_class::total 38422311 # Class of executed instruction
system.cpu1.kern.inst.arm 0 # number of arm instructions executed
-system.cpu1.kern.inst.quiesce 44258 # number of quiesce instructions executed
-system.cpu1.icache.tags.replacements 469324 # number of replacements
-system.cpu1.icache.tags.tagsinuse 478.642267 # Cycle average of tags in use
-system.cpu1.icache.tags.total_refs 32721042 # Total number of references to valid blocks.
-system.cpu1.icache.tags.sampled_refs 469836 # Sample count of references to valid blocks.
-system.cpu1.icache.tags.avg_refs 69.643539 # Average number of references to valid blocks.
-system.cpu1.icache.tags.warmup_cycle 93149552500 # Cycle when the warmup percentage was hit.
-system.cpu1.icache.tags.occ_blocks::cpu1.inst 478.642267 # Average occupied blocks per requestor
-system.cpu1.icache.tags.occ_percent::cpu1.inst 0.934848 # Average percentage of cache occupancy
-system.cpu1.icache.tags.occ_percent::total 0.934848 # Average percentage of cache occupancy
+system.cpu1.kern.inst.quiesce 40934 # number of quiesce instructions executed
+system.cpu1.icache.tags.replacements 375227 # number of replacements
+system.cpu1.icache.tags.tagsinuse 498.528279 # Cycle average of tags in use
+system.cpu1.icache.tags.total_refs 32352870 # Total number of references to valid blocks.
+system.cpu1.icache.tags.sampled_refs 375739 # Sample count of references to valid blocks.
+system.cpu1.icache.tags.avg_refs 86.104636 # Average number of references to valid blocks.
+system.cpu1.icache.tags.warmup_cycle 79843888000 # Cycle when the warmup percentage was hit.
+system.cpu1.icache.tags.occ_blocks::cpu1.inst 498.528279 # Average occupied blocks per requestor
+system.cpu1.icache.tags.occ_percent::cpu1.inst 0.973688 # Average percentage of cache occupancy
+system.cpu1.icache.tags.occ_percent::total 0.973688 # Average percentage of cache occupancy
system.cpu1.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu1.icache.tags.age_task_id_blocks_1024::2 456 # Occupied blocks per task id
-system.cpu1.icache.tags.age_task_id_blocks_1024::3 56 # Occupied blocks per task id
+system.cpu1.icache.tags.age_task_id_blocks_1024::0 108 # Occupied blocks per task id
+system.cpu1.icache.tags.age_task_id_blocks_1024::1 177 # Occupied blocks per task id
+system.cpu1.icache.tags.age_task_id_blocks_1024::2 223 # Occupied blocks per task id
+system.cpu1.icache.tags.age_task_id_blocks_1024::3 4 # Occupied blocks per task id
system.cpu1.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu1.icache.tags.tag_accesses 33660714 # Number of tag accesses
-system.cpu1.icache.tags.data_accesses 33660714 # Number of data accesses
-system.cpu1.icache.ReadReq_hits::cpu1.inst 32721042 # number of ReadReq hits
-system.cpu1.icache.ReadReq_hits::total 32721042 # number of ReadReq hits
-system.cpu1.icache.demand_hits::cpu1.inst 32721042 # number of demand (read+write) hits
-system.cpu1.icache.demand_hits::total 32721042 # number of demand (read+write) hits
-system.cpu1.icache.overall_hits::cpu1.inst 32721042 # number of overall hits
-system.cpu1.icache.overall_hits::total 32721042 # number of overall hits
-system.cpu1.icache.ReadReq_misses::cpu1.inst 469836 # number of ReadReq misses
-system.cpu1.icache.ReadReq_misses::total 469836 # number of ReadReq misses
-system.cpu1.icache.demand_misses::cpu1.inst 469836 # number of demand (read+write) misses
-system.cpu1.icache.demand_misses::total 469836 # number of demand (read+write) misses
-system.cpu1.icache.overall_misses::cpu1.inst 469836 # number of overall misses
-system.cpu1.icache.overall_misses::total 469836 # number of overall misses
-system.cpu1.icache.ReadReq_miss_latency::cpu1.inst 6435695955 # number of ReadReq miss cycles
-system.cpu1.icache.ReadReq_miss_latency::total 6435695955 # number of ReadReq miss cycles
-system.cpu1.icache.demand_miss_latency::cpu1.inst 6435695955 # number of demand (read+write) miss cycles
-system.cpu1.icache.demand_miss_latency::total 6435695955 # number of demand (read+write) miss cycles
-system.cpu1.icache.overall_miss_latency::cpu1.inst 6435695955 # number of overall miss cycles
-system.cpu1.icache.overall_miss_latency::total 6435695955 # number of overall miss cycles
-system.cpu1.icache.ReadReq_accesses::cpu1.inst 33190878 # number of ReadReq accesses(hits+misses)
-system.cpu1.icache.ReadReq_accesses::total 33190878 # number of ReadReq accesses(hits+misses)
-system.cpu1.icache.demand_accesses::cpu1.inst 33190878 # number of demand (read+write) accesses
-system.cpu1.icache.demand_accesses::total 33190878 # number of demand (read+write) accesses
-system.cpu1.icache.overall_accesses::cpu1.inst 33190878 # number of overall (read+write) accesses
-system.cpu1.icache.overall_accesses::total 33190878 # number of overall (read+write) accesses
-system.cpu1.icache.ReadReq_miss_rate::cpu1.inst 0.014156 # miss rate for ReadReq accesses
-system.cpu1.icache.ReadReq_miss_rate::total 0.014156 # miss rate for ReadReq accesses
-system.cpu1.icache.demand_miss_rate::cpu1.inst 0.014156 # miss rate for demand accesses
-system.cpu1.icache.demand_miss_rate::total 0.014156 # miss rate for demand accesses
-system.cpu1.icache.overall_miss_rate::cpu1.inst 0.014156 # miss rate for overall accesses
-system.cpu1.icache.overall_miss_rate::total 0.014156 # miss rate for overall accesses
-system.cpu1.icache.ReadReq_avg_miss_latency::cpu1.inst 13697.749757 # average ReadReq miss latency
-system.cpu1.icache.ReadReq_avg_miss_latency::total 13697.749757 # average ReadReq miss latency
-system.cpu1.icache.demand_avg_miss_latency::cpu1.inst 13697.749757 # average overall miss latency
-system.cpu1.icache.demand_avg_miss_latency::total 13697.749757 # average overall miss latency
-system.cpu1.icache.overall_avg_miss_latency::cpu1.inst 13697.749757 # average overall miss latency
-system.cpu1.icache.overall_avg_miss_latency::total 13697.749757 # average overall miss latency
+system.cpu1.icache.tags.tag_accesses 65832957 # Number of tag accesses
+system.cpu1.icache.tags.data_accesses 65832957 # Number of data accesses
+system.cpu1.icache.ReadReq_hits::cpu1.inst 32352870 # number of ReadReq hits
+system.cpu1.icache.ReadReq_hits::total 32352870 # number of ReadReq hits
+system.cpu1.icache.demand_hits::cpu1.inst 32352870 # number of demand (read+write) hits
+system.cpu1.icache.demand_hits::total 32352870 # number of demand (read+write) hits
+system.cpu1.icache.overall_hits::cpu1.inst 32352870 # number of overall hits
+system.cpu1.icache.overall_hits::total 32352870 # number of overall hits
+system.cpu1.icache.ReadReq_misses::cpu1.inst 375739 # number of ReadReq misses
+system.cpu1.icache.ReadReq_misses::total 375739 # number of ReadReq misses
+system.cpu1.icache.demand_misses::cpu1.inst 375739 # number of demand (read+write) misses
+system.cpu1.icache.demand_misses::total 375739 # number of demand (read+write) misses
+system.cpu1.icache.overall_misses::cpu1.inst 375739 # number of overall misses
+system.cpu1.icache.overall_misses::total 375739 # number of overall misses
+system.cpu1.icache.ReadReq_miss_latency::cpu1.inst 3159151510 # number of ReadReq miss cycles
+system.cpu1.icache.ReadReq_miss_latency::total 3159151510 # number of ReadReq miss cycles
+system.cpu1.icache.demand_miss_latency::cpu1.inst 3159151510 # number of demand (read+write) miss cycles
+system.cpu1.icache.demand_miss_latency::total 3159151510 # number of demand (read+write) miss cycles
+system.cpu1.icache.overall_miss_latency::cpu1.inst 3159151510 # number of overall miss cycles
+system.cpu1.icache.overall_miss_latency::total 3159151510 # number of overall miss cycles
+system.cpu1.icache.ReadReq_accesses::cpu1.inst 32728609 # number of ReadReq accesses(hits+misses)
+system.cpu1.icache.ReadReq_accesses::total 32728609 # number of ReadReq accesses(hits+misses)
+system.cpu1.icache.demand_accesses::cpu1.inst 32728609 # number of demand (read+write) accesses
+system.cpu1.icache.demand_accesses::total 32728609 # number of demand (read+write) accesses
+system.cpu1.icache.overall_accesses::cpu1.inst 32728609 # number of overall (read+write) accesses
+system.cpu1.icache.overall_accesses::total 32728609 # number of overall (read+write) accesses
+system.cpu1.icache.ReadReq_miss_rate::cpu1.inst 0.011480 # miss rate for ReadReq accesses
+system.cpu1.icache.ReadReq_miss_rate::total 0.011480 # miss rate for ReadReq accesses
+system.cpu1.icache.demand_miss_rate::cpu1.inst 0.011480 # miss rate for demand accesses
+system.cpu1.icache.demand_miss_rate::total 0.011480 # miss rate for demand accesses
+system.cpu1.icache.overall_miss_rate::cpu1.inst 0.011480 # miss rate for overall accesses
+system.cpu1.icache.overall_miss_rate::total 0.011480 # miss rate for overall accesses
+system.cpu1.icache.ReadReq_avg_miss_latency::cpu1.inst 8407.834987 # average ReadReq miss latency
+system.cpu1.icache.ReadReq_avg_miss_latency::total 8407.834987 # average ReadReq miss latency
+system.cpu1.icache.demand_avg_miss_latency::cpu1.inst 8407.834987 # average overall miss latency
+system.cpu1.icache.demand_avg_miss_latency::total 8407.834987 # average overall miss latency
+system.cpu1.icache.overall_avg_miss_latency::cpu1.inst 8407.834987 # average overall miss latency
+system.cpu1.icache.overall_avg_miss_latency::total 8407.834987 # average overall miss latency
system.cpu1.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu1.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu1.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -1600,214 +2037,554 @@ system.cpu1.icache.avg_blocked_cycles::no_mshrs nan
system.cpu1.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu1.icache.fast_writes 0 # number of fast writes performed
system.cpu1.icache.cache_copies 0 # number of cache copies performed
-system.cpu1.icache.ReadReq_mshr_misses::cpu1.inst 469836 # number of ReadReq MSHR misses
-system.cpu1.icache.ReadReq_mshr_misses::total 469836 # number of ReadReq MSHR misses
-system.cpu1.icache.demand_mshr_misses::cpu1.inst 469836 # number of demand (read+write) MSHR misses
-system.cpu1.icache.demand_mshr_misses::total 469836 # number of demand (read+write) MSHR misses
-system.cpu1.icache.overall_mshr_misses::cpu1.inst 469836 # number of overall MSHR misses
-system.cpu1.icache.overall_mshr_misses::total 469836 # number of overall MSHR misses
-system.cpu1.icache.ReadReq_mshr_miss_latency::cpu1.inst 5494111045 # number of ReadReq MSHR miss cycles
-system.cpu1.icache.ReadReq_mshr_miss_latency::total 5494111045 # number of ReadReq MSHR miss cycles
-system.cpu1.icache.demand_mshr_miss_latency::cpu1.inst 5494111045 # number of demand (read+write) MSHR miss cycles
-system.cpu1.icache.demand_mshr_miss_latency::total 5494111045 # number of demand (read+write) MSHR miss cycles
-system.cpu1.icache.overall_mshr_miss_latency::cpu1.inst 5494111045 # number of overall MSHR miss cycles
-system.cpu1.icache.overall_mshr_miss_latency::total 5494111045 # number of overall MSHR miss cycles
-system.cpu1.icache.ReadReq_mshr_uncacheable_latency::cpu1.inst 6835750 # number of ReadReq MSHR uncacheable cycles
-system.cpu1.icache.ReadReq_mshr_uncacheable_latency::total 6835750 # number of ReadReq MSHR uncacheable cycles
-system.cpu1.icache.overall_mshr_uncacheable_latency::cpu1.inst 6835750 # number of overall MSHR uncacheable cycles
-system.cpu1.icache.overall_mshr_uncacheable_latency::total 6835750 # number of overall MSHR uncacheable cycles
-system.cpu1.icache.ReadReq_mshr_miss_rate::cpu1.inst 0.014156 # mshr miss rate for ReadReq accesses
-system.cpu1.icache.ReadReq_mshr_miss_rate::total 0.014156 # mshr miss rate for ReadReq accesses
-system.cpu1.icache.demand_mshr_miss_rate::cpu1.inst 0.014156 # mshr miss rate for demand accesses
-system.cpu1.icache.demand_mshr_miss_rate::total 0.014156 # mshr miss rate for demand accesses
-system.cpu1.icache.overall_mshr_miss_rate::cpu1.inst 0.014156 # mshr miss rate for overall accesses
-system.cpu1.icache.overall_mshr_miss_rate::total 0.014156 # mshr miss rate for overall accesses
-system.cpu1.icache.ReadReq_avg_mshr_miss_latency::cpu1.inst 11693.678315 # average ReadReq mshr miss latency
-system.cpu1.icache.ReadReq_avg_mshr_miss_latency::total 11693.678315 # average ReadReq mshr miss latency
-system.cpu1.icache.demand_avg_mshr_miss_latency::cpu1.inst 11693.678315 # average overall mshr miss latency
-system.cpu1.icache.demand_avg_mshr_miss_latency::total 11693.678315 # average overall mshr miss latency
-system.cpu1.icache.overall_avg_mshr_miss_latency::cpu1.inst 11693.678315 # average overall mshr miss latency
-system.cpu1.icache.overall_avg_mshr_miss_latency::total 11693.678315 # average overall mshr miss latency
+system.cpu1.icache.ReadReq_mshr_misses::cpu1.inst 375739 # number of ReadReq MSHR misses
+system.cpu1.icache.ReadReq_mshr_misses::total 375739 # number of ReadReq MSHR misses
+system.cpu1.icache.demand_mshr_misses::cpu1.inst 375739 # number of demand (read+write) MSHR misses
+system.cpu1.icache.demand_mshr_misses::total 375739 # number of demand (read+write) MSHR misses
+system.cpu1.icache.overall_mshr_misses::cpu1.inst 375739 # number of overall MSHR misses
+system.cpu1.icache.overall_mshr_misses::total 375739 # number of overall MSHR misses
+system.cpu1.icache.ReadReq_mshr_miss_latency::cpu1.inst 2595414990 # number of ReadReq MSHR miss cycles
+system.cpu1.icache.ReadReq_mshr_miss_latency::total 2595414990 # number of ReadReq MSHR miss cycles
+system.cpu1.icache.demand_mshr_miss_latency::cpu1.inst 2595414990 # number of demand (read+write) MSHR miss cycles
+system.cpu1.icache.demand_mshr_miss_latency::total 2595414990 # number of demand (read+write) MSHR miss cycles
+system.cpu1.icache.overall_mshr_miss_latency::cpu1.inst 2595414990 # number of overall MSHR miss cycles
+system.cpu1.icache.overall_mshr_miss_latency::total 2595414990 # number of overall MSHR miss cycles
+system.cpu1.icache.ReadReq_mshr_uncacheable_latency::cpu1.inst 8511750 # number of ReadReq MSHR uncacheable cycles
+system.cpu1.icache.ReadReq_mshr_uncacheable_latency::total 8511750 # number of ReadReq MSHR uncacheable cycles
+system.cpu1.icache.overall_mshr_uncacheable_latency::cpu1.inst 8511750 # number of overall MSHR uncacheable cycles
+system.cpu1.icache.overall_mshr_uncacheable_latency::total 8511750 # number of overall MSHR uncacheable cycles
+system.cpu1.icache.ReadReq_mshr_miss_rate::cpu1.inst 0.011480 # mshr miss rate for ReadReq accesses
+system.cpu1.icache.ReadReq_mshr_miss_rate::total 0.011480 # mshr miss rate for ReadReq accesses
+system.cpu1.icache.demand_mshr_miss_rate::cpu1.inst 0.011480 # mshr miss rate for demand accesses
+system.cpu1.icache.demand_mshr_miss_rate::total 0.011480 # mshr miss rate for demand accesses
+system.cpu1.icache.overall_mshr_miss_rate::cpu1.inst 0.011480 # mshr miss rate for overall accesses
+system.cpu1.icache.overall_mshr_miss_rate::total 0.011480 # mshr miss rate for overall accesses
+system.cpu1.icache.ReadReq_avg_mshr_miss_latency::cpu1.inst 6907.494271 # average ReadReq mshr miss latency
+system.cpu1.icache.ReadReq_avg_mshr_miss_latency::total 6907.494271 # average ReadReq mshr miss latency
+system.cpu1.icache.demand_avg_mshr_miss_latency::cpu1.inst 6907.494271 # average overall mshr miss latency
+system.cpu1.icache.demand_avg_mshr_miss_latency::total 6907.494271 # average overall mshr miss latency
+system.cpu1.icache.overall_avg_mshr_miss_latency::cpu1.inst 6907.494271 # average overall mshr miss latency
+system.cpu1.icache.overall_avg_mshr_miss_latency::total 6907.494271 # average overall mshr miss latency
system.cpu1.icache.ReadReq_avg_mshr_uncacheable_latency::cpu1.inst inf # average ReadReq mshr uncacheable latency
system.cpu1.icache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu1.icache.overall_avg_mshr_uncacheable_latency::cpu1.inst inf # average overall mshr uncacheable latency
system.cpu1.icache.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu1.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu1.dcache.tags.replacements 292234 # number of replacements
-system.cpu1.dcache.tags.tagsinuse 471.923930 # Cycle average of tags in use
-system.cpu1.dcache.tags.total_refs 11040887 # Total number of references to valid blocks.
-system.cpu1.dcache.tags.sampled_refs 292603 # Sample count of references to valid blocks.
-system.cpu1.dcache.tags.avg_refs 37.733335 # Average number of references to valid blocks.
-system.cpu1.dcache.tags.warmup_cycle 84705826250 # Cycle when the warmup percentage was hit.
-system.cpu1.dcache.tags.occ_blocks::cpu1.data 471.923930 # Average occupied blocks per requestor
-system.cpu1.dcache.tags.occ_percent::cpu1.data 0.921726 # Average percentage of cache occupancy
-system.cpu1.dcache.tags.occ_percent::total 0.921726 # Average percentage of cache occupancy
-system.cpu1.dcache.tags.occ_task_id_blocks::1024 369 # Occupied blocks per task id
-system.cpu1.dcache.tags.age_task_id_blocks_1024::2 356 # Occupied blocks per task id
-system.cpu1.dcache.tags.age_task_id_blocks_1024::3 13 # Occupied blocks per task id
-system.cpu1.dcache.tags.occ_task_id_percent::1024 0.720703 # Percentage of cache occupancy per task id
-system.cpu1.dcache.tags.tag_accesses 45818347 # Number of tag accesses
-system.cpu1.dcache.tags.data_accesses 45818347 # Number of data accesses
-system.cpu1.dcache.ReadReq_hits::cpu1.data 6006097 # number of ReadReq hits
-system.cpu1.dcache.ReadReq_hits::total 6006097 # number of ReadReq hits
-system.cpu1.dcache.WriteReq_hits::cpu1.data 4823101 # number of WriteReq hits
-system.cpu1.dcache.WriteReq_hits::total 4823101 # number of WriteReq hits
-system.cpu1.dcache.SoftPFReq_hits::cpu1.data 22483 # number of SoftPFReq hits
-system.cpu1.dcache.SoftPFReq_hits::total 22483 # number of SoftPFReq hits
-system.cpu1.dcache.LoadLockedReq_hits::cpu1.data 81936 # number of LoadLockedReq hits
-system.cpu1.dcache.LoadLockedReq_hits::total 81936 # number of LoadLockedReq hits
-system.cpu1.dcache.StoreCondReq_hits::cpu1.data 82707 # number of StoreCondReq hits
-system.cpu1.dcache.StoreCondReq_hits::total 82707 # number of StoreCondReq hits
-system.cpu1.dcache.demand_hits::cpu1.data 10829198 # number of demand (read+write) hits
-system.cpu1.dcache.demand_hits::total 10829198 # number of demand (read+write) hits
-system.cpu1.dcache.overall_hits::cpu1.data 10851681 # number of overall hits
-system.cpu1.dcache.overall_hits::total 10851681 # number of overall hits
-system.cpu1.dcache.ReadReq_misses::cpu1.data 144053 # number of ReadReq misses
-system.cpu1.dcache.ReadReq_misses::total 144053 # number of ReadReq misses
-system.cpu1.dcache.WriteReq_misses::cpu1.data 152082 # number of WriteReq misses
-system.cpu1.dcache.WriteReq_misses::total 152082 # number of WriteReq misses
-system.cpu1.dcache.SoftPFReq_misses::cpu1.data 41875 # number of SoftPFReq misses
-system.cpu1.dcache.SoftPFReq_misses::total 41875 # number of SoftPFReq misses
-system.cpu1.dcache.LoadLockedReq_misses::cpu1.data 11222 # number of LoadLockedReq misses
-system.cpu1.dcache.LoadLockedReq_misses::total 11222 # number of LoadLockedReq misses
-system.cpu1.dcache.StoreCondReq_misses::cpu1.data 10064 # number of StoreCondReq misses
-system.cpu1.dcache.StoreCondReq_misses::total 10064 # number of StoreCondReq misses
-system.cpu1.dcache.demand_misses::cpu1.data 296135 # number of demand (read+write) misses
-system.cpu1.dcache.demand_misses::total 296135 # number of demand (read+write) misses
-system.cpu1.dcache.overall_misses::cpu1.data 338010 # number of overall misses
-system.cpu1.dcache.overall_misses::total 338010 # number of overall misses
-system.cpu1.dcache.ReadReq_miss_latency::cpu1.data 1718496498 # number of ReadReq miss cycles
-system.cpu1.dcache.ReadReq_miss_latency::total 1718496498 # number of ReadReq miss cycles
-system.cpu1.dcache.WriteReq_miss_latency::cpu1.data 6437170330 # number of WriteReq miss cycles
-system.cpu1.dcache.WriteReq_miss_latency::total 6437170330 # number of WriteReq miss cycles
-system.cpu1.dcache.LoadLockedReq_miss_latency::cpu1.data 96291249 # number of LoadLockedReq miss cycles
-system.cpu1.dcache.LoadLockedReq_miss_latency::total 96291249 # number of LoadLockedReq miss cycles
-system.cpu1.dcache.StoreCondReq_miss_latency::cpu1.data 52005971 # number of StoreCondReq miss cycles
-system.cpu1.dcache.StoreCondReq_miss_latency::total 52005971 # number of StoreCondReq miss cycles
-system.cpu1.dcache.demand_miss_latency::cpu1.data 8155666828 # number of demand (read+write) miss cycles
-system.cpu1.dcache.demand_miss_latency::total 8155666828 # number of demand (read+write) miss cycles
-system.cpu1.dcache.overall_miss_latency::cpu1.data 8155666828 # number of overall miss cycles
-system.cpu1.dcache.overall_miss_latency::total 8155666828 # number of overall miss cycles
-system.cpu1.dcache.ReadReq_accesses::cpu1.data 6150150 # number of ReadReq accesses(hits+misses)
-system.cpu1.dcache.ReadReq_accesses::total 6150150 # number of ReadReq accesses(hits+misses)
-system.cpu1.dcache.WriteReq_accesses::cpu1.data 4975183 # number of WriteReq accesses(hits+misses)
-system.cpu1.dcache.WriteReq_accesses::total 4975183 # number of WriteReq accesses(hits+misses)
-system.cpu1.dcache.SoftPFReq_accesses::cpu1.data 64358 # number of SoftPFReq accesses(hits+misses)
-system.cpu1.dcache.SoftPFReq_accesses::total 64358 # number of SoftPFReq accesses(hits+misses)
-system.cpu1.dcache.LoadLockedReq_accesses::cpu1.data 93158 # number of LoadLockedReq accesses(hits+misses)
-system.cpu1.dcache.LoadLockedReq_accesses::total 93158 # number of LoadLockedReq accesses(hits+misses)
-system.cpu1.dcache.StoreCondReq_accesses::cpu1.data 92771 # number of StoreCondReq accesses(hits+misses)
-system.cpu1.dcache.StoreCondReq_accesses::total 92771 # number of StoreCondReq accesses(hits+misses)
-system.cpu1.dcache.demand_accesses::cpu1.data 11125333 # number of demand (read+write) accesses
-system.cpu1.dcache.demand_accesses::total 11125333 # number of demand (read+write) accesses
-system.cpu1.dcache.overall_accesses::cpu1.data 11189691 # number of overall (read+write) accesses
-system.cpu1.dcache.overall_accesses::total 11189691 # number of overall (read+write) accesses
-system.cpu1.dcache.ReadReq_miss_rate::cpu1.data 0.023423 # miss rate for ReadReq accesses
-system.cpu1.dcache.ReadReq_miss_rate::total 0.023423 # miss rate for ReadReq accesses
-system.cpu1.dcache.WriteReq_miss_rate::cpu1.data 0.030568 # miss rate for WriteReq accesses
-system.cpu1.dcache.WriteReq_miss_rate::total 0.030568 # miss rate for WriteReq accesses
-system.cpu1.dcache.SoftPFReq_miss_rate::cpu1.data 0.650657 # miss rate for SoftPFReq accesses
-system.cpu1.dcache.SoftPFReq_miss_rate::total 0.650657 # miss rate for SoftPFReq accesses
-system.cpu1.dcache.LoadLockedReq_miss_rate::cpu1.data 0.120462 # miss rate for LoadLockedReq accesses
-system.cpu1.dcache.LoadLockedReq_miss_rate::total 0.120462 # miss rate for LoadLockedReq accesses
-system.cpu1.dcache.StoreCondReq_miss_rate::cpu1.data 0.108482 # miss rate for StoreCondReq accesses
-system.cpu1.dcache.StoreCondReq_miss_rate::total 0.108482 # miss rate for StoreCondReq accesses
-system.cpu1.dcache.demand_miss_rate::cpu1.data 0.026618 # miss rate for demand accesses
-system.cpu1.dcache.demand_miss_rate::total 0.026618 # miss rate for demand accesses
-system.cpu1.dcache.overall_miss_rate::cpu1.data 0.030207 # miss rate for overall accesses
-system.cpu1.dcache.overall_miss_rate::total 0.030207 # miss rate for overall accesses
-system.cpu1.dcache.ReadReq_avg_miss_latency::cpu1.data 11929.612698 # average ReadReq miss latency
-system.cpu1.dcache.ReadReq_avg_miss_latency::total 11929.612698 # average ReadReq miss latency
-system.cpu1.dcache.WriteReq_avg_miss_latency::cpu1.data 42326.970516 # average WriteReq miss latency
-system.cpu1.dcache.WriteReq_avg_miss_latency::total 42326.970516 # average WriteReq miss latency
-system.cpu1.dcache.LoadLockedReq_avg_miss_latency::cpu1.data 8580.578239 # average LoadLockedReq miss latency
-system.cpu1.dcache.LoadLockedReq_avg_miss_latency::total 8580.578239 # average LoadLockedReq miss latency
-system.cpu1.dcache.StoreCondReq_avg_miss_latency::cpu1.data 5167.524940 # average StoreCondReq miss latency
-system.cpu1.dcache.StoreCondReq_avg_miss_latency::total 5167.524940 # average StoreCondReq miss latency
-system.cpu1.dcache.demand_avg_miss_latency::cpu1.data 27540.367832 # average overall miss latency
-system.cpu1.dcache.demand_avg_miss_latency::total 27540.367832 # average overall miss latency
-system.cpu1.dcache.overall_avg_miss_latency::cpu1.data 24128.477939 # average overall miss latency
-system.cpu1.dcache.overall_avg_miss_latency::total 24128.477939 # average overall miss latency
-system.cpu1.dcache.blocked_cycles::no_mshrs 57 # number of cycles access was blocked
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_identified 3539349 # number of hwpf identified
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_already_in_mshr 109722 # number of hwpf that were already in mshr
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_already_in_cache 3291325 # number of hwpf that were already in the cache
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_already_in_prefetcher 217 # number of hwpf that were already in the prefetch queue
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_evicted 0 # number of hwpf removed due to no buffer left
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_removed_MSHR_hit 15 # number of hwpf removed because MSHR allocated
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_issued 138070 # number of hwpf issued
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_span_page 329563 # number of hwpf spanning a virtual page
+system.cpu1.l2cache.prefetcher.prefetcher.num_hwpf_squashed_from_miss 0 # number of hwpf that got squashed due to a miss aborting calculation time
+system.cpu1.l2cache.tags.replacements 122650 # number of replacements
+system.cpu1.l2cache.tags.tagsinuse 15477.303394 # Cycle average of tags in use
+system.cpu1.l2cache.tags.total_refs 769651 # Total number of references to valid blocks.
+system.cpu1.l2cache.tags.sampled_refs 138796 # Sample count of references to valid blocks.
+system.cpu1.l2cache.tags.avg_refs 5.545196 # Average number of references to valid blocks.
+system.cpu1.l2cache.tags.warmup_cycle 2606454315500 # Cycle when the warmup percentage was hit.
+system.cpu1.l2cache.tags.occ_blocks::writebacks 5482.269126 # Average occupied blocks per requestor
+system.cpu1.l2cache.tags.occ_blocks::cpu1.dtb.walker 12.040765 # Average occupied blocks per requestor
+system.cpu1.l2cache.tags.occ_blocks::cpu1.itb.walker 0.187836 # Average occupied blocks per requestor
+system.cpu1.l2cache.tags.occ_blocks::cpu1.inst 603.787912 # Average occupied blocks per requestor
+system.cpu1.l2cache.tags.occ_blocks::cpu1.data 2723.851785 # Average occupied blocks per requestor
+system.cpu1.l2cache.tags.occ_blocks::cpu1.l2cache.prefetcher 6655.165971 # Average occupied blocks per requestor
+system.cpu1.l2cache.tags.occ_percent::writebacks 0.334611 # Average percentage of cache occupancy
+system.cpu1.l2cache.tags.occ_percent::cpu1.dtb.walker 0.000735 # Average percentage of cache occupancy
+system.cpu1.l2cache.tags.occ_percent::cpu1.itb.walker 0.000011 # Average percentage of cache occupancy
+system.cpu1.l2cache.tags.occ_percent::cpu1.inst 0.036852 # Average percentage of cache occupancy
+system.cpu1.l2cache.tags.occ_percent::cpu1.data 0.166251 # Average percentage of cache occupancy
+system.cpu1.l2cache.tags.occ_percent::cpu1.l2cache.prefetcher 0.406199 # Average percentage of cache occupancy
+system.cpu1.l2cache.tags.occ_percent::total 0.944660 # Average percentage of cache occupancy
+system.cpu1.l2cache.tags.occ_task_id_blocks::1022 7087 # Occupied blocks per task id
+system.cpu1.l2cache.tags.occ_task_id_blocks::1023 8 # Occupied blocks per task id
+system.cpu1.l2cache.tags.occ_task_id_blocks::1024 9051 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1022::0 22 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1022::1 39 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1022::2 481 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1022::3 4215 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1022::4 2330 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1023::2 3 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1023::3 4 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1023::4 1 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1024::0 50 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1024::1 96 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1024::2 1744 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1024::3 5747 # Occupied blocks per task id
+system.cpu1.l2cache.tags.age_task_id_blocks_1024::4 1414 # Occupied blocks per task id
+system.cpu1.l2cache.tags.occ_task_id_percent::1022 0.432556 # Percentage of cache occupancy per task id
+system.cpu1.l2cache.tags.occ_task_id_percent::1023 0.000488 # Percentage of cache occupancy per task id
+system.cpu1.l2cache.tags.occ_task_id_percent::1024 0.552429 # Percentage of cache occupancy per task id
+system.cpu1.l2cache.tags.tag_accesses 16022455 # Number of tag accesses
+system.cpu1.l2cache.tags.data_accesses 16022455 # Number of data accesses
+system.cpu1.l2cache.ReadReq_hits::cpu1.dtb.walker 6174 # number of ReadReq hits
+system.cpu1.l2cache.ReadReq_hits::cpu1.itb.walker 2268 # number of ReadReq hits
+system.cpu1.l2cache.ReadReq_hits::cpu1.inst 369218 # number of ReadReq hits
+system.cpu1.l2cache.ReadReq_hits::cpu1.data 169436 # number of ReadReq hits
+system.cpu1.l2cache.ReadReq_hits::total 547096 # number of ReadReq hits
+system.cpu1.l2cache.Writeback_hits::writebacks 225255 # number of Writeback hits
+system.cpu1.l2cache.Writeback_hits::total 225255 # number of Writeback hits
+system.cpu1.l2cache.UpgradeReq_hits::cpu1.data 1340 # number of UpgradeReq hits
+system.cpu1.l2cache.UpgradeReq_hits::total 1340 # number of UpgradeReq hits
+system.cpu1.l2cache.SCUpgradeReq_hits::cpu1.data 885 # number of SCUpgradeReq hits
+system.cpu1.l2cache.SCUpgradeReq_hits::total 885 # number of SCUpgradeReq hits
+system.cpu1.l2cache.ReadExReq_hits::cpu1.data 86607 # number of ReadExReq hits
+system.cpu1.l2cache.ReadExReq_hits::total 86607 # number of ReadExReq hits
+system.cpu1.l2cache.demand_hits::cpu1.dtb.walker 6174 # number of demand (read+write) hits
+system.cpu1.l2cache.demand_hits::cpu1.itb.walker 2268 # number of demand (read+write) hits
+system.cpu1.l2cache.demand_hits::cpu1.inst 369218 # number of demand (read+write) hits
+system.cpu1.l2cache.demand_hits::cpu1.data 256043 # number of demand (read+write) hits
+system.cpu1.l2cache.demand_hits::total 633703 # number of demand (read+write) hits
+system.cpu1.l2cache.overall_hits::cpu1.dtb.walker 6174 # number of overall hits
+system.cpu1.l2cache.overall_hits::cpu1.itb.walker 2268 # number of overall hits
+system.cpu1.l2cache.overall_hits::cpu1.inst 369218 # number of overall hits
+system.cpu1.l2cache.overall_hits::cpu1.data 256043 # number of overall hits
+system.cpu1.l2cache.overall_hits::total 633703 # number of overall hits
+system.cpu1.l2cache.ReadReq_misses::cpu1.dtb.walker 268 # number of ReadReq misses
+system.cpu1.l2cache.ReadReq_misses::cpu1.itb.walker 169 # number of ReadReq misses
+system.cpu1.l2cache.ReadReq_misses::cpu1.inst 6377 # number of ReadReq misses
+system.cpu1.l2cache.ReadReq_misses::cpu1.data 56923 # number of ReadReq misses
+system.cpu1.l2cache.ReadReq_misses::total 63737 # number of ReadReq misses
+system.cpu1.l2cache.UpgradeReq_misses::cpu1.data 20417 # number of UpgradeReq misses
+system.cpu1.l2cache.UpgradeReq_misses::total 20417 # number of UpgradeReq misses
+system.cpu1.l2cache.SCUpgradeReq_misses::cpu1.data 12784 # number of SCUpgradeReq misses
+system.cpu1.l2cache.SCUpgradeReq_misses::total 12784 # number of SCUpgradeReq misses
+system.cpu1.l2cache.SCUpgradeFailReq_misses::cpu1.data 2 # number of SCUpgradeFailReq misses
+system.cpu1.l2cache.SCUpgradeFailReq_misses::total 2 # number of SCUpgradeFailReq misses
+system.cpu1.l2cache.ReadExReq_misses::cpu1.data 23524 # number of ReadExReq misses
+system.cpu1.l2cache.ReadExReq_misses::total 23524 # number of ReadExReq misses
+system.cpu1.l2cache.demand_misses::cpu1.dtb.walker 268 # number of demand (read+write) misses
+system.cpu1.l2cache.demand_misses::cpu1.itb.walker 169 # number of demand (read+write) misses
+system.cpu1.l2cache.demand_misses::cpu1.inst 6377 # number of demand (read+write) misses
+system.cpu1.l2cache.demand_misses::cpu1.data 80447 # number of demand (read+write) misses
+system.cpu1.l2cache.demand_misses::total 87261 # number of demand (read+write) misses
+system.cpu1.l2cache.overall_misses::cpu1.dtb.walker 268 # number of overall misses
+system.cpu1.l2cache.overall_misses::cpu1.itb.walker 169 # number of overall misses
+system.cpu1.l2cache.overall_misses::cpu1.inst 6377 # number of overall misses
+system.cpu1.l2cache.overall_misses::cpu1.data 80447 # number of overall misses
+system.cpu1.l2cache.overall_misses::total 87261 # number of overall misses
+system.cpu1.l2cache.ReadReq_miss_latency::cpu1.dtb.walker 5694000 # number of ReadReq miss cycles
+system.cpu1.l2cache.ReadReq_miss_latency::cpu1.itb.walker 3369000 # number of ReadReq miss cycles
+system.cpu1.l2cache.ReadReq_miss_latency::cpu1.inst 191106990 # number of ReadReq miss cycles
+system.cpu1.l2cache.ReadReq_miss_latency::cpu1.data 1462989443 # number of ReadReq miss cycles
+system.cpu1.l2cache.ReadReq_miss_latency::total 1663159433 # number of ReadReq miss cycles
+system.cpu1.l2cache.UpgradeReq_miss_latency::cpu1.data 341145571 # number of UpgradeReq miss cycles
+system.cpu1.l2cache.UpgradeReq_miss_latency::total 341145571 # number of UpgradeReq miss cycles
+system.cpu1.l2cache.SCUpgradeReq_miss_latency::cpu1.data 259918262 # number of SCUpgradeReq miss cycles
+system.cpu1.l2cache.SCUpgradeReq_miss_latency::total 259918262 # number of SCUpgradeReq miss cycles
+system.cpu1.l2cache.SCUpgradeFailReq_miss_latency::cpu1.data 478999 # number of SCUpgradeFailReq miss cycles
+system.cpu1.l2cache.SCUpgradeFailReq_miss_latency::total 478999 # number of SCUpgradeFailReq miss cycles
+system.cpu1.l2cache.ReadExReq_miss_latency::cpu1.data 892976093 # number of ReadExReq miss cycles
+system.cpu1.l2cache.ReadExReq_miss_latency::total 892976093 # number of ReadExReq miss cycles
+system.cpu1.l2cache.demand_miss_latency::cpu1.dtb.walker 5694000 # number of demand (read+write) miss cycles
+system.cpu1.l2cache.demand_miss_latency::cpu1.itb.walker 3369000 # number of demand (read+write) miss cycles
+system.cpu1.l2cache.demand_miss_latency::cpu1.inst 191106990 # number of demand (read+write) miss cycles
+system.cpu1.l2cache.demand_miss_latency::cpu1.data 2355965536 # number of demand (read+write) miss cycles
+system.cpu1.l2cache.demand_miss_latency::total 2556135526 # number of demand (read+write) miss cycles
+system.cpu1.l2cache.overall_miss_latency::cpu1.dtb.walker 5694000 # number of overall miss cycles
+system.cpu1.l2cache.overall_miss_latency::cpu1.itb.walker 3369000 # number of overall miss cycles
+system.cpu1.l2cache.overall_miss_latency::cpu1.inst 191106990 # number of overall miss cycles
+system.cpu1.l2cache.overall_miss_latency::cpu1.data 2355965536 # number of overall miss cycles
+system.cpu1.l2cache.overall_miss_latency::total 2556135526 # number of overall miss cycles
+system.cpu1.l2cache.ReadReq_accesses::cpu1.dtb.walker 6442 # number of ReadReq accesses(hits+misses)
+system.cpu1.l2cache.ReadReq_accesses::cpu1.itb.walker 2437 # number of ReadReq accesses(hits+misses)
+system.cpu1.l2cache.ReadReq_accesses::cpu1.inst 375595 # number of ReadReq accesses(hits+misses)
+system.cpu1.l2cache.ReadReq_accesses::cpu1.data 226359 # number of ReadReq accesses(hits+misses)
+system.cpu1.l2cache.ReadReq_accesses::total 610833 # number of ReadReq accesses(hits+misses)
+system.cpu1.l2cache.Writeback_accesses::writebacks 225255 # number of Writeback accesses(hits+misses)
+system.cpu1.l2cache.Writeback_accesses::total 225255 # number of Writeback accesses(hits+misses)
+system.cpu1.l2cache.UpgradeReq_accesses::cpu1.data 21757 # number of UpgradeReq accesses(hits+misses)
+system.cpu1.l2cache.UpgradeReq_accesses::total 21757 # number of UpgradeReq accesses(hits+misses)
+system.cpu1.l2cache.SCUpgradeReq_accesses::cpu1.data 13669 # number of SCUpgradeReq accesses(hits+misses)
+system.cpu1.l2cache.SCUpgradeReq_accesses::total 13669 # number of SCUpgradeReq accesses(hits+misses)
+system.cpu1.l2cache.SCUpgradeFailReq_accesses::cpu1.data 2 # number of SCUpgradeFailReq accesses(hits+misses)
+system.cpu1.l2cache.SCUpgradeFailReq_accesses::total 2 # number of SCUpgradeFailReq accesses(hits+misses)
+system.cpu1.l2cache.ReadExReq_accesses::cpu1.data 110131 # number of ReadExReq accesses(hits+misses)
+system.cpu1.l2cache.ReadExReq_accesses::total 110131 # number of ReadExReq accesses(hits+misses)
+system.cpu1.l2cache.demand_accesses::cpu1.dtb.walker 6442 # number of demand (read+write) accesses
+system.cpu1.l2cache.demand_accesses::cpu1.itb.walker 2437 # number of demand (read+write) accesses
+system.cpu1.l2cache.demand_accesses::cpu1.inst 375595 # number of demand (read+write) accesses
+system.cpu1.l2cache.demand_accesses::cpu1.data 336490 # number of demand (read+write) accesses
+system.cpu1.l2cache.demand_accesses::total 720964 # number of demand (read+write) accesses
+system.cpu1.l2cache.overall_accesses::cpu1.dtb.walker 6442 # number of overall (read+write) accesses
+system.cpu1.l2cache.overall_accesses::cpu1.itb.walker 2437 # number of overall (read+write) accesses
+system.cpu1.l2cache.overall_accesses::cpu1.inst 375595 # number of overall (read+write) accesses
+system.cpu1.l2cache.overall_accesses::cpu1.data 336490 # number of overall (read+write) accesses
+system.cpu1.l2cache.overall_accesses::total 720964 # number of overall (read+write) accesses
+system.cpu1.l2cache.ReadReq_miss_rate::cpu1.dtb.walker 0.041602 # miss rate for ReadReq accesses
+system.cpu1.l2cache.ReadReq_miss_rate::cpu1.itb.walker 0.069348 # miss rate for ReadReq accesses
+system.cpu1.l2cache.ReadReq_miss_rate::cpu1.inst 0.016978 # miss rate for ReadReq accesses
+system.cpu1.l2cache.ReadReq_miss_rate::cpu1.data 0.251472 # miss rate for ReadReq accesses
+system.cpu1.l2cache.ReadReq_miss_rate::total 0.104344 # miss rate for ReadReq accesses
+system.cpu1.l2cache.UpgradeReq_miss_rate::cpu1.data 0.938411 # miss rate for UpgradeReq accesses
+system.cpu1.l2cache.UpgradeReq_miss_rate::total 0.938411 # miss rate for UpgradeReq accesses
+system.cpu1.l2cache.SCUpgradeReq_miss_rate::cpu1.data 0.935255 # miss rate for SCUpgradeReq accesses
+system.cpu1.l2cache.SCUpgradeReq_miss_rate::total 0.935255 # miss rate for SCUpgradeReq accesses
+system.cpu1.l2cache.SCUpgradeFailReq_miss_rate::cpu1.data 1 # miss rate for SCUpgradeFailReq accesses
+system.cpu1.l2cache.SCUpgradeFailReq_miss_rate::total 1 # miss rate for SCUpgradeFailReq accesses
+system.cpu1.l2cache.ReadExReq_miss_rate::cpu1.data 0.213600 # miss rate for ReadExReq accesses
+system.cpu1.l2cache.ReadExReq_miss_rate::total 0.213600 # miss rate for ReadExReq accesses
+system.cpu1.l2cache.demand_miss_rate::cpu1.dtb.walker 0.041602 # miss rate for demand accesses
+system.cpu1.l2cache.demand_miss_rate::cpu1.itb.walker 0.069348 # miss rate for demand accesses
+system.cpu1.l2cache.demand_miss_rate::cpu1.inst 0.016978 # miss rate for demand accesses
+system.cpu1.l2cache.demand_miss_rate::cpu1.data 0.239077 # miss rate for demand accesses
+system.cpu1.l2cache.demand_miss_rate::total 0.121034 # miss rate for demand accesses
+system.cpu1.l2cache.overall_miss_rate::cpu1.dtb.walker 0.041602 # miss rate for overall accesses
+system.cpu1.l2cache.overall_miss_rate::cpu1.itb.walker 0.069348 # miss rate for overall accesses
+system.cpu1.l2cache.overall_miss_rate::cpu1.inst 0.016978 # miss rate for overall accesses
+system.cpu1.l2cache.overall_miss_rate::cpu1.data 0.239077 # miss rate for overall accesses
+system.cpu1.l2cache.overall_miss_rate::total 0.121034 # miss rate for overall accesses
+system.cpu1.l2cache.ReadReq_avg_miss_latency::cpu1.dtb.walker 21246.268657 # average ReadReq miss latency
+system.cpu1.l2cache.ReadReq_avg_miss_latency::cpu1.itb.walker 19934.911243 # average ReadReq miss latency
+system.cpu1.l2cache.ReadReq_avg_miss_latency::cpu1.inst 29968.165281 # average ReadReq miss latency
+system.cpu1.l2cache.ReadReq_avg_miss_latency::cpu1.data 25701.200622 # average ReadReq miss latency
+system.cpu1.l2cache.ReadReq_avg_miss_latency::total 26094.096569 # average ReadReq miss latency
+system.cpu1.l2cache.UpgradeReq_avg_miss_latency::cpu1.data 16708.898026 # average UpgradeReq miss latency
+system.cpu1.l2cache.UpgradeReq_avg_miss_latency::total 16708.898026 # average UpgradeReq miss latency
+system.cpu1.l2cache.SCUpgradeReq_avg_miss_latency::cpu1.data 20331.528630 # average SCUpgradeReq miss latency
+system.cpu1.l2cache.SCUpgradeReq_avg_miss_latency::total 20331.528630 # average SCUpgradeReq miss latency
+system.cpu1.l2cache.SCUpgradeFailReq_avg_miss_latency::cpu1.data 239499.500000 # average SCUpgradeFailReq miss latency
+system.cpu1.l2cache.SCUpgradeFailReq_avg_miss_latency::total 239499.500000 # average SCUpgradeFailReq miss latency
+system.cpu1.l2cache.ReadExReq_avg_miss_latency::cpu1.data 37960.214802 # average ReadExReq miss latency
+system.cpu1.l2cache.ReadExReq_avg_miss_latency::total 37960.214802 # average ReadExReq miss latency
+system.cpu1.l2cache.demand_avg_miss_latency::cpu1.dtb.walker 21246.268657 # average overall miss latency
+system.cpu1.l2cache.demand_avg_miss_latency::cpu1.itb.walker 19934.911243 # average overall miss latency
+system.cpu1.l2cache.demand_avg_miss_latency::cpu1.inst 29968.165281 # average overall miss latency
+system.cpu1.l2cache.demand_avg_miss_latency::cpu1.data 29285.934044 # average overall miss latency
+system.cpu1.l2cache.demand_avg_miss_latency::total 29292.989148 # average overall miss latency
+system.cpu1.l2cache.overall_avg_miss_latency::cpu1.dtb.walker 21246.268657 # average overall miss latency
+system.cpu1.l2cache.overall_avg_miss_latency::cpu1.itb.walker 19934.911243 # average overall miss latency
+system.cpu1.l2cache.overall_avg_miss_latency::cpu1.inst 29968.165281 # average overall miss latency
+system.cpu1.l2cache.overall_avg_miss_latency::cpu1.data 29285.934044 # average overall miss latency
+system.cpu1.l2cache.overall_avg_miss_latency::total 29292.989148 # average overall miss latency
+system.cpu1.l2cache.blocked_cycles::no_mshrs 579 # number of cycles access was blocked
+system.cpu1.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
+system.cpu1.l2cache.blocked::no_mshrs 19 # number of cycles access was blocked
+system.cpu1.l2cache.blocked::no_targets 0 # number of cycles access was blocked
+system.cpu1.l2cache.avg_blocked_cycles::no_mshrs 30.473684 # average number of cycles each access was blocked
+system.cpu1.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
+system.cpu1.l2cache.fast_writes 0 # number of fast writes performed
+system.cpu1.l2cache.cache_copies 0 # number of cache copies performed
+system.cpu1.l2cache.writebacks::writebacks 66455 # number of writebacks
+system.cpu1.l2cache.writebacks::total 66455 # number of writebacks
+system.cpu1.l2cache.ReadReq_mshr_hits::cpu1.inst 767 # number of ReadReq MSHR hits
+system.cpu1.l2cache.ReadReq_mshr_hits::cpu1.data 80 # number of ReadReq MSHR hits
+system.cpu1.l2cache.ReadReq_mshr_hits::total 847 # number of ReadReq MSHR hits
+system.cpu1.l2cache.ReadExReq_mshr_hits::cpu1.data 1344 # number of ReadExReq MSHR hits
+system.cpu1.l2cache.ReadExReq_mshr_hits::total 1344 # number of ReadExReq MSHR hits
+system.cpu1.l2cache.demand_mshr_hits::cpu1.inst 767 # number of demand (read+write) MSHR hits
+system.cpu1.l2cache.demand_mshr_hits::cpu1.data 1424 # number of demand (read+write) MSHR hits
+system.cpu1.l2cache.demand_mshr_hits::total 2191 # number of demand (read+write) MSHR hits
+system.cpu1.l2cache.overall_mshr_hits::cpu1.inst 767 # number of overall MSHR hits
+system.cpu1.l2cache.overall_mshr_hits::cpu1.data 1424 # number of overall MSHR hits
+system.cpu1.l2cache.overall_mshr_hits::total 2191 # number of overall MSHR hits
+system.cpu1.l2cache.ReadReq_mshr_misses::cpu1.dtb.walker 268 # number of ReadReq MSHR misses
+system.cpu1.l2cache.ReadReq_mshr_misses::cpu1.itb.walker 169 # number of ReadReq MSHR misses
+system.cpu1.l2cache.ReadReq_mshr_misses::cpu1.inst 5610 # number of ReadReq MSHR misses
+system.cpu1.l2cache.ReadReq_mshr_misses::cpu1.data 56843 # number of ReadReq MSHR misses
+system.cpu1.l2cache.ReadReq_mshr_misses::total 62890 # number of ReadReq MSHR misses
+system.cpu1.l2cache.HardPFReq_mshr_misses::cpu1.l2cache.prefetcher 138069 # number of HardPFReq MSHR misses
+system.cpu1.l2cache.HardPFReq_mshr_misses::total 138069 # number of HardPFReq MSHR misses
+system.cpu1.l2cache.UpgradeReq_mshr_misses::cpu1.data 20417 # number of UpgradeReq MSHR misses
+system.cpu1.l2cache.UpgradeReq_mshr_misses::total 20417 # number of UpgradeReq MSHR misses
+system.cpu1.l2cache.SCUpgradeReq_mshr_misses::cpu1.data 12784 # number of SCUpgradeReq MSHR misses
+system.cpu1.l2cache.SCUpgradeReq_mshr_misses::total 12784 # number of SCUpgradeReq MSHR misses
+system.cpu1.l2cache.SCUpgradeFailReq_mshr_misses::cpu1.data 2 # number of SCUpgradeFailReq MSHR misses
+system.cpu1.l2cache.SCUpgradeFailReq_mshr_misses::total 2 # number of SCUpgradeFailReq MSHR misses
+system.cpu1.l2cache.ReadExReq_mshr_misses::cpu1.data 22180 # number of ReadExReq MSHR misses
+system.cpu1.l2cache.ReadExReq_mshr_misses::total 22180 # number of ReadExReq MSHR misses
+system.cpu1.l2cache.demand_mshr_misses::cpu1.dtb.walker 268 # number of demand (read+write) MSHR misses
+system.cpu1.l2cache.demand_mshr_misses::cpu1.itb.walker 169 # number of demand (read+write) MSHR misses
+system.cpu1.l2cache.demand_mshr_misses::cpu1.inst 5610 # number of demand (read+write) MSHR misses
+system.cpu1.l2cache.demand_mshr_misses::cpu1.data 79023 # number of demand (read+write) MSHR misses
+system.cpu1.l2cache.demand_mshr_misses::total 85070 # number of demand (read+write) MSHR misses
+system.cpu1.l2cache.overall_mshr_misses::cpu1.dtb.walker 268 # number of overall MSHR misses
+system.cpu1.l2cache.overall_mshr_misses::cpu1.itb.walker 169 # number of overall MSHR misses
+system.cpu1.l2cache.overall_mshr_misses::cpu1.inst 5610 # number of overall MSHR misses
+system.cpu1.l2cache.overall_mshr_misses::cpu1.data 79023 # number of overall MSHR misses
+system.cpu1.l2cache.overall_mshr_misses::cpu1.l2cache.prefetcher 138069 # number of overall MSHR misses
+system.cpu1.l2cache.overall_mshr_misses::total 223139 # number of overall MSHR misses
+system.cpu1.l2cache.ReadReq_mshr_miss_latency::cpu1.dtb.walker 3817500 # number of ReadReq MSHR miss cycles
+system.cpu1.l2cache.ReadReq_mshr_miss_latency::cpu1.itb.walker 2186000 # number of ReadReq MSHR miss cycles
+system.cpu1.l2cache.ReadReq_mshr_miss_latency::cpu1.inst 137414260 # number of ReadReq MSHR miss cycles
+system.cpu1.l2cache.ReadReq_mshr_miss_latency::cpu1.data 1062900207 # number of ReadReq MSHR miss cycles
+system.cpu1.l2cache.ReadReq_mshr_miss_latency::total 1206317967 # number of ReadReq MSHR miss cycles
+system.cpu1.l2cache.HardPFReq_mshr_miss_latency::cpu1.l2cache.prefetcher 7048181570 # number of HardPFReq MSHR miss cycles
+system.cpu1.l2cache.HardPFReq_mshr_miss_latency::total 7048181570 # number of HardPFReq MSHR miss cycles
+system.cpu1.l2cache.UpgradeReq_mshr_miss_latency::cpu1.data 332046976 # number of UpgradeReq MSHR miss cycles
+system.cpu1.l2cache.UpgradeReq_mshr_miss_latency::total 332046976 # number of UpgradeReq MSHR miss cycles
+system.cpu1.l2cache.SCUpgradeReq_mshr_miss_latency::cpu1.data 180785968 # number of SCUpgradeReq MSHR miss cycles
+system.cpu1.l2cache.SCUpgradeReq_mshr_miss_latency::total 180785968 # number of SCUpgradeReq MSHR miss cycles
+system.cpu1.l2cache.SCUpgradeFailReq_mshr_miss_latency::cpu1.data 373999 # number of SCUpgradeFailReq MSHR miss cycles
+system.cpu1.l2cache.SCUpgradeFailReq_mshr_miss_latency::total 373999 # number of SCUpgradeFailReq MSHR miss cycles
+system.cpu1.l2cache.ReadExReq_mshr_miss_latency::cpu1.data 591403879 # number of ReadExReq MSHR miss cycles
+system.cpu1.l2cache.ReadExReq_mshr_miss_latency::total 591403879 # number of ReadExReq MSHR miss cycles
+system.cpu1.l2cache.demand_mshr_miss_latency::cpu1.dtb.walker 3817500 # number of demand (read+write) MSHR miss cycles
+system.cpu1.l2cache.demand_mshr_miss_latency::cpu1.itb.walker 2186000 # number of demand (read+write) MSHR miss cycles
+system.cpu1.l2cache.demand_mshr_miss_latency::cpu1.inst 137414260 # number of demand (read+write) MSHR miss cycles
+system.cpu1.l2cache.demand_mshr_miss_latency::cpu1.data 1654304086 # number of demand (read+write) MSHR miss cycles
+system.cpu1.l2cache.demand_mshr_miss_latency::total 1797721846 # number of demand (read+write) MSHR miss cycles
+system.cpu1.l2cache.overall_mshr_miss_latency::cpu1.dtb.walker 3817500 # number of overall MSHR miss cycles
+system.cpu1.l2cache.overall_mshr_miss_latency::cpu1.itb.walker 2186000 # number of overall MSHR miss cycles
+system.cpu1.l2cache.overall_mshr_miss_latency::cpu1.inst 137414260 # number of overall MSHR miss cycles
+system.cpu1.l2cache.overall_mshr_miss_latency::cpu1.data 1654304086 # number of overall MSHR miss cycles
+system.cpu1.l2cache.overall_mshr_miss_latency::cpu1.l2cache.prefetcher 7048181570 # number of overall MSHR miss cycles
+system.cpu1.l2cache.overall_mshr_miss_latency::total 8845903416 # number of overall MSHR miss cycles
+system.cpu1.l2cache.ReadReq_mshr_uncacheable_latency::cpu1.inst 7648750 # number of ReadReq MSHR uncacheable cycles
+system.cpu1.l2cache.ReadReq_mshr_uncacheable_latency::cpu1.data 12231230753 # number of ReadReq MSHR uncacheable cycles
+system.cpu1.l2cache.ReadReq_mshr_uncacheable_latency::total 12238879503 # number of ReadReq MSHR uncacheable cycles
+system.cpu1.l2cache.WriteReq_mshr_uncacheable_latency::cpu1.data 28539732155 # number of WriteReq MSHR uncacheable cycles
+system.cpu1.l2cache.WriteReq_mshr_uncacheable_latency::total 28539732155 # number of WriteReq MSHR uncacheable cycles
+system.cpu1.l2cache.overall_mshr_uncacheable_latency::cpu1.inst 7648750 # number of overall MSHR uncacheable cycles
+system.cpu1.l2cache.overall_mshr_uncacheable_latency::cpu1.data 40770962908 # number of overall MSHR uncacheable cycles
+system.cpu1.l2cache.overall_mshr_uncacheable_latency::total 40778611658 # number of overall MSHR uncacheable cycles
+system.cpu1.l2cache.ReadReq_mshr_miss_rate::cpu1.dtb.walker 0.041602 # mshr miss rate for ReadReq accesses
+system.cpu1.l2cache.ReadReq_mshr_miss_rate::cpu1.itb.walker 0.069348 # mshr miss rate for ReadReq accesses
+system.cpu1.l2cache.ReadReq_mshr_miss_rate::cpu1.inst 0.014936 # mshr miss rate for ReadReq accesses
+system.cpu1.l2cache.ReadReq_mshr_miss_rate::cpu1.data 0.251119 # mshr miss rate for ReadReq accesses
+system.cpu1.l2cache.ReadReq_mshr_miss_rate::total 0.102958 # mshr miss rate for ReadReq accesses
+system.cpu1.l2cache.HardPFReq_mshr_miss_rate::cpu1.l2cache.prefetcher inf # mshr miss rate for HardPFReq accesses
+system.cpu1.l2cache.HardPFReq_mshr_miss_rate::total inf # mshr miss rate for HardPFReq accesses
+system.cpu1.l2cache.UpgradeReq_mshr_miss_rate::cpu1.data 0.938411 # mshr miss rate for UpgradeReq accesses
+system.cpu1.l2cache.UpgradeReq_mshr_miss_rate::total 0.938411 # mshr miss rate for UpgradeReq accesses
+system.cpu1.l2cache.SCUpgradeReq_mshr_miss_rate::cpu1.data 0.935255 # mshr miss rate for SCUpgradeReq accesses
+system.cpu1.l2cache.SCUpgradeReq_mshr_miss_rate::total 0.935255 # mshr miss rate for SCUpgradeReq accesses
+system.cpu1.l2cache.SCUpgradeFailReq_mshr_miss_rate::cpu1.data 1 # mshr miss rate for SCUpgradeFailReq accesses
+system.cpu1.l2cache.SCUpgradeFailReq_mshr_miss_rate::total 1 # mshr miss rate for SCUpgradeFailReq accesses
+system.cpu1.l2cache.ReadExReq_mshr_miss_rate::cpu1.data 0.201397 # mshr miss rate for ReadExReq accesses
+system.cpu1.l2cache.ReadExReq_mshr_miss_rate::total 0.201397 # mshr miss rate for ReadExReq accesses
+system.cpu1.l2cache.demand_mshr_miss_rate::cpu1.dtb.walker 0.041602 # mshr miss rate for demand accesses
+system.cpu1.l2cache.demand_mshr_miss_rate::cpu1.itb.walker 0.069348 # mshr miss rate for demand accesses
+system.cpu1.l2cache.demand_mshr_miss_rate::cpu1.inst 0.014936 # mshr miss rate for demand accesses
+system.cpu1.l2cache.demand_mshr_miss_rate::cpu1.data 0.234845 # mshr miss rate for demand accesses
+system.cpu1.l2cache.demand_mshr_miss_rate::total 0.117995 # mshr miss rate for demand accesses
+system.cpu1.l2cache.overall_mshr_miss_rate::cpu1.dtb.walker 0.041602 # mshr miss rate for overall accesses
+system.cpu1.l2cache.overall_mshr_miss_rate::cpu1.itb.walker 0.069348 # mshr miss rate for overall accesses
+system.cpu1.l2cache.overall_mshr_miss_rate::cpu1.inst 0.014936 # mshr miss rate for overall accesses
+system.cpu1.l2cache.overall_mshr_miss_rate::cpu1.data 0.234845 # mshr miss rate for overall accesses
+system.cpu1.l2cache.overall_mshr_miss_rate::cpu1.l2cache.prefetcher inf # mshr miss rate for overall accesses
+system.cpu1.l2cache.overall_mshr_miss_rate::total 0.309501 # mshr miss rate for overall accesses
+system.cpu1.l2cache.ReadReq_avg_mshr_miss_latency::cpu1.dtb.walker 14244.402985 # average ReadReq mshr miss latency
+system.cpu1.l2cache.ReadReq_avg_mshr_miss_latency::cpu1.itb.walker 12934.911243 # average ReadReq mshr miss latency
+system.cpu1.l2cache.ReadReq_avg_mshr_miss_latency::cpu1.inst 24494.520499 # average ReadReq mshr miss latency
+system.cpu1.l2cache.ReadReq_avg_mshr_miss_latency::cpu1.data 18698.875974 # average ReadReq mshr miss latency
+system.cpu1.l2cache.ReadReq_avg_mshr_miss_latency::total 19181.395564 # average ReadReq mshr miss latency
+system.cpu1.l2cache.HardPFReq_avg_mshr_miss_latency::cpu1.l2cache.prefetcher 51048.255365 # average HardPFReq mshr miss latency
+system.cpu1.l2cache.HardPFReq_avg_mshr_miss_latency::total 51048.255365 # average HardPFReq mshr miss latency
+system.cpu1.l2cache.UpgradeReq_avg_mshr_miss_latency::cpu1.data 16263.259832 # average UpgradeReq mshr miss latency
+system.cpu1.l2cache.UpgradeReq_avg_mshr_miss_latency::total 16263.259832 # average UpgradeReq mshr miss latency
+system.cpu1.l2cache.SCUpgradeReq_avg_mshr_miss_latency::cpu1.data 14141.580726 # average SCUpgradeReq mshr miss latency
+system.cpu1.l2cache.SCUpgradeReq_avg_mshr_miss_latency::total 14141.580726 # average SCUpgradeReq mshr miss latency
+system.cpu1.l2cache.SCUpgradeFailReq_avg_mshr_miss_latency::cpu1.data 186999.500000 # average SCUpgradeFailReq mshr miss latency
+system.cpu1.l2cache.SCUpgradeFailReq_avg_mshr_miss_latency::total 186999.500000 # average SCUpgradeFailReq mshr miss latency
+system.cpu1.l2cache.ReadExReq_avg_mshr_miss_latency::cpu1.data 26663.835843 # average ReadExReq mshr miss latency
+system.cpu1.l2cache.ReadExReq_avg_mshr_miss_latency::total 26663.835843 # average ReadExReq mshr miss latency
+system.cpu1.l2cache.demand_avg_mshr_miss_latency::cpu1.dtb.walker 14244.402985 # average overall mshr miss latency
+system.cpu1.l2cache.demand_avg_mshr_miss_latency::cpu1.itb.walker 12934.911243 # average overall mshr miss latency
+system.cpu1.l2cache.demand_avg_mshr_miss_latency::cpu1.inst 24494.520499 # average overall mshr miss latency
+system.cpu1.l2cache.demand_avg_mshr_miss_latency::cpu1.data 20934.463207 # average overall mshr miss latency
+system.cpu1.l2cache.demand_avg_mshr_miss_latency::total 21132.265734 # average overall mshr miss latency
+system.cpu1.l2cache.overall_avg_mshr_miss_latency::cpu1.dtb.walker 14244.402985 # average overall mshr miss latency
+system.cpu1.l2cache.overall_avg_mshr_miss_latency::cpu1.itb.walker 12934.911243 # average overall mshr miss latency
+system.cpu1.l2cache.overall_avg_mshr_miss_latency::cpu1.inst 24494.520499 # average overall mshr miss latency
+system.cpu1.l2cache.overall_avg_mshr_miss_latency::cpu1.data 20934.463207 # average overall mshr miss latency
+system.cpu1.l2cache.overall_avg_mshr_miss_latency::cpu1.l2cache.prefetcher 51048.255365 # average overall mshr miss latency
+system.cpu1.l2cache.overall_avg_mshr_miss_latency::total 39643.018101 # average overall mshr miss latency
+system.cpu1.l2cache.ReadReq_avg_mshr_uncacheable_latency::cpu1.inst inf # average ReadReq mshr uncacheable latency
+system.cpu1.l2cache.ReadReq_avg_mshr_uncacheable_latency::cpu1.data inf # average ReadReq mshr uncacheable latency
+system.cpu1.l2cache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
+system.cpu1.l2cache.WriteReq_avg_mshr_uncacheable_latency::cpu1.data inf # average WriteReq mshr uncacheable latency
+system.cpu1.l2cache.WriteReq_avg_mshr_uncacheable_latency::total inf # average WriteReq mshr uncacheable latency
+system.cpu1.l2cache.overall_avg_mshr_uncacheable_latency::cpu1.inst inf # average overall mshr uncacheable latency
+system.cpu1.l2cache.overall_avg_mshr_uncacheable_latency::cpu1.data inf # average overall mshr uncacheable latency
+system.cpu1.l2cache.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
+system.cpu1.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu1.dcache.tags.replacements 313601 # number of replacements
+system.cpu1.dcache.tags.tagsinuse 474.302028 # Cycle average of tags in use
+system.cpu1.dcache.tags.total_refs 10949850 # Total number of references to valid blocks.
+system.cpu1.dcache.tags.sampled_refs 314113 # Sample count of references to valid blocks.
+system.cpu1.dcache.tags.avg_refs 34.859589 # Average number of references to valid blocks.
+system.cpu1.dcache.tags.warmup_cycle 76456711000 # Cycle when the warmup percentage was hit.
+system.cpu1.dcache.tags.occ_blocks::cpu1.data 474.302028 # Average occupied blocks per requestor
+system.cpu1.dcache.tags.occ_percent::cpu1.data 0.926371 # Average percentage of cache occupancy
+system.cpu1.dcache.tags.occ_percent::total 0.926371 # Average percentage of cache occupancy
+system.cpu1.dcache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
+system.cpu1.dcache.tags.age_task_id_blocks_1024::0 120 # Occupied blocks per task id
+system.cpu1.dcache.tags.age_task_id_blocks_1024::1 287 # Occupied blocks per task id
+system.cpu1.dcache.tags.age_task_id_blocks_1024::2 105 # Occupied blocks per task id
+system.cpu1.dcache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
+system.cpu1.dcache.tags.tag_accesses 22948274 # Number of tag accesses
+system.cpu1.dcache.tags.data_accesses 22948274 # Number of data accesses
+system.cpu1.dcache.ReadReq_hits::cpu1.data 6183420 # number of ReadReq hits
+system.cpu1.dcache.ReadReq_hits::total 6183420 # number of ReadReq hits
+system.cpu1.dcache.WriteReq_hits::cpu1.data 4558750 # number of WriteReq hits
+system.cpu1.dcache.WriteReq_hits::total 4558750 # number of WriteReq hits
+system.cpu1.dcache.SoftPFReq_hits::cpu1.data 19290 # number of SoftPFReq hits
+system.cpu1.dcache.SoftPFReq_hits::total 19290 # number of SoftPFReq hits
+system.cpu1.dcache.LoadLockedReq_hits::cpu1.data 77402 # number of LoadLockedReq hits
+system.cpu1.dcache.LoadLockedReq_hits::total 77402 # number of LoadLockedReq hits
+system.cpu1.dcache.StoreCondReq_hits::cpu1.data 75753 # number of StoreCondReq hits
+system.cpu1.dcache.StoreCondReq_hits::total 75753 # number of StoreCondReq hits
+system.cpu1.dcache.demand_hits::cpu1.data 10742170 # number of demand (read+write) hits
+system.cpu1.dcache.demand_hits::total 10742170 # number of demand (read+write) hits
+system.cpu1.dcache.overall_hits::cpu1.data 10761460 # number of overall hits
+system.cpu1.dcache.overall_hits::total 10761460 # number of overall hits
+system.cpu1.dcache.ReadReq_misses::cpu1.data 187243 # number of ReadReq misses
+system.cpu1.dcache.ReadReq_misses::total 187243 # number of ReadReq misses
+system.cpu1.dcache.WriteReq_misses::cpu1.data 134937 # number of WriteReq misses
+system.cpu1.dcache.WriteReq_misses::total 134937 # number of WriteReq misses
+system.cpu1.dcache.SoftPFReq_misses::cpu1.data 43327 # number of SoftPFReq misses
+system.cpu1.dcache.SoftPFReq_misses::total 43327 # number of SoftPFReq misses
+system.cpu1.dcache.LoadLockedReq_misses::cpu1.data 12089 # number of LoadLockedReq misses
+system.cpu1.dcache.LoadLockedReq_misses::total 12089 # number of LoadLockedReq misses
+system.cpu1.dcache.StoreCondReq_misses::cpu1.data 13673 # number of StoreCondReq misses
+system.cpu1.dcache.StoreCondReq_misses::total 13673 # number of StoreCondReq misses
+system.cpu1.dcache.demand_misses::cpu1.data 322180 # number of demand (read+write) misses
+system.cpu1.dcache.demand_misses::total 322180 # number of demand (read+write) misses
+system.cpu1.dcache.overall_misses::cpu1.data 365507 # number of overall misses
+system.cpu1.dcache.overall_misses::total 365507 # number of overall misses
+system.cpu1.dcache.ReadReq_miss_latency::cpu1.data 2299329756 # number of ReadReq miss cycles
+system.cpu1.dcache.ReadReq_miss_latency::total 2299329756 # number of ReadReq miss cycles
+system.cpu1.dcache.WriteReq_miss_latency::cpu1.data 2509975628 # number of WriteReq miss cycles
+system.cpu1.dcache.WriteReq_miss_latency::total 2509975628 # number of WriteReq miss cycles
+system.cpu1.dcache.LoadLockedReq_miss_latency::cpu1.data 218034000 # number of LoadLockedReq miss cycles
+system.cpu1.dcache.LoadLockedReq_miss_latency::total 218034000 # number of LoadLockedReq miss cycles
+system.cpu1.dcache.StoreCondReq_miss_latency::cpu1.data 317344970 # number of StoreCondReq miss cycles
+system.cpu1.dcache.StoreCondReq_miss_latency::total 317344970 # number of StoreCondReq miss cycles
+system.cpu1.dcache.StoreCondFailReq_miss_latency::cpu1.data 524000 # number of StoreCondFailReq miss cycles
+system.cpu1.dcache.StoreCondFailReq_miss_latency::total 524000 # number of StoreCondFailReq miss cycles
+system.cpu1.dcache.demand_miss_latency::cpu1.data 4809305384 # number of demand (read+write) miss cycles
+system.cpu1.dcache.demand_miss_latency::total 4809305384 # number of demand (read+write) miss cycles
+system.cpu1.dcache.overall_miss_latency::cpu1.data 4809305384 # number of overall miss cycles
+system.cpu1.dcache.overall_miss_latency::total 4809305384 # number of overall miss cycles
+system.cpu1.dcache.ReadReq_accesses::cpu1.data 6370663 # number of ReadReq accesses(hits+misses)
+system.cpu1.dcache.ReadReq_accesses::total 6370663 # number of ReadReq accesses(hits+misses)
+system.cpu1.dcache.WriteReq_accesses::cpu1.data 4693687 # number of WriteReq accesses(hits+misses)
+system.cpu1.dcache.WriteReq_accesses::total 4693687 # number of WriteReq accesses(hits+misses)
+system.cpu1.dcache.SoftPFReq_accesses::cpu1.data 62617 # number of SoftPFReq accesses(hits+misses)
+system.cpu1.dcache.SoftPFReq_accesses::total 62617 # number of SoftPFReq accesses(hits+misses)
+system.cpu1.dcache.LoadLockedReq_accesses::cpu1.data 89491 # number of LoadLockedReq accesses(hits+misses)
+system.cpu1.dcache.LoadLockedReq_accesses::total 89491 # number of LoadLockedReq accesses(hits+misses)
+system.cpu1.dcache.StoreCondReq_accesses::cpu1.data 89426 # number of StoreCondReq accesses(hits+misses)
+system.cpu1.dcache.StoreCondReq_accesses::total 89426 # number of StoreCondReq accesses(hits+misses)
+system.cpu1.dcache.demand_accesses::cpu1.data 11064350 # number of demand (read+write) accesses
+system.cpu1.dcache.demand_accesses::total 11064350 # number of demand (read+write) accesses
+system.cpu1.dcache.overall_accesses::cpu1.data 11126967 # number of overall (read+write) accesses
+system.cpu1.dcache.overall_accesses::total 11126967 # number of overall (read+write) accesses
+system.cpu1.dcache.ReadReq_miss_rate::cpu1.data 0.029391 # miss rate for ReadReq accesses
+system.cpu1.dcache.ReadReq_miss_rate::total 0.029391 # miss rate for ReadReq accesses
+system.cpu1.dcache.WriteReq_miss_rate::cpu1.data 0.028749 # miss rate for WriteReq accesses
+system.cpu1.dcache.WriteReq_miss_rate::total 0.028749 # miss rate for WriteReq accesses
+system.cpu1.dcache.SoftPFReq_miss_rate::cpu1.data 0.691937 # miss rate for SoftPFReq accesses
+system.cpu1.dcache.SoftPFReq_miss_rate::total 0.691937 # miss rate for SoftPFReq accesses
+system.cpu1.dcache.LoadLockedReq_miss_rate::cpu1.data 0.135086 # miss rate for LoadLockedReq accesses
+system.cpu1.dcache.LoadLockedReq_miss_rate::total 0.135086 # miss rate for LoadLockedReq accesses
+system.cpu1.dcache.StoreCondReq_miss_rate::cpu1.data 0.152897 # miss rate for StoreCondReq accesses
+system.cpu1.dcache.StoreCondReq_miss_rate::total 0.152897 # miss rate for StoreCondReq accesses
+system.cpu1.dcache.demand_miss_rate::cpu1.data 0.029119 # miss rate for demand accesses
+system.cpu1.dcache.demand_miss_rate::total 0.029119 # miss rate for demand accesses
+system.cpu1.dcache.overall_miss_rate::cpu1.data 0.032849 # miss rate for overall accesses
+system.cpu1.dcache.overall_miss_rate::total 0.032849 # miss rate for overall accesses
+system.cpu1.dcache.ReadReq_avg_miss_latency::cpu1.data 12279.923714 # average ReadReq miss latency
+system.cpu1.dcache.ReadReq_avg_miss_latency::total 12279.923714 # average ReadReq miss latency
+system.cpu1.dcache.WriteReq_avg_miss_latency::cpu1.data 18601.092569 # average WriteReq miss latency
+system.cpu1.dcache.WriteReq_avg_miss_latency::total 18601.092569 # average WriteReq miss latency
+system.cpu1.dcache.LoadLockedReq_avg_miss_latency::cpu1.data 18035.734966 # average LoadLockedReq miss latency
+system.cpu1.dcache.LoadLockedReq_avg_miss_latency::total 18035.734966 # average LoadLockedReq miss latency
+system.cpu1.dcache.StoreCondReq_avg_miss_latency::cpu1.data 23209.607987 # average StoreCondReq miss latency
+system.cpu1.dcache.StoreCondReq_avg_miss_latency::total 23209.607987 # average StoreCondReq miss latency
+system.cpu1.dcache.StoreCondFailReq_avg_miss_latency::cpu1.data inf # average StoreCondFailReq miss latency
+system.cpu1.dcache.StoreCondFailReq_avg_miss_latency::total inf # average StoreCondFailReq miss latency
+system.cpu1.dcache.demand_avg_miss_latency::cpu1.data 14927.386504 # average overall miss latency
+system.cpu1.dcache.demand_avg_miss_latency::total 14927.386504 # average overall miss latency
+system.cpu1.dcache.overall_avg_miss_latency::cpu1.data 13157.902267 # average overall miss latency
+system.cpu1.dcache.overall_avg_miss_latency::total 13157.902267 # average overall miss latency
+system.cpu1.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu1.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu1.dcache.blocked::no_mshrs 1 # number of cycles access was blocked
+system.cpu1.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
system.cpu1.dcache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu1.dcache.avg_blocked_cycles::no_mshrs 57 # average number of cycles each access was blocked
+system.cpu1.dcache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
system.cpu1.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu1.dcache.fast_writes 0 # number of fast writes performed
system.cpu1.dcache.cache_copies 0 # number of cache copies performed
-system.cpu1.dcache.writebacks::writebacks 264973 # number of writebacks
-system.cpu1.dcache.writebacks::total 264973 # number of writebacks
-system.cpu1.dcache.ReadReq_mshr_hits::cpu1.data 379 # number of ReadReq MSHR hits
-system.cpu1.dcache.ReadReq_mshr_hits::total 379 # number of ReadReq MSHR hits
-system.cpu1.dcache.WriteReq_mshr_hits::cpu1.data 2067 # number of WriteReq MSHR hits
-system.cpu1.dcache.WriteReq_mshr_hits::total 2067 # number of WriteReq MSHR hits
-system.cpu1.dcache.demand_mshr_hits::cpu1.data 2446 # number of demand (read+write) MSHR hits
-system.cpu1.dcache.demand_mshr_hits::total 2446 # number of demand (read+write) MSHR hits
-system.cpu1.dcache.overall_mshr_hits::cpu1.data 2446 # number of overall MSHR hits
-system.cpu1.dcache.overall_mshr_hits::total 2446 # number of overall MSHR hits
-system.cpu1.dcache.ReadReq_mshr_misses::cpu1.data 143674 # number of ReadReq MSHR misses
-system.cpu1.dcache.ReadReq_mshr_misses::total 143674 # number of ReadReq MSHR misses
-system.cpu1.dcache.WriteReq_mshr_misses::cpu1.data 150015 # number of WriteReq MSHR misses
-system.cpu1.dcache.WriteReq_mshr_misses::total 150015 # number of WriteReq MSHR misses
-system.cpu1.dcache.SoftPFReq_mshr_misses::cpu1.data 26855 # number of SoftPFReq MSHR misses
-system.cpu1.dcache.SoftPFReq_mshr_misses::total 26855 # number of SoftPFReq MSHR misses
-system.cpu1.dcache.LoadLockedReq_mshr_misses::cpu1.data 11222 # number of LoadLockedReq MSHR misses
-system.cpu1.dcache.LoadLockedReq_mshr_misses::total 11222 # number of LoadLockedReq MSHR misses
-system.cpu1.dcache.StoreCondReq_mshr_misses::cpu1.data 10062 # number of StoreCondReq MSHR misses
-system.cpu1.dcache.StoreCondReq_mshr_misses::total 10062 # number of StoreCondReq MSHR misses
-system.cpu1.dcache.demand_mshr_misses::cpu1.data 293689 # number of demand (read+write) MSHR misses
-system.cpu1.dcache.demand_mshr_misses::total 293689 # number of demand (read+write) MSHR misses
-system.cpu1.dcache.overall_mshr_misses::cpu1.data 320544 # number of overall MSHR misses
-system.cpu1.dcache.overall_mshr_misses::total 320544 # number of overall MSHR misses
-system.cpu1.dcache.ReadReq_mshr_miss_latency::cpu1.data 1427169251 # number of ReadReq MSHR miss cycles
-system.cpu1.dcache.ReadReq_mshr_miss_latency::total 1427169251 # number of ReadReq MSHR miss cycles
-system.cpu1.dcache.WriteReq_mshr_miss_latency::cpu1.data 6022199670 # number of WriteReq MSHR miss cycles
-system.cpu1.dcache.WriteReq_mshr_miss_latency::total 6022199670 # number of WriteReq MSHR miss cycles
-system.cpu1.dcache.SoftPFReq_mshr_miss_latency::cpu1.data 445093004 # number of SoftPFReq MSHR miss cycles
-system.cpu1.dcache.SoftPFReq_mshr_miss_latency::total 445093004 # number of SoftPFReq MSHR miss cycles
-system.cpu1.dcache.LoadLockedReq_mshr_miss_latency::cpu1.data 73834751 # number of LoadLockedReq MSHR miss cycles
-system.cpu1.dcache.LoadLockedReq_mshr_miss_latency::total 73834751 # number of LoadLockedReq MSHR miss cycles
-system.cpu1.dcache.StoreCondReq_mshr_miss_latency::cpu1.data 31881029 # number of StoreCondReq MSHR miss cycles
-system.cpu1.dcache.StoreCondReq_mshr_miss_latency::total 31881029 # number of StoreCondReq MSHR miss cycles
-system.cpu1.dcache.demand_mshr_miss_latency::cpu1.data 7449368921 # number of demand (read+write) MSHR miss cycles
-system.cpu1.dcache.demand_mshr_miss_latency::total 7449368921 # number of demand (read+write) MSHR miss cycles
-system.cpu1.dcache.overall_mshr_miss_latency::cpu1.data 7894461925 # number of overall MSHR miss cycles
-system.cpu1.dcache.overall_mshr_miss_latency::total 7894461925 # number of overall MSHR miss cycles
-system.cpu1.dcache.ReadReq_mshr_uncacheable_latency::cpu1.data 168604609000 # number of ReadReq MSHR uncacheable cycles
-system.cpu1.dcache.ReadReq_mshr_uncacheable_latency::total 168604609000 # number of ReadReq MSHR uncacheable cycles
-system.cpu1.dcache.WriteReq_mshr_uncacheable_latency::cpu1.data 25187299088 # number of WriteReq MSHR uncacheable cycles
-system.cpu1.dcache.WriteReq_mshr_uncacheable_latency::total 25187299088 # number of WriteReq MSHR uncacheable cycles
-system.cpu1.dcache.overall_mshr_uncacheable_latency::cpu1.data 193791908088 # number of overall MSHR uncacheable cycles
-system.cpu1.dcache.overall_mshr_uncacheable_latency::total 193791908088 # number of overall MSHR uncacheable cycles
-system.cpu1.dcache.ReadReq_mshr_miss_rate::cpu1.data 0.023361 # mshr miss rate for ReadReq accesses
-system.cpu1.dcache.ReadReq_mshr_miss_rate::total 0.023361 # mshr miss rate for ReadReq accesses
-system.cpu1.dcache.WriteReq_mshr_miss_rate::cpu1.data 0.030153 # mshr miss rate for WriteReq accesses
-system.cpu1.dcache.WriteReq_mshr_miss_rate::total 0.030153 # mshr miss rate for WriteReq accesses
-system.cpu1.dcache.SoftPFReq_mshr_miss_rate::cpu1.data 0.417275 # mshr miss rate for SoftPFReq accesses
-system.cpu1.dcache.SoftPFReq_mshr_miss_rate::total 0.417275 # mshr miss rate for SoftPFReq accesses
-system.cpu1.dcache.LoadLockedReq_mshr_miss_rate::cpu1.data 0.120462 # mshr miss rate for LoadLockedReq accesses
-system.cpu1.dcache.LoadLockedReq_mshr_miss_rate::total 0.120462 # mshr miss rate for LoadLockedReq accesses
-system.cpu1.dcache.StoreCondReq_mshr_miss_rate::cpu1.data 0.108461 # mshr miss rate for StoreCondReq accesses
-system.cpu1.dcache.StoreCondReq_mshr_miss_rate::total 0.108461 # mshr miss rate for StoreCondReq accesses
-system.cpu1.dcache.demand_mshr_miss_rate::cpu1.data 0.026398 # mshr miss rate for demand accesses
-system.cpu1.dcache.demand_mshr_miss_rate::total 0.026398 # mshr miss rate for demand accesses
-system.cpu1.dcache.overall_mshr_miss_rate::cpu1.data 0.028646 # mshr miss rate for overall accesses
-system.cpu1.dcache.overall_mshr_miss_rate::total 0.028646 # mshr miss rate for overall accesses
-system.cpu1.dcache.ReadReq_avg_mshr_miss_latency::cpu1.data 9933.385658 # average ReadReq mshr miss latency
-system.cpu1.dcache.ReadReq_avg_mshr_miss_latency::total 9933.385658 # average ReadReq mshr miss latency
-system.cpu1.dcache.WriteReq_avg_mshr_miss_latency::cpu1.data 40143.983402 # average WriteReq mshr miss latency
-system.cpu1.dcache.WriteReq_avg_mshr_miss_latency::total 40143.983402 # average WriteReq mshr miss latency
-system.cpu1.dcache.SoftPFReq_avg_mshr_miss_latency::cpu1.data 16573.934239 # average SoftPFReq mshr miss latency
-system.cpu1.dcache.SoftPFReq_avg_mshr_miss_latency::total 16573.934239 # average SoftPFReq mshr miss latency
-system.cpu1.dcache.LoadLockedReq_avg_mshr_miss_latency::cpu1.data 6579.464534 # average LoadLockedReq mshr miss latency
-system.cpu1.dcache.LoadLockedReq_avg_mshr_miss_latency::total 6579.464534 # average LoadLockedReq mshr miss latency
-system.cpu1.dcache.StoreCondReq_avg_mshr_miss_latency::cpu1.data 3168.458458 # average StoreCondReq mshr miss latency
-system.cpu1.dcache.StoreCondReq_avg_mshr_miss_latency::total 3168.458458 # average StoreCondReq mshr miss latency
-system.cpu1.dcache.demand_avg_mshr_miss_latency::cpu1.data 25364.821022 # average overall mshr miss latency
-system.cpu1.dcache.demand_avg_mshr_miss_latency::total 25364.821022 # average overall mshr miss latency
-system.cpu1.dcache.overall_avg_mshr_miss_latency::cpu1.data 24628.325363 # average overall mshr miss latency
-system.cpu1.dcache.overall_avg_mshr_miss_latency::total 24628.325363 # average overall mshr miss latency
+system.cpu1.dcache.writebacks::writebacks 225255 # number of writebacks
+system.cpu1.dcache.writebacks::total 225255 # number of writebacks
+system.cpu1.dcache.ReadReq_mshr_hits::cpu1.data 794 # number of ReadReq MSHR hits
+system.cpu1.dcache.ReadReq_mshr_hits::total 794 # number of ReadReq MSHR hits
+system.cpu1.dcache.WriteReq_mshr_hits::cpu1.data 3242 # number of WriteReq MSHR hits
+system.cpu1.dcache.WriteReq_mshr_hits::total 3242 # number of WriteReq MSHR hits
+system.cpu1.dcache.demand_mshr_hits::cpu1.data 4036 # number of demand (read+write) MSHR hits
+system.cpu1.dcache.demand_mshr_hits::total 4036 # number of demand (read+write) MSHR hits
+system.cpu1.dcache.overall_mshr_hits::cpu1.data 4036 # number of overall MSHR hits
+system.cpu1.dcache.overall_mshr_hits::total 4036 # number of overall MSHR hits
+system.cpu1.dcache.ReadReq_mshr_misses::cpu1.data 186449 # number of ReadReq MSHR misses
+system.cpu1.dcache.ReadReq_mshr_misses::total 186449 # number of ReadReq MSHR misses
+system.cpu1.dcache.WriteReq_mshr_misses::cpu1.data 131695 # number of WriteReq MSHR misses
+system.cpu1.dcache.WriteReq_mshr_misses::total 131695 # number of WriteReq MSHR misses
+system.cpu1.dcache.SoftPFReq_mshr_misses::cpu1.data 27821 # number of SoftPFReq MSHR misses
+system.cpu1.dcache.SoftPFReq_mshr_misses::total 27821 # number of SoftPFReq MSHR misses
+system.cpu1.dcache.LoadLockedReq_mshr_misses::cpu1.data 12089 # number of LoadLockedReq MSHR misses
+system.cpu1.dcache.LoadLockedReq_mshr_misses::total 12089 # number of LoadLockedReq MSHR misses
+system.cpu1.dcache.StoreCondReq_mshr_misses::cpu1.data 13671 # number of StoreCondReq MSHR misses
+system.cpu1.dcache.StoreCondReq_mshr_misses::total 13671 # number of StoreCondReq MSHR misses
+system.cpu1.dcache.demand_mshr_misses::cpu1.data 318144 # number of demand (read+write) MSHR misses
+system.cpu1.dcache.demand_mshr_misses::total 318144 # number of demand (read+write) MSHR misses
+system.cpu1.dcache.overall_mshr_misses::cpu1.data 345965 # number of overall MSHR misses
+system.cpu1.dcache.overall_mshr_misses::total 345965 # number of overall MSHR misses
+system.cpu1.dcache.ReadReq_mshr_miss_latency::cpu1.data 1916001744 # number of ReadReq MSHR miss cycles
+system.cpu1.dcache.ReadReq_mshr_miss_latency::total 1916001744 # number of ReadReq MSHR miss cycles
+system.cpu1.dcache.WriteReq_mshr_miss_latency::cpu1.data 2027549872 # number of WriteReq MSHR miss cycles
+system.cpu1.dcache.WriteReq_mshr_miss_latency::total 2027549872 # number of WriteReq MSHR miss cycles
+system.cpu1.dcache.SoftPFReq_mshr_miss_latency::cpu1.data 596503999 # number of SoftPFReq MSHR miss cycles
+system.cpu1.dcache.SoftPFReq_mshr_miss_latency::total 596503999 # number of SoftPFReq MSHR miss cycles
+system.cpu1.dcache.LoadLockedReq_mshr_miss_latency::cpu1.data 193851000 # number of LoadLockedReq MSHR miss cycles
+system.cpu1.dcache.LoadLockedReq_mshr_miss_latency::total 193851000 # number of LoadLockedReq MSHR miss cycles
+system.cpu1.dcache.StoreCondReq_mshr_miss_latency::cpu1.data 289002030 # number of StoreCondReq MSHR miss cycles
+system.cpu1.dcache.StoreCondReq_mshr_miss_latency::total 289002030 # number of StoreCondReq MSHR miss cycles
+system.cpu1.dcache.StoreCondFailReq_mshr_miss_latency::cpu1.data 494000 # number of StoreCondFailReq MSHR miss cycles
+system.cpu1.dcache.StoreCondFailReq_mshr_miss_latency::total 494000 # number of StoreCondFailReq MSHR miss cycles
+system.cpu1.dcache.demand_mshr_miss_latency::cpu1.data 3943551616 # number of demand (read+write) MSHR miss cycles
+system.cpu1.dcache.demand_mshr_miss_latency::total 3943551616 # number of demand (read+write) MSHR miss cycles
+system.cpu1.dcache.overall_mshr_miss_latency::cpu1.data 4540055615 # number of overall MSHR miss cycles
+system.cpu1.dcache.overall_mshr_miss_latency::total 4540055615 # number of overall MSHR miss cycles
+system.cpu1.dcache.ReadReq_mshr_uncacheable_latency::cpu1.data 12848996742 # number of ReadReq MSHR uncacheable cycles
+system.cpu1.dcache.ReadReq_mshr_uncacheable_latency::total 12848996742 # number of ReadReq MSHR uncacheable cycles
+system.cpu1.dcache.WriteReq_mshr_uncacheable_latency::cpu1.data 34213847345 # number of WriteReq MSHR uncacheable cycles
+system.cpu1.dcache.WriteReq_mshr_uncacheable_latency::total 34213847345 # number of WriteReq MSHR uncacheable cycles
+system.cpu1.dcache.overall_mshr_uncacheable_latency::cpu1.data 47062844087 # number of overall MSHR uncacheable cycles
+system.cpu1.dcache.overall_mshr_uncacheable_latency::total 47062844087 # number of overall MSHR uncacheable cycles
+system.cpu1.dcache.ReadReq_mshr_miss_rate::cpu1.data 0.029267 # mshr miss rate for ReadReq accesses
+system.cpu1.dcache.ReadReq_mshr_miss_rate::total 0.029267 # mshr miss rate for ReadReq accesses
+system.cpu1.dcache.WriteReq_mshr_miss_rate::cpu1.data 0.028058 # mshr miss rate for WriteReq accesses
+system.cpu1.dcache.WriteReq_mshr_miss_rate::total 0.028058 # mshr miss rate for WriteReq accesses
+system.cpu1.dcache.SoftPFReq_mshr_miss_rate::cpu1.data 0.444304 # mshr miss rate for SoftPFReq accesses
+system.cpu1.dcache.SoftPFReq_mshr_miss_rate::total 0.444304 # mshr miss rate for SoftPFReq accesses
+system.cpu1.dcache.LoadLockedReq_mshr_miss_rate::cpu1.data 0.135086 # mshr miss rate for LoadLockedReq accesses
+system.cpu1.dcache.LoadLockedReq_mshr_miss_rate::total 0.135086 # mshr miss rate for LoadLockedReq accesses
+system.cpu1.dcache.StoreCondReq_mshr_miss_rate::cpu1.data 0.152875 # mshr miss rate for StoreCondReq accesses
+system.cpu1.dcache.StoreCondReq_mshr_miss_rate::total 0.152875 # mshr miss rate for StoreCondReq accesses
+system.cpu1.dcache.demand_mshr_miss_rate::cpu1.data 0.028754 # mshr miss rate for demand accesses
+system.cpu1.dcache.demand_mshr_miss_rate::total 0.028754 # mshr miss rate for demand accesses
+system.cpu1.dcache.overall_mshr_miss_rate::cpu1.data 0.031092 # mshr miss rate for overall accesses
+system.cpu1.dcache.overall_mshr_miss_rate::total 0.031092 # mshr miss rate for overall accesses
+system.cpu1.dcache.ReadReq_avg_mshr_miss_latency::cpu1.data 10276.277931 # average ReadReq mshr miss latency
+system.cpu1.dcache.ReadReq_avg_mshr_miss_latency::total 10276.277931 # average ReadReq mshr miss latency
+system.cpu1.dcache.WriteReq_avg_mshr_miss_latency::cpu1.data 15395.799932 # average WriteReq mshr miss latency
+system.cpu1.dcache.WriteReq_avg_mshr_miss_latency::total 15395.799932 # average WriteReq mshr miss latency
+system.cpu1.dcache.SoftPFReq_avg_mshr_miss_latency::cpu1.data 21440.782107 # average SoftPFReq mshr miss latency
+system.cpu1.dcache.SoftPFReq_avg_mshr_miss_latency::total 21440.782107 # average SoftPFReq mshr miss latency
+system.cpu1.dcache.LoadLockedReq_avg_mshr_miss_latency::cpu1.data 16035.321367 # average LoadLockedReq mshr miss latency
+system.cpu1.dcache.LoadLockedReq_avg_mshr_miss_latency::total 16035.321367 # average LoadLockedReq mshr miss latency
+system.cpu1.dcache.StoreCondReq_avg_mshr_miss_latency::cpu1.data 21139.787141 # average StoreCondReq mshr miss latency
+system.cpu1.dcache.StoreCondReq_avg_mshr_miss_latency::total 21139.787141 # average StoreCondReq mshr miss latency
+system.cpu1.dcache.StoreCondFailReq_avg_mshr_miss_latency::cpu1.data inf # average StoreCondFailReq mshr miss latency
+system.cpu1.dcache.StoreCondFailReq_avg_mshr_miss_latency::total inf # average StoreCondFailReq mshr miss latency
+system.cpu1.dcache.demand_avg_mshr_miss_latency::cpu1.data 12395.492657 # average overall mshr miss latency
+system.cpu1.dcache.demand_avg_mshr_miss_latency::total 12395.492657 # average overall mshr miss latency
+system.cpu1.dcache.overall_avg_mshr_miss_latency::cpu1.data 13122.875479 # average overall mshr miss latency
+system.cpu1.dcache.overall_avg_mshr_miss_latency::total 13122.875479 # average overall mshr miss latency
system.cpu1.dcache.ReadReq_avg_mshr_uncacheable_latency::cpu1.data inf # average ReadReq mshr uncacheable latency
system.cpu1.dcache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu1.dcache.WriteReq_avg_mshr_uncacheable_latency::cpu1.data inf # average WriteReq mshr uncacheable latency
@@ -1815,6 +2592,57 @@ system.cpu1.dcache.WriteReq_avg_mshr_uncacheable_latency::total inf
system.cpu1.dcache.overall_avg_mshr_uncacheable_latency::cpu1.data inf # average overall mshr uncacheable latency
system.cpu1.dcache.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu1.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu1.toL2Bus.trans_dist::ReadReq 957719 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::ReadResp 715905 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::WriteReq 756547 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::WriteResp 756547 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::Writeback 225255 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::HardPFReq 189199 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::UpgradeReq 53977 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::SCUpgradeReq 23970 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::UpgradeResp 50977 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::SCUpgradeFailReq 26 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::UpgradeFailResp 39 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::ReadExReq 119927 # Transaction distribution
+system.cpu1.toL2Bus.trans_dist::ReadExResp 111476 # Transaction distribution
+system.cpu1.toL2Bus.pkt_count_system.cpu1.icache.mem_side::system.cpu1.l2cache.cpu_side 751552 # Packet count per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_count_system.cpu1.dcache.mem_side::system.cpu1.l2cache.cpu_side 2675268 # Packet count per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_count_system.cpu1.itb.walker.dma::system.cpu1.l2cache.cpu_side 6827 # Packet count per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_count_system.cpu1.dtb.walker.dma::system.cpu1.l2cache.cpu_side 16819 # Packet count per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_count::total 3450466 # Packet count per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_size_system.cpu1.icache.mem_side::system.cpu1.l2cache.cpu_side 24038516 # Cumulative packet size per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_size_system.cpu1.dcache.mem_side::system.cpu1.l2cache.cpu_side 40612602 # Cumulative packet size per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_size_system.cpu1.itb.walker.dma::system.cpu1.l2cache.cpu_side 9748 # Cumulative packet size per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_size_system.cpu1.dtb.walker.dma::system.cpu1.l2cache.cpu_side 25768 # Cumulative packet size per connected master and slave (bytes)
+system.cpu1.toL2Bus.pkt_size::total 64686634 # Cumulative packet size per connected master and slave (bytes)
+system.cpu1.toL2Bus.snoops 549743 # Total snoops (count)
+system.cpu1.toL2Bus.snoop_fanout::samples 1492746 # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::mean 5.338347 # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::stdev 0.473147 # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::5 987680 66.17% 66.17% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::6 505066 33.83% 100.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::min_value 5 # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::max_value 6 # Request fanout histogram
+system.cpu1.toL2Bus.snoop_fanout::total 1492746 # Request fanout histogram
+system.cpu1.toL2Bus.reqLayer0.occupancy 1514414783 # Layer occupancy (ticks)
+system.cpu1.toL2Bus.reqLayer0.utilization 0.1 # Layer utilization (%)
+system.cpu1.toL2Bus.snoopLayer0.occupancy 42402999 # Layer occupancy (ticks)
+system.cpu1.toL2Bus.snoopLayer0.utilization 0.0 # Layer utilization (%)
+system.cpu1.toL2Bus.respLayer0.occupancy 563804260 # Layer occupancy (ticks)
+system.cpu1.toL2Bus.respLayer0.utilization 0.0 # Layer utilization (%)
+system.cpu1.toL2Bus.respLayer1.occupancy 984220768 # Layer occupancy (ticks)
+system.cpu1.toL2Bus.respLayer1.utilization 0.0 # Layer utilization (%)
+system.cpu1.toL2Bus.respLayer2.occupancy 4390000 # Layer occupancy (ticks)
+system.cpu1.toL2Bus.respLayer2.utilization 0.0 # Layer utilization (%)
+system.cpu1.toL2Bus.respLayer3.occupancy 10377250 # Layer occupancy (ticks)
+system.cpu1.toL2Bus.respLayer3.utilization 0.0 # Layer utilization (%)
system.iocache.tags.replacements 0 # number of replacements
system.iocache.tags.tagsinuse 0 # Cycle average of tags in use
system.iocache.tags.total_refs 0 # Total number of references to valid blocks.
@@ -1831,10 +2659,10 @@ system.iocache.avg_blocked_cycles::no_mshrs nan #
system.iocache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.iocache.fast_writes 0 # number of fast writes performed
system.iocache.cache_copies 0 # number of cache copies performed
-system.iocache.ReadReq_mshr_uncacheable_latency::realview.clcd 745112259250 # number of ReadReq MSHR uncacheable cycles
-system.iocache.ReadReq_mshr_uncacheable_latency::total 745112259250 # number of ReadReq MSHR uncacheable cycles
-system.iocache.overall_mshr_uncacheable_latency::realview.clcd 745112259250 # number of overall MSHR uncacheable cycles
-system.iocache.overall_mshr_uncacheable_latency::total 745112259250 # number of overall MSHR uncacheable cycles
+system.iocache.ReadReq_mshr_uncacheable_latency::realview.clcd 1782387791115 # number of ReadReq MSHR uncacheable cycles
+system.iocache.ReadReq_mshr_uncacheable_latency::total 1782387791115 # number of ReadReq MSHR uncacheable cycles
+system.iocache.overall_mshr_uncacheable_latency::realview.clcd 1782387791115 # number of overall MSHR uncacheable cycles
+system.iocache.overall_mshr_uncacheable_latency::total 1782387791115 # number of overall MSHR uncacheable cycles
system.iocache.ReadReq_avg_mshr_uncacheable_latency::realview.clcd inf # average ReadReq mshr uncacheable latency
system.iocache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.iocache.overall_avg_mshr_uncacheable_latency::realview.clcd inf # average overall mshr uncacheable latency
diff --git a/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing/stats.txt b/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing/stats.txt
index 563f1978d..51c016582 100644
--- a/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing/stats.txt
+++ b/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-simple-timing/stats.txt
@@ -1,134 +1,146 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 2.614581 # Number of seconds simulated
-sim_ticks 2614581252500 # Number of ticks simulated
-final_tick 2614581252500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 2.614572 # Number of seconds simulated
+sim_ticks 2614571564500 # Number of ticks simulated
+final_tick 2614571564500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 331710 # Simulator instruction rate (inst/s)
-host_op_rate 396174 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 14409825510 # Simulator tick rate (ticks/s)
-host_mem_usage 433940 # Number of bytes of host memory used
-host_seconds 181.44 # Real time elapsed on the host
-sim_insts 60186875 # Number of instructions simulated
-sim_ops 71883476 # Number of ops (including micro ops) simulated
+host_inst_rate 536806 # Simulator instruction rate (inst/s)
+host_op_rate 641128 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 23319189669 # Simulator tick rate (ticks/s)
+host_mem_usage 459056 # Number of bytes of host memory used
+host_seconds 112.12 # Real time elapsed on the host
+sim_insts 60187274 # Number of instructions simulated
+sim_ops 71883961 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
+system.realview.nvmem.bytes_read::cpu.inst 20 # Number of bytes read from this memory
+system.realview.nvmem.bytes_read::total 20 # Number of bytes read from this memory
+system.realview.nvmem.bytes_inst_read::cpu.inst 20 # Number of instructions bytes read from this memory
+system.realview.nvmem.bytes_inst_read::total 20 # Number of instructions bytes read from this memory
+system.realview.nvmem.num_reads::cpu.inst 5 # Number of read requests responded to by this memory
+system.realview.nvmem.num_reads::total 5 # Number of read requests responded to by this memory
+system.realview.nvmem.bw_read::cpu.inst 8 # Total read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_read::total 8 # Total read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_inst_read::cpu.inst 8 # Instruction read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_inst_read::total 8 # Instruction read bandwidth from this memory (bytes/s)
+system.realview.nvmem.bw_total::cpu.inst 8 # Total bandwidth to/from this memory (bytes/s)
+system.realview.nvmem.bw_total::total 8 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bytes_read::realview.clcd 122683392 # Number of bytes read from this memory
system.physmem.bytes_read::cpu.dtb.walker 320 # Number of bytes read from this memory
system.physmem.bytes_read::cpu.itb.walker 128 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.inst 704520 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.data 9109080 # Number of bytes read from this memory
-system.physmem.bytes_read::total 132497440 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu.inst 704520 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 704520 # Number of instructions bytes read from this memory
-system.physmem.bytes_written::writebacks 3720512 # Number of bytes written to this memory
+system.physmem.bytes_read::cpu.inst 704648 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu.data 9109336 # Number of bytes read from this memory
+system.physmem.bytes_read::total 132497824 # Number of bytes read from this memory
+system.physmem.bytes_inst_read::cpu.inst 704648 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::total 704648 # Number of instructions bytes read from this memory
+system.physmem.bytes_written::writebacks 3720832 # Number of bytes written to this memory
system.physmem.bytes_written::cpu.data 3016072 # Number of bytes written to this memory
-system.physmem.bytes_written::total 6736584 # Number of bytes written to this memory
+system.physmem.bytes_written::total 6736904 # Number of bytes written to this memory
system.physmem.num_reads::realview.clcd 15335424 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu.dtb.walker 5 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu.itb.walker 2 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.inst 17220 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.data 142355 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 15495006 # Number of read requests responded to by this memory
-system.physmem.num_writes::writebacks 58133 # Number of write requests responded to by this memory
+system.physmem.num_reads::cpu.inst 17222 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu.data 142359 # Number of read requests responded to by this memory
+system.physmem.num_reads::total 15495012 # Number of read requests responded to by this memory
+system.physmem.num_writes::writebacks 58138 # Number of write requests responded to by this memory
system.physmem.num_writes::cpu.data 754018 # Number of write requests responded to by this memory
-system.physmem.num_writes::total 812151 # Number of write requests responded to by this memory
-system.physmem.bw_read::realview.clcd 46922769 # Total read bandwidth from this memory (bytes/s)
+system.physmem.num_writes::total 812156 # Number of write requests responded to by this memory
+system.physmem.bw_read::realview.clcd 46922943 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu.dtb.walker 122 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu.itb.walker 49 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.inst 269458 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 3483954 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 50676352 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 269458 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 269458 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_write::writebacks 1422986 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu.data 1153558 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::total 2576544 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_total::writebacks 1422986 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::realview.clcd 46922769 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_read::cpu.inst 269508 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.data 3484065 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 50676687 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu.inst 269508 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 269508 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write::writebacks 1423113 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu.data 1153563 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::total 2576676 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total::writebacks 1423113 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::realview.clcd 46922943 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.dtb.walker 122 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.itb.walker 49 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 269458 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 4637512 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 53252896 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.readReqs 15495006 # Number of read requests accepted
-system.physmem.writeReqs 812151 # Number of write requests accepted
-system.physmem.readBursts 15495006 # Number of DRAM read bursts, including those serviced by the write queue
-system.physmem.writeBursts 812151 # Number of DRAM write bursts, including those merged in the write queue
-system.physmem.bytesReadDRAM 991553920 # Total number of bytes read from DRAM
-system.physmem.bytesReadWrQ 126464 # Total number of bytes read from write queue
-system.physmem.bytesWritten 6744512 # Total number of bytes written to DRAM
-system.physmem.bytesReadSys 132497440 # Total read bytes from the system interface side
-system.physmem.bytesWrittenSys 6736584 # Total written bytes from the system interface side
-system.physmem.servicedByWrQ 1976 # Number of DRAM read bursts serviced by the write queue
-system.physmem.mergedWrBursts 706747 # Number of DRAM write bursts merged with an existing one
+system.physmem.bw_total::cpu.inst 269508 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.data 4637627 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 53253363 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.readReqs 15495012 # Number of read requests accepted
+system.physmem.writeReqs 812156 # Number of write requests accepted
+system.physmem.readBursts 15495012 # Number of DRAM read bursts, including those serviced by the write queue
+system.physmem.writeBursts 812156 # Number of DRAM write bursts, including those merged in the write queue
+system.physmem.bytesReadDRAM 991563904 # Total number of bytes read from DRAM
+system.physmem.bytesReadWrQ 116864 # Total number of bytes read from write queue
+system.physmem.bytesWritten 6748800 # Total number of bytes written to DRAM
+system.physmem.bytesReadSys 132497824 # Total read bytes from the system interface side
+system.physmem.bytesWrittenSys 6736904 # Total written bytes from the system interface side
+system.physmem.servicedByWrQ 1826 # Number of DRAM read bursts serviced by the write queue
+system.physmem.mergedWrBursts 706685 # Number of DRAM write bursts merged with an existing one
system.physmem.neitherReadNorWriteReqs 4511 # Number of requests that are neither read nor write
-system.physmem.perBankRdBursts::0 968147 # Per bank write bursts
+system.physmem.perBankRdBursts::0 968097 # Per bank write bursts
system.physmem.perBankRdBursts::1 967810 # Per bank write bursts
system.physmem.perBankRdBursts::2 967673 # Per bank write bursts
system.physmem.perBankRdBursts::3 967915 # Per bank write bursts
-system.physmem.perBankRdBursts::4 974375 # Per bank write bursts
-system.physmem.perBankRdBursts::5 968054 # Per bank write bursts
+system.physmem.perBankRdBursts::4 974446 # Per bank write bursts
+system.physmem.perBankRdBursts::5 968066 # Per bank write bursts
system.physmem.perBankRdBursts::6 967653 # Per bank write bursts
-system.physmem.perBankRdBursts::7 967480 # Per bank write bursts
-system.physmem.perBankRdBursts::8 968459 # Per bank write bursts
+system.physmem.perBankRdBursts::7 967482 # Per bank write bursts
+system.physmem.perBankRdBursts::8 968460 # Per bank write bursts
system.physmem.perBankRdBursts::9 968209 # Per bank write bursts
system.physmem.perBankRdBursts::10 967967 # Per bank write bursts
system.physmem.perBankRdBursts::11 967960 # Per bank write bursts
-system.physmem.perBankRdBursts::12 967929 # Per bank write bursts
-system.physmem.perBankRdBursts::13 967878 # Per bank write bursts
+system.physmem.perBankRdBursts::12 967930 # Per bank write bursts
+system.physmem.perBankRdBursts::13 967880 # Per bank write bursts
system.physmem.perBankRdBursts::14 967953 # Per bank write bursts
-system.physmem.perBankRdBursts::15 967568 # Per bank write bursts
-system.physmem.perBankWrBursts::0 6652 # Per bank write bursts
-system.physmem.perBankWrBursts::1 6388 # Per bank write bursts
-system.physmem.perBankWrBursts::2 6319 # Per bank write bursts
-system.physmem.perBankWrBursts::3 6364 # Per bank write bursts
-system.physmem.perBankWrBursts::4 6622 # Per bank write bursts
-system.physmem.perBankWrBursts::5 6858 # Per bank write bursts
-system.physmem.perBankWrBursts::6 6646 # Per bank write bursts
-system.physmem.perBankWrBursts::7 6573 # Per bank write bursts
-system.physmem.perBankWrBursts::8 7007 # Per bank write bursts
+system.physmem.perBankRdBursts::15 967685 # Per bank write bursts
+system.physmem.perBankWrBursts::0 6670 # Per bank write bursts
+system.physmem.perBankWrBursts::1 6386 # Per bank write bursts
+system.physmem.perBankWrBursts::2 6320 # Per bank write bursts
+system.physmem.perBankWrBursts::3 6360 # Per bank write bursts
+system.physmem.perBankWrBursts::4 6634 # Per bank write bursts
+system.physmem.perBankWrBursts::5 6864 # Per bank write bursts
+system.physmem.perBankWrBursts::6 6659 # Per bank write bursts
+system.physmem.perBankWrBursts::7 6574 # Per bank write bursts
+system.physmem.perBankWrBursts::8 7028 # Per bank write bursts
system.physmem.perBankWrBursts::9 6769 # Per bank write bursts
system.physmem.perBankWrBursts::10 6571 # Per bank write bursts
-system.physmem.perBankWrBursts::11 6647 # Per bank write bursts
+system.physmem.perBankWrBursts::11 6645 # Per bank write bursts
system.physmem.perBankWrBursts::12 6565 # Per bank write bursts
-system.physmem.perBankWrBursts::13 6381 # Per bank write bursts
-system.physmem.perBankWrBursts::14 6555 # Per bank write bursts
-system.physmem.perBankWrBursts::15 6466 # Per bank write bursts
+system.physmem.perBankWrBursts::13 6383 # Per bank write bursts
+system.physmem.perBankWrBursts::14 6560 # Per bank write bursts
+system.physmem.perBankWrBursts::15 6462 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 2614576987500 # Total gap between requests
+system.physmem.totGap 2614567301000 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 6644 # Read request sizes (log2)
system.physmem.readPktSize::3 15335434 # Read request sizes (log2)
system.physmem.readPktSize::4 0 # Read request sizes (log2)
system.physmem.readPktSize::5 0 # Read request sizes (log2)
-system.physmem.readPktSize::6 152928 # Read request sizes (log2)
+system.physmem.readPktSize::6 152934 # Read request sizes (log2)
system.physmem.writePktSize::0 0 # Write request sizes (log2)
system.physmem.writePktSize::1 0 # Write request sizes (log2)
system.physmem.writePktSize::2 754018 # Write request sizes (log2)
system.physmem.writePktSize::3 0 # Write request sizes (log2)
system.physmem.writePktSize::4 0 # Write request sizes (log2)
system.physmem.writePktSize::5 0 # Write request sizes (log2)
-system.physmem.writePktSize::6 58133 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 1126497 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 970808 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::2 976433 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::3 1092616 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::4 986699 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::5 1053397 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::6 2722203 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::7 2628336 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::8 3415970 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::9 138177 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::10 115073 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::11 106569 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::12 103082 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::13 19658 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::14 18818 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::15 18605 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::16 89 # What read queue length does an incoming req see
+system.physmem.writePktSize::6 58138 # Write request sizes (log2)
+system.physmem.rdQLenPdf::0 1126447 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::1 970731 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::2 976234 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::3 1093523 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::4 987097 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::5 1054685 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::6 2721121 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::7 2624601 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::8 3412795 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::9 139881 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::10 116829 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::11 107818 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::12 104436 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::13 19578 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::14 18770 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::15 18545 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::16 95 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::17 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::18 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::19 0 # What read queue length does an incoming req see
@@ -159,25 +171,25 @@ system.physmem.wrQLenPdf::11 1 # Wh
system.physmem.wrQLenPdf::12 1 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::13 1 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::14 1 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::15 3716 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::16 3737 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::17 6110 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::18 6121 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::19 6124 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::20 6122 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::21 6122 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::22 6122 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::23 6123 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::24 6121 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::25 6120 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::26 6124 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::27 6124 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::28 6121 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::29 6120 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::30 6121 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::31 6120 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::32 6121 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::33 0 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::15 3703 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::16 3729 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::17 6107 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::18 6125 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::19 6132 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::20 6133 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::21 6136 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::22 6126 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::23 6125 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::24 6125 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::25 6125 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::26 6130 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::27 6132 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::28 6127 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::29 6126 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::30 6125 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::31 6124 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::32 6124 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::33 2 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::34 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::35 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::36 0 # What write queue length does an incoming req see
@@ -208,45 +220,45 @@ system.physmem.wrQLenPdf::60 0 # Wh
system.physmem.wrQLenPdf::61 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::62 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.physmem.bytesPerActivate::samples 1027240 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::mean 971.825895 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 905.842120 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 203.903622 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 22776 2.22% 2.22% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 22448 2.19% 4.40% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::256-383 8450 0.82% 5.23% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::384-511 2555 0.25% 5.47% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::512-639 2575 0.25% 5.72% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::640-767 1819 0.18% 5.90% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::768-895 8664 0.84% 6.74% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::896-1023 942 0.09% 6.84% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::1024-1151 957011 93.16% 100.00% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::total 1027240 # Bytes accessed per row activation
-system.physmem.rdPerTurnAround::samples 6120 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::mean 2531.539869 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::stdev 116318.280129 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::0-524287 6115 99.92% 99.92% # Reads before turning the bus around for writes
+system.physmem.bytesPerActivate::samples 1027284 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::mean 971.798163 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::gmean 905.747967 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::stdev 203.998959 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::0-127 22800 2.22% 2.22% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::128-255 22532 2.19% 4.41% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::256-383 8422 0.82% 5.23% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::384-511 2556 0.25% 5.48% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::512-639 2545 0.25% 5.73% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::640-767 1785 0.17% 5.90% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::768-895 8607 0.84% 6.74% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::896-1023 981 0.10% 6.84% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::1024-1151 957056 93.16% 100.00% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::total 1027284 # Bytes accessed per row activation
+system.physmem.rdPerTurnAround::samples 6124 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::mean 2529.911822 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::stdev 116281.505657 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::0-524287 6119 99.92% 99.92% # Reads before turning the bus around for writes
system.physmem.rdPerTurnAround::524288-1.04858e+06 3 0.05% 99.97% # Reads before turning the bus around for writes
system.physmem.rdPerTurnAround::1.04858e+06-1.57286e+06 1 0.02% 99.98% # Reads before turning the bus around for writes
system.physmem.rdPerTurnAround::8.38861e+06-8.9129e+06 1 0.02% 100.00% # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::total 6120 # Reads before turning the bus around for writes
-system.physmem.wrPerTurnAround::samples 6120 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::mean 17.219444 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::gmean 17.191199 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::stdev 0.977796 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::16 2386 38.99% 38.99% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::17 19 0.31% 39.30% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::18 3702 60.49% 99.79% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::19 12 0.20% 99.98% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::20 1 0.02% 100.00% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::total 6120 # Writes before turning the bus around for reads
-system.physmem.totQLat 400457727500 # Total ticks spent queuing
-system.physmem.totMemAccLat 690952040000 # Total ticks spent from burst creation until serviced by the DRAM
-system.physmem.totBusLat 77465150000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 25847.61 # Average queueing delay per DRAM burst
+system.physmem.rdPerTurnAround::total 6124 # Reads before turning the bus around for writes
+system.physmem.wrPerTurnAround::samples 6124 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::mean 17.219138 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::gmean 17.190607 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::stdev 0.983110 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::16 2397 39.14% 39.14% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::17 24 0.39% 39.53% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::18 3669 59.91% 99.44% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::19 32 0.52% 99.97% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::20 2 0.03% 100.00% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::total 6124 # Writes before turning the bus around for reads
+system.physmem.totQLat 400730693500 # Total ticks spent queuing
+system.physmem.totMemAccLat 691227931000 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totBusLat 77465930000 # Total ticks spent in databus transfers
+system.physmem.avgQLat 25864.96 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 44597.61 # Average memory access latency per DRAM burst
-system.physmem.avgRdBW 379.24 # Average DRAM read bandwidth in MiByte/s
+system.physmem.avgMemAccLat 44614.96 # Average memory access latency per DRAM burst
+system.physmem.avgRdBW 379.25 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 2.58 # Average achieved write bandwidth in MiByte/s
system.physmem.avgRdBWSys 50.68 # Average system read bandwidth in MiByte/s
system.physmem.avgWrBWSys 2.58 # Average system write bandwidth in MiByte/s
@@ -254,74 +266,71 @@ system.physmem.peakBW 12800.00 # Th
system.physmem.busUtil 2.98 # Data bus utilization in percentage
system.physmem.busUtilRead 2.96 # Data bus utilization in percentage for reads
system.physmem.busUtilWrite 0.02 # Data bus utilization in percentage for writes
-system.physmem.avgRdQLen 6.67 # Average read queue length when enqueuing
-system.physmem.avgWrQLen 26.38 # Average write queue length when enqueuing
-system.physmem.readRowHits 14482583 # Number of row buffer hits during reads
-system.physmem.writeRowHits 88590 # Number of row buffer hits during writes
+system.physmem.avgRdQLen 6.80 # Average read queue length when enqueuing
+system.physmem.avgWrQLen 28.13 # Average write queue length when enqueuing
+system.physmem.readRowHits 14482679 # Number of row buffer hits during reads
+system.physmem.writeRowHits 88673 # Number of row buffer hits during writes
system.physmem.readRowHitRate 93.48 # Row buffer hit rate for reads
-system.physmem.writeRowHitRate 84.05 # Row buffer hit rate for writes
-system.physmem.avgGap 160333.10 # Average gap between requests
+system.physmem.writeRowHitRate 84.07 # Row buffer hit rate for writes
+system.physmem.avgGap 160332.39 # Average gap between requests
system.physmem.pageHitRate 93.41 # Row buffer hit rate, read and write combined
-system.physmem.memoryStateTime::IDLE 2239817846000 # Time in different power states
-system.physmem.memoryStateTime::REF 87306440000 # Time in different power states
+system.physmem.memoryStateTime::IDLE 2239359524750 # Time in different power states
+system.physmem.memoryStateTime::REF 87306180000 # Time in different power states
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem.memoryStateTime::ACT 287452006500 # Time in different power states
+system.physmem.memoryStateTime::ACT 287902801500 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.realview.nvmem.bytes_read::cpu.inst 20 # Number of bytes read from this memory
-system.realview.nvmem.bytes_read::total 20 # Number of bytes read from this memory
-system.realview.nvmem.bytes_inst_read::cpu.inst 20 # Number of instructions bytes read from this memory
-system.realview.nvmem.bytes_inst_read::total 20 # Number of instructions bytes read from this memory
-system.realview.nvmem.num_reads::cpu.inst 5 # Number of read requests responded to by this memory
-system.realview.nvmem.num_reads::total 5 # Number of read requests responded to by this memory
-system.realview.nvmem.bw_read::cpu.inst 8 # Total read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_read::total 8 # Total read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_inst_read::cpu.inst 8 # Instruction read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_inst_read::total 8 # Instruction read bandwidth from this memory (bytes/s)
-system.realview.nvmem.bw_total::cpu.inst 8 # Total bandwidth to/from this memory (bytes/s)
-system.realview.nvmem.bw_total::total 8 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 54170150 # Throughput (bytes/s)
-system.membus.trans_dist::ReadReq 16546653 # Transaction distribution
-system.membus.trans_dist::ReadResp 16546653 # Transaction distribution
+system.membus.trans_dist::ReadReq 16546657 # Transaction distribution
+system.membus.trans_dist::ReadResp 16546657 # Transaction distribution
system.membus.trans_dist::WriteReq 763381 # Transaction distribution
system.membus.trans_dist::WriteResp 763381 # Transaction distribution
-system.membus.trans_dist::Writeback 58133 # Transaction distribution
+system.membus.trans_dist::Writeback 58138 # Transaction distribution
system.membus.trans_dist::UpgradeReq 4511 # Transaction distribution
system.membus.trans_dist::UpgradeResp 4511 # Transaction distribution
-system.membus.trans_dist::ReadExReq 132457 # Transaction distribution
-system.membus.trans_dist::ReadExResp 132457 # Transaction distribution
+system.membus.trans_dist::ReadExReq 132459 # Transaction distribution
+system.membus.trans_dist::ReadExResp 132459 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.bridge.slave 2383082 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.realview.nvmem.port 10 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.realview.gic.pio 3840 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.realview.a9scu.pio 2 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 1894355 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.cpu.l2cache.mem_side::total 4281289 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 1894372 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::total 4281306 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 30670848 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.iocache.mem_side::total 30670848 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 34952137 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.bridge.slave 2390530 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.realview.nvmem.port 20 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.realview.gic.pio 7680 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.realview.a9scu.pio 4 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 16550632 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::total 18948866 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.iocache.mem_side::system.physmem.port 122683392 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.iocache.mem_side::total 122683392 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 141632258 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 141632258 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
-system.membus.reqLayer0.occupancy 1207280500 # Layer occupancy (ticks)
+system.membus.pkt_count::total 34952154 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.bridge.slave 2390530 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.realview.nvmem.port 20 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.realview.gic.pio 7680 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.realview.a9scu.pio 4 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 16551336 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::total 18949570 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::system.physmem.port 122683392 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::total 122683392 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 141632962 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 215583 # Request fanout histogram
+system.membus.snoop_fanout::mean 1 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 215583 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 1 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 215583 # Request fanout histogram
+system.membus.reqLayer0.occupancy 1204828500 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 0.0 # Layer utilization (%)
system.membus.reqLayer1.occupancy 5000 # Layer occupancy (ticks)
system.membus.reqLayer1.utilization 0.0 # Layer utilization (%)
-system.membus.reqLayer2.occupancy 3534000 # Layer occupancy (ticks)
+system.membus.reqLayer2.occupancy 3334000 # Layer occupancy (ticks)
system.membus.reqLayer2.utilization 0.0 # Layer utilization (%)
system.membus.reqLayer4.occupancy 1000 # Layer occupancy (ticks)
system.membus.reqLayer4.utilization 0.0 # Layer utilization (%)
-system.membus.reqLayer6.occupancy 17916889500 # Layer occupancy (ticks)
+system.membus.reqLayer6.occupancy 17917176000 # Layer occupancy (ticks)
system.membus.reqLayer6.utilization 0.7 # Layer utilization (%)
-system.membus.respLayer1.occupancy 4952195664 # Layer occupancy (ticks)
+system.membus.respLayer1.occupancy 4952454428 # Layer occupancy (ticks)
system.membus.respLayer1.utilization 0.2 # Layer utilization (%)
-system.membus.respLayer2.occupancy 37921268500 # Layer occupancy (ticks)
+system.membus.respLayer2.occupancy 37912905250 # Layer occupancy (ticks)
system.membus.respLayer2.utilization 1.5 # Layer utilization (%)
system.cf0.dma_read_full_pages 0 # Number of full page size DMA reads (not PRD).
system.cf0.dma_read_bytes 0 # Number of bytes transfered via DMA reads (not PRD).
@@ -329,7 +338,6 @@ system.cf0.dma_read_txs 0 # Nu
system.cf0.dma_write_full_pages 0 # Number of full page size DMA writes.
system.cf0.dma_write_bytes 0 # Number of bytes transfered via DMA writes.
system.cf0.dma_write_txs 0 # Number of DMA write transactions.
-system.iobus.throughput 47837076 # Throughput (bytes/s)
system.iobus.trans_dist::ReadReq 16518783 # Transaction distribution
system.iobus.trans_dist::ReadResp 16518783 # Transaction distribution
system.iobus.trans_dist::WriteReq 8182 # Transaction distribution
@@ -361,34 +369,33 @@ system.iobus.pkt_count_system.bridge.master::total 2383082
system.iobus.pkt_count_system.realview.clcd.dma::system.iocache.cpu_side 30670848 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.realview.clcd.dma::total 30670848 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count::total 33053930 # Packet count per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.uart.pio 39333 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.realview_io.pio 15884 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.timer0.pio 1064 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.timer1.pio 2080 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.clcd.pio 72 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.kmi0.pio 86 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.kmi1.pio 397 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.cf_ctrl.pio 2331126 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.dmac_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.uart1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.uart2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.uart3_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.smc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.sp810_fake.pio 40 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.watchdog_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.gpio0_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.gpio1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.gpio2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.ssp_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.sci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.aaci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.mmc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.realview.rtc.pio 32 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::total 2390530 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.realview.clcd.dma::system.iocache.cpu_side 122683392 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.realview.clcd.dma::total 122683392 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size::total 125073922 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.data_through_bus 125073922 # Total data (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart.pio 39333 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.realview_io.pio 15884 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.timer0.pio 1064 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.timer1.pio 2080 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.clcd.pio 72 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.kmi0.pio 86 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.kmi1.pio 397 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.cf_ctrl.pio 2331126 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.dmac_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart3_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.smc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.sp810_fake.pio 40 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.watchdog_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio0_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.ssp_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.sci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.aaci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.mmc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.rtc.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::total 2390530 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.realview.clcd.dma::system.iocache.cpu_side 122683392 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.realview.clcd.dma::total 122683392 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size::total 125073922 # Cumulative packet size per connected master and slave (bytes)
system.iobus.reqLayer0.occupancy 21111000 # Layer occupancy (ticks)
system.iobus.reqLayer0.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer1.occupancy 3976000 # Layer occupancy (ticks)
@@ -435,11 +442,11 @@ system.iobus.reqLayer22.occupancy 8000 # La
system.iobus.reqLayer22.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer23.occupancy 8000 # Layer occupancy (ticks)
system.iobus.reqLayer23.utilization 0.0 # Layer utilization (%)
-system.iobus.reqLayer25.occupancy 15335424000 # Layer occupancy (ticks)
-system.iobus.reqLayer25.utilization 0.6 # Layer utilization (%)
+system.iobus.reqLayer26.occupancy 15335424000 # Layer occupancy (ticks)
+system.iobus.reqLayer26.utilization 0.6 # Layer utilization (%)
system.iobus.respLayer0.occupancy 2374900000 # Layer occupancy (ticks)
system.iobus.respLayer0.utilization 0.1 # Layer utilization (%)
-system.iobus.respLayer1.occupancy 38692913500 # Layer occupancy (ticks)
+system.iobus.respLayer1.occupancy 38695381750 # Layer occupancy (ticks)
system.iobus.respLayer1.utilization 1.5 # Layer utilization (%)
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.dstage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
@@ -465,9 +472,9 @@ system.cpu.dstage2_mmu.stage2_tlb.misses 0 # DT
system.cpu.dstage2_mmu.stage2_tlb.accesses 0 # DTB accesses
system.cpu.dtb.inst_hits 0 # ITB inst hits
system.cpu.dtb.inst_misses 0 # ITB inst misses
-system.cpu.dtb.read_hits 13160128 # DTB read hits
+system.cpu.dtb.read_hits 13160242 # DTB read hits
system.cpu.dtb.read_misses 7329 # DTB read misses
-system.cpu.dtb.write_hits 11227968 # DTB write hits
+system.cpu.dtb.write_hits 11228050 # DTB write hits
system.cpu.dtb.write_misses 2212 # DTB write misses
system.cpu.dtb.flush_tlb 2 # Number of times complete TLB was flushed
system.cpu.dtb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
@@ -478,12 +485,12 @@ system.cpu.dtb.align_faults 0 # Nu
system.cpu.dtb.prefetch_faults 189 # Number of TLB faults due to prefetch
system.cpu.dtb.domain_faults 0 # Number of TLB faults due to domain restrictions
system.cpu.dtb.perms_faults 452 # Number of TLB faults due to permissions restrictions
-system.cpu.dtb.read_accesses 13167457 # DTB read accesses
-system.cpu.dtb.write_accesses 11230180 # DTB write accesses
+system.cpu.dtb.read_accesses 13167571 # DTB read accesses
+system.cpu.dtb.write_accesses 11230262 # DTB write accesses
system.cpu.dtb.inst_accesses 0 # ITB inst accesses
-system.cpu.dtb.hits 24388096 # DTB hits
+system.cpu.dtb.hits 24388292 # DTB hits
system.cpu.dtb.misses 9541 # DTB misses
-system.cpu.dtb.accesses 24397637 # DTB accesses
+system.cpu.dtb.accesses 24397833 # DTB accesses
system.cpu.istage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu.istage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu.istage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -505,7 +512,7 @@ system.cpu.istage2_mmu.stage2_tlb.inst_accesses 0
system.cpu.istage2_mmu.stage2_tlb.hits 0 # DTB hits
system.cpu.istage2_mmu.stage2_tlb.misses 0 # DTB misses
system.cpu.istage2_mmu.stage2_tlb.accesses 0 # DTB accesses
-system.cpu.itb.inst_hits 61480692 # ITB inst hits
+system.cpu.itb.inst_hits 61481095 # ITB inst hits
system.cpu.itb.inst_misses 4471 # ITB inst misses
system.cpu.itb.read_hits 0 # DTB read hits
system.cpu.itb.read_misses 0 # DTB read misses
@@ -522,37 +529,37 @@ system.cpu.itb.domain_faults 0 # Nu
system.cpu.itb.perms_faults 0 # Number of TLB faults due to permissions restrictions
system.cpu.itb.read_accesses 0 # DTB read accesses
system.cpu.itb.write_accesses 0 # DTB write accesses
-system.cpu.itb.inst_accesses 61485163 # ITB inst accesses
-system.cpu.itb.hits 61480692 # DTB hits
+system.cpu.itb.inst_accesses 61485566 # ITB inst accesses
+system.cpu.itb.hits 61481095 # DTB hits
system.cpu.itb.misses 4471 # DTB misses
-system.cpu.itb.accesses 61485163 # DTB accesses
-system.cpu.numCycles 5229162505 # number of cpu cycles simulated
+system.cpu.itb.accesses 61485566 # DTB accesses
+system.cpu.numCycles 5229143129 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.committedInsts 60186875 # Number of instructions committed
-system.cpu.committedOps 71883476 # Number of ops (including micro ops) committed
-system.cpu.num_int_alu_accesses 64248071 # Number of integer alu accesses
+system.cpu.committedInsts 60187274 # Number of instructions committed
+system.cpu.committedOps 71883961 # Number of ops (including micro ops) committed
+system.cpu.num_int_alu_accesses 64248492 # Number of integer alu accesses
system.cpu.num_fp_alu_accesses 10269 # Number of float alu accesses
-system.cpu.num_func_calls 2139776 # number of times a function call or return occured
-system.cpu.num_conditional_control_insts 7549008 # number of instructions that are conditional controls
-system.cpu.num_int_insts 64248071 # number of integer instructions
+system.cpu.num_func_calls 2139801 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 7549047 # number of instructions that are conditional controls
+system.cpu.num_int_insts 64248492 # number of integer instructions
system.cpu.num_fp_insts 10269 # number of float instructions
-system.cpu.num_int_register_reads 116109819 # number of times the integer registers were read
-system.cpu.num_int_register_writes 42862791 # number of times the integer registers were written
+system.cpu.num_int_register_reads 116110622 # number of times the integer registers were read
+system.cpu.num_int_register_writes 42863098 # number of times the integer registers were written
system.cpu.num_fp_register_reads 7493 # number of times the floating registers were read
system.cpu.num_fp_register_writes 2780 # number of times the floating registers were written
-system.cpu.num_cc_register_reads 257767219 # number of times the CC registers were read
-system.cpu.num_cc_register_writes 28995131 # number of times the CC registers were written
-system.cpu.num_mem_refs 25244051 # number of memory refs
-system.cpu.num_load_insts 13512687 # Number of load instructions
-system.cpu.num_store_insts 11731364 # Number of store instructions
-system.cpu.num_idle_cycles 4584182254.578246 # Number of idle cycles
-system.cpu.num_busy_cycles 644980250.421753 # Number of busy cycles
-system.cpu.not_idle_fraction 0.123343 # Percentage of non-idle cycles
-system.cpu.idle_fraction 0.876657 # Percentage of idle cycles
-system.cpu.Branches 10306559 # Number of branches fetched
+system.cpu.num_cc_register_reads 257769006 # number of times the CC registers were read
+system.cpu.num_cc_register_writes 28995258 # number of times the CC registers were written
+system.cpu.num_mem_refs 25244235 # number of memory refs
+system.cpu.num_load_insts 13512788 # Number of load instructions
+system.cpu.num_store_insts 11731447 # Number of store instructions
+system.cpu.num_idle_cycles 4584209782.584247 # Number of idle cycles
+system.cpu.num_busy_cycles 644933346.415753 # Number of busy cycles
+system.cpu.not_idle_fraction 0.123334 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0.876666 # Percentage of idle cycles
+system.cpu.Branches 10306630 # Number of branches fetched
system.cpu.op_class::No_OpClass 28518 0.04% 0.04% # Class of executed instruction
-system.cpu.op_class::IntAlu 47576706 65.23% 65.27% # Class of executed instruction
+system.cpu.op_class::IntAlu 47577014 65.23% 65.27% # Class of executed instruction
system.cpu.op_class::IntMult 87551 0.12% 65.39% # Class of executed instruction
system.cpu.op_class::IntDiv 0 0.00% 65.39% # Class of executed instruction
system.cpu.op_class::FloatAdd 0 0.00% 65.39% # Class of executed instruction
@@ -581,20 +588,20 @@ system.cpu.op_class::SimdFloatMisc 2109 0.00% 65.39% # Cl
system.cpu.op_class::SimdFloatMult 0 0.00% 65.39% # Class of executed instruction
system.cpu.op_class::SimdFloatMultAcc 0 0.00% 65.39% # Class of executed instruction
system.cpu.op_class::SimdFloatSqrt 0 0.00% 65.39% # Class of executed instruction
-system.cpu.op_class::MemRead 13512687 18.53% 83.92% # Class of executed instruction
-system.cpu.op_class::MemWrite 11731364 16.08% 100.00% # Class of executed instruction
+system.cpu.op_class::MemRead 13512788 18.53% 83.92% # Class of executed instruction
+system.cpu.op_class::MemWrite 11731447 16.08% 100.00% # Class of executed instruction
system.cpu.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
system.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::total 72938935 # Class of executed instruction
+system.cpu.op_class::total 72939427 # Class of executed instruction
system.cpu.kern.inst.arm 0 # number of arm instructions executed
-system.cpu.kern.inst.quiesce 83001 # number of quiesce instructions executed
-system.cpu.icache.tags.replacements 855859 # number of replacements
-system.cpu.icache.tags.tagsinuse 510.877209 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 60624321 # Total number of references to valid blocks.
-system.cpu.icache.tags.sampled_refs 856371 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 70.792123 # Average number of references to valid blocks.
-system.cpu.icache.tags.warmup_cycle 19627747250 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 510.877209 # Average occupied blocks per requestor
+system.cpu.kern.inst.quiesce 83004 # number of quiesce instructions executed
+system.cpu.icache.tags.replacements 855897 # number of replacements
+system.cpu.icache.tags.tagsinuse 510.877214 # Cycle average of tags in use
+system.cpu.icache.tags.total_refs 60624686 # Total number of references to valid blocks.
+system.cpu.icache.tags.sampled_refs 856409 # Sample count of references to valid blocks.
+system.cpu.icache.tags.avg_refs 70.789408 # Average number of references to valid blocks.
+system.cpu.icache.tags.warmup_cycle 19623933250 # Cycle when the warmup percentage was hit.
+system.cpu.icache.tags.occ_blocks::cpu.inst 510.877214 # Average occupied blocks per requestor
system.cpu.icache.tags.occ_percent::cpu.inst 0.997807 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_percent::total 0.997807 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
@@ -603,44 +610,44 @@ system.cpu.icache.tags.age_task_id_blocks_1024::1 194
system.cpu.icache.tags.age_task_id_blocks_1024::2 266 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::3 7 # Occupied blocks per task id
system.cpu.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 62337063 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 62337063 # Number of data accesses
-system.cpu.icache.ReadReq_hits::cpu.inst 60624321 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 60624321 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 60624321 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 60624321 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 60624321 # number of overall hits
-system.cpu.icache.overall_hits::total 60624321 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 856371 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 856371 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 856371 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 856371 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 856371 # number of overall misses
-system.cpu.icache.overall_misses::total 856371 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 11763954000 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 11763954000 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 11763954000 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 11763954000 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 11763954000 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 11763954000 # number of overall miss cycles
-system.cpu.icache.ReadReq_accesses::cpu.inst 61480692 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.ReadReq_accesses::total 61480692 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.demand_accesses::cpu.inst 61480692 # number of demand (read+write) accesses
-system.cpu.icache.demand_accesses::total 61480692 # number of demand (read+write) accesses
-system.cpu.icache.overall_accesses::cpu.inst 61480692 # number of overall (read+write) accesses
-system.cpu.icache.overall_accesses::total 61480692 # number of overall (read+write) accesses
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.013929 # miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_miss_rate::total 0.013929 # miss rate for ReadReq accesses
-system.cpu.icache.demand_miss_rate::cpu.inst 0.013929 # miss rate for demand accesses
-system.cpu.icache.demand_miss_rate::total 0.013929 # miss rate for demand accesses
-system.cpu.icache.overall_miss_rate::cpu.inst 0.013929 # miss rate for overall accesses
-system.cpu.icache.overall_miss_rate::total 0.013929 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 13736.983153 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 13736.983153 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 13736.983153 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 13736.983153 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 13736.983153 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 13736.983153 # average overall miss latency
+system.cpu.icache.tags.tag_accesses 62337504 # Number of tag accesses
+system.cpu.icache.tags.data_accesses 62337504 # Number of data accesses
+system.cpu.icache.ReadReq_hits::cpu.inst 60624686 # number of ReadReq hits
+system.cpu.icache.ReadReq_hits::total 60624686 # number of ReadReq hits
+system.cpu.icache.demand_hits::cpu.inst 60624686 # number of demand (read+write) hits
+system.cpu.icache.demand_hits::total 60624686 # number of demand (read+write) hits
+system.cpu.icache.overall_hits::cpu.inst 60624686 # number of overall hits
+system.cpu.icache.overall_hits::total 60624686 # number of overall hits
+system.cpu.icache.ReadReq_misses::cpu.inst 856409 # number of ReadReq misses
+system.cpu.icache.ReadReq_misses::total 856409 # number of ReadReq misses
+system.cpu.icache.demand_misses::cpu.inst 856409 # number of demand (read+write) misses
+system.cpu.icache.demand_misses::total 856409 # number of demand (read+write) misses
+system.cpu.icache.overall_misses::cpu.inst 856409 # number of overall misses
+system.cpu.icache.overall_misses::total 856409 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 11766778500 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 11766778500 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 11766778500 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 11766778500 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 11766778500 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 11766778500 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses::cpu.inst 61481095 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.ReadReq_accesses::total 61481095 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses::cpu.inst 61481095 # number of demand (read+write) accesses
+system.cpu.icache.demand_accesses::total 61481095 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses::cpu.inst 61481095 # number of overall (read+write) accesses
+system.cpu.icache.overall_accesses::total 61481095 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.013930 # miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_miss_rate::total 0.013930 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate::cpu.inst 0.013930 # miss rate for demand accesses
+system.cpu.icache.demand_miss_rate::total 0.013930 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate::cpu.inst 0.013930 # miss rate for overall accesses
+system.cpu.icache.overall_miss_rate::total 0.013930 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 13739.671699 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 13739.671699 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 13739.671699 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 13739.671699 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 13739.671699 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 13739.671699 # average overall miss latency
system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -649,186 +656,186 @@ system.cpu.icache.avg_blocked_cycles::no_mshrs nan
system.cpu.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.icache.fast_writes 0 # number of fast writes performed
system.cpu.icache.cache_copies 0 # number of cache copies performed
-system.cpu.icache.ReadReq_mshr_misses::cpu.inst 856371 # number of ReadReq MSHR misses
-system.cpu.icache.ReadReq_mshr_misses::total 856371 # number of ReadReq MSHR misses
-system.cpu.icache.demand_mshr_misses::cpu.inst 856371 # number of demand (read+write) MSHR misses
-system.cpu.icache.demand_mshr_misses::total 856371 # number of demand (read+write) MSHR misses
-system.cpu.icache.overall_mshr_misses::cpu.inst 856371 # number of overall MSHR misses
-system.cpu.icache.overall_mshr_misses::total 856371 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 10047194000 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 10047194000 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 10047194000 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 10047194000 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 10047194000 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 10047194000 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_misses::cpu.inst 856409 # number of ReadReq MSHR misses
+system.cpu.icache.ReadReq_mshr_misses::total 856409 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses::cpu.inst 856409 # number of demand (read+write) MSHR misses
+system.cpu.icache.demand_mshr_misses::total 856409 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses::cpu.inst 856409 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_misses::total 856409 # number of overall MSHR misses
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 10049953500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 10049953500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 10049953500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 10049953500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 10049953500 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 10049953500 # number of overall MSHR miss cycles
system.cpu.icache.ReadReq_mshr_uncacheable_latency::cpu.inst 440846250 # number of ReadReq MSHR uncacheable cycles
system.cpu.icache.ReadReq_mshr_uncacheable_latency::total 440846250 # number of ReadReq MSHR uncacheable cycles
system.cpu.icache.overall_mshr_uncacheable_latency::cpu.inst 440846250 # number of overall MSHR uncacheable cycles
system.cpu.icache.overall_mshr_uncacheable_latency::total 440846250 # number of overall MSHR uncacheable cycles
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.013929 # mshr miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.013929 # mshr miss rate for ReadReq accesses
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.013929 # mshr miss rate for demand accesses
-system.cpu.icache.demand_mshr_miss_rate::total 0.013929 # mshr miss rate for demand accesses
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.013929 # mshr miss rate for overall accesses
-system.cpu.icache.overall_mshr_miss_rate::total 0.013929 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 11732.291262 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 11732.291262 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 11732.291262 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 11732.291262 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 11732.291262 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 11732.291262 # average overall mshr miss latency
+system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.013930 # mshr miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_mshr_miss_rate::total 0.013930 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.013930 # mshr miss rate for demand accesses
+system.cpu.icache.demand_mshr_miss_rate::total 0.013930 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.013930 # mshr miss rate for overall accesses
+system.cpu.icache.overall_mshr_miss_rate::total 0.013930 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 11734.992860 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 11734.992860 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 11734.992860 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 11734.992860 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 11734.992860 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 11734.992860 # average overall mshr miss latency
system.cpu.icache.ReadReq_avg_mshr_uncacheable_latency::cpu.inst inf # average ReadReq mshr uncacheable latency
system.cpu.icache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu.icache.overall_avg_mshr_uncacheable_latency::cpu.inst inf # average overall mshr uncacheable latency
system.cpu.icache.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.l2cache.tags.replacements 62821 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 50750.711022 # Cycle average of tags in use
-system.cpu.l2cache.tags.total_refs 1678966 # Total number of references to valid blocks.
-system.cpu.l2cache.tags.sampled_refs 128205 # Sample count of references to valid blocks.
-system.cpu.l2cache.tags.avg_refs 13.095948 # Average number of references to valid blocks.
-system.cpu.l2cache.tags.warmup_cycle 2564782857000 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::writebacks 37685.579713 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.replacements 62827 # number of replacements
+system.cpu.l2cache.tags.tagsinuse 50749.017881 # Cycle average of tags in use
+system.cpu.l2cache.tags.total_refs 1679035 # Total number of references to valid blocks.
+system.cpu.l2cache.tags.sampled_refs 128209 # Sample count of references to valid blocks.
+system.cpu.l2cache.tags.avg_refs 13.096077 # Average number of references to valid blocks.
+system.cpu.l2cache.tags.warmup_cycle 2564785024500 # Cycle when the warmup percentage was hit.
+system.cpu.l2cache.tags.occ_blocks::writebacks 37681.898715 # Average occupied blocks per requestor
system.cpu.l2cache.tags.occ_blocks::cpu.dtb.walker 3.884636 # Average occupied blocks per requestor
system.cpu.l2cache.tags.occ_blocks::cpu.itb.walker 0.000702 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 6996.394812 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 6064.851159 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::writebacks 0.575036 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 6996.424673 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 6066.809153 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::writebacks 0.574980 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.dtb.walker 0.000059 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.itb.walker 0.000000 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.inst 0.106757 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.092542 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.774394 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::cpu.data 0.092572 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::total 0.774369 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_task_id_blocks::1023 4 # Occupied blocks per task id
-system.cpu.l2cache.tags.occ_task_id_blocks::1024 65380 # Occupied blocks per task id
+system.cpu.l2cache.tags.occ_task_id_blocks::1024 65378 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1023::4 4 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 22 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 31 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::0 21 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::1 32 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1024::2 2139 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::3 7024 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::4 56164 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::3 7027 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::4 56159 # Occupied blocks per task id
system.cpu.l2cache.tags.occ_task_id_percent::1023 0.000061 # Percentage of cache occupancy per task id
-system.cpu.l2cache.tags.occ_task_id_percent::1024 0.997620 # Percentage of cache occupancy per task id
-system.cpu.l2cache.tags.tag_accesses 17117724 # Number of tag accesses
-system.cpu.l2cache.tags.data_accesses 17117724 # Number of data accesses
+system.cpu.l2cache.tags.occ_task_id_percent::1024 0.997589 # Percentage of cache occupancy per task id
+system.cpu.l2cache.tags.tag_accesses 17118836 # Number of tag accesses
+system.cpu.l2cache.tags.data_accesses 17118836 # Number of data accesses
system.cpu.l2cache.ReadReq_hits::cpu.dtb.walker 7538 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.itb.walker 3113 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.inst 844162 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.data 368945 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::total 1223758 # number of ReadReq hits
-system.cpu.l2cache.Writeback_hits::writebacks 594981 # number of Writeback hits
-system.cpu.l2cache.Writeback_hits::total 594981 # number of Writeback hits
+system.cpu.l2cache.ReadReq_hits::cpu.itb.walker 3114 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::cpu.inst 844199 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::cpu.data 368983 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::total 1223834 # number of ReadReq hits
+system.cpu.l2cache.Writeback_hits::writebacks 595027 # number of Writeback hits
+system.cpu.l2cache.Writeback_hits::total 595027 # number of Writeback hits
system.cpu.l2cache.UpgradeReq_hits::cpu.data 26 # number of UpgradeReq hits
system.cpu.l2cache.UpgradeReq_hits::total 26 # number of UpgradeReq hits
-system.cpu.l2cache.ReadExReq_hits::cpu.data 113467 # number of ReadExReq hits
-system.cpu.l2cache.ReadExReq_hits::total 113467 # number of ReadExReq hits
+system.cpu.l2cache.ReadExReq_hits::cpu.data 113476 # number of ReadExReq hits
+system.cpu.l2cache.ReadExReq_hits::total 113476 # number of ReadExReq hits
system.cpu.l2cache.demand_hits::cpu.dtb.walker 7538 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.itb.walker 3113 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.inst 844162 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.data 482412 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::total 1337225 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::cpu.itb.walker 3114 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::cpu.inst 844199 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::cpu.data 482459 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::total 1337310 # number of demand (read+write) hits
system.cpu.l2cache.overall_hits::cpu.dtb.walker 7538 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.itb.walker 3113 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.inst 844162 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.data 482412 # number of overall hits
-system.cpu.l2cache.overall_hits::total 1337225 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.itb.walker 3114 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.inst 844199 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.data 482459 # number of overall hits
+system.cpu.l2cache.overall_hits::total 1337310 # number of overall hits
system.cpu.l2cache.ReadReq_misses::cpu.dtb.walker 5 # number of ReadReq misses
system.cpu.l2cache.ReadReq_misses::cpu.itb.walker 2 # number of ReadReq misses
-system.cpu.l2cache.ReadReq_misses::cpu.inst 10594 # number of ReadReq misses
-system.cpu.l2cache.ReadReq_misses::cpu.data 9870 # number of ReadReq misses
-system.cpu.l2cache.ReadReq_misses::total 20471 # number of ReadReq misses
-system.cpu.l2cache.UpgradeReq_misses::cpu.data 2896 # number of UpgradeReq misses
-system.cpu.l2cache.UpgradeReq_misses::total 2896 # number of UpgradeReq misses
-system.cpu.l2cache.ReadExReq_misses::cpu.data 134072 # number of ReadExReq misses
-system.cpu.l2cache.ReadExReq_misses::total 134072 # number of ReadExReq misses
+system.cpu.l2cache.ReadReq_misses::cpu.inst 10596 # number of ReadReq misses
+system.cpu.l2cache.ReadReq_misses::cpu.data 9872 # number of ReadReq misses
+system.cpu.l2cache.ReadReq_misses::total 20475 # number of ReadReq misses
+system.cpu.l2cache.UpgradeReq_misses::cpu.data 2895 # number of UpgradeReq misses
+system.cpu.l2cache.UpgradeReq_misses::total 2895 # number of UpgradeReq misses
+system.cpu.l2cache.ReadExReq_misses::cpu.data 134075 # number of ReadExReq misses
+system.cpu.l2cache.ReadExReq_misses::total 134075 # number of ReadExReq misses
system.cpu.l2cache.demand_misses::cpu.dtb.walker 5 # number of demand (read+write) misses
system.cpu.l2cache.demand_misses::cpu.itb.walker 2 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.inst 10594 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.data 143942 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::total 154543 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::cpu.inst 10596 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::cpu.data 143947 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::total 154550 # number of demand (read+write) misses
system.cpu.l2cache.overall_misses::cpu.dtb.walker 5 # number of overall misses
system.cpu.l2cache.overall_misses::cpu.itb.walker 2 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.inst 10594 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.data 143942 # number of overall misses
-system.cpu.l2cache.overall_misses::total 154543 # number of overall misses
+system.cpu.l2cache.overall_misses::cpu.inst 10596 # number of overall misses
+system.cpu.l2cache.overall_misses::cpu.data 143947 # number of overall misses
+system.cpu.l2cache.overall_misses::total 154550 # number of overall misses
system.cpu.l2cache.ReadReq_miss_latency::cpu.dtb.walker 305250 # number of ReadReq miss cycles
system.cpu.l2cache.ReadReq_miss_latency::cpu.itb.walker 150000 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 747419500 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::cpu.data 738260250 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::total 1486135000 # number of ReadReq miss cycles
-system.cpu.l2cache.UpgradeReq_miss_latency::cpu.data 352485 # number of UpgradeReq miss cycles
-system.cpu.l2cache.UpgradeReq_miss_latency::total 352485 # number of UpgradeReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 9313218885 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 9313218885 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 749772500 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.data 732753250 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::total 1482981000 # number of ReadReq miss cycles
+system.cpu.l2cache.UpgradeReq_miss_latency::cpu.data 346985 # number of UpgradeReq miss cycles
+system.cpu.l2cache.UpgradeReq_miss_latency::total 346985 # number of UpgradeReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 9334508634 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 9334508634 # number of ReadExReq miss cycles
system.cpu.l2cache.demand_miss_latency::cpu.dtb.walker 305250 # number of demand (read+write) miss cycles
system.cpu.l2cache.demand_miss_latency::cpu.itb.walker 150000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 747419500 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 10051479135 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 10799353885 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 749772500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 10067261884 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 10817489634 # number of demand (read+write) miss cycles
system.cpu.l2cache.overall_miss_latency::cpu.dtb.walker 305250 # number of overall miss cycles
system.cpu.l2cache.overall_miss_latency::cpu.itb.walker 150000 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 747419500 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 10051479135 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 10799353885 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 749772500 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 10067261884 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 10817489634 # number of overall miss cycles
system.cpu.l2cache.ReadReq_accesses::cpu.dtb.walker 7543 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.itb.walker 3115 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.inst 854756 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.data 378815 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::total 1244229 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.Writeback_accesses::writebacks 594981 # number of Writeback accesses(hits+misses)
-system.cpu.l2cache.Writeback_accesses::total 594981 # number of Writeback accesses(hits+misses)
-system.cpu.l2cache.UpgradeReq_accesses::cpu.data 2922 # number of UpgradeReq accesses(hits+misses)
-system.cpu.l2cache.UpgradeReq_accesses::total 2922 # number of UpgradeReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 247539 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::total 247539 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.itb.walker 3116 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.inst 854795 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.data 378855 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::total 1244309 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.Writeback_accesses::writebacks 595027 # number of Writeback accesses(hits+misses)
+system.cpu.l2cache.Writeback_accesses::total 595027 # number of Writeback accesses(hits+misses)
+system.cpu.l2cache.UpgradeReq_accesses::cpu.data 2921 # number of UpgradeReq accesses(hits+misses)
+system.cpu.l2cache.UpgradeReq_accesses::total 2921 # number of UpgradeReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses::cpu.data 247551 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses::total 247551 # number of ReadExReq accesses(hits+misses)
system.cpu.l2cache.demand_accesses::cpu.dtb.walker 7543 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.itb.walker 3115 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.inst 854756 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.data 626354 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::total 1491768 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.itb.walker 3116 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.inst 854795 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.data 626406 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::total 1491860 # number of demand (read+write) accesses
system.cpu.l2cache.overall_accesses::cpu.dtb.walker 7543 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.itb.walker 3115 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.inst 854756 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.data 626354 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::total 1491768 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.itb.walker 3116 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.inst 854795 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.data 626406 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::total 1491860 # number of overall (read+write) accesses
system.cpu.l2cache.ReadReq_miss_rate::cpu.dtb.walker 0.000663 # miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_miss_rate::cpu.itb.walker 0.000642 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.012394 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.026055 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::total 0.016453 # miss rate for ReadReq accesses
-system.cpu.l2cache.UpgradeReq_miss_rate::cpu.data 0.991102 # miss rate for UpgradeReq accesses
-system.cpu.l2cache.UpgradeReq_miss_rate::total 0.991102 # miss rate for UpgradeReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 0.541620 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::total 0.541620 # miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.012396 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.026057 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::total 0.016455 # miss rate for ReadReq accesses
+system.cpu.l2cache.UpgradeReq_miss_rate::cpu.data 0.991099 # miss rate for UpgradeReq accesses
+system.cpu.l2cache.UpgradeReq_miss_rate::total 0.991099 # miss rate for UpgradeReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 0.541606 # miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate::total 0.541606 # miss rate for ReadExReq accesses
system.cpu.l2cache.demand_miss_rate::cpu.dtb.walker 0.000663 # miss rate for demand accesses
system.cpu.l2cache.demand_miss_rate::cpu.itb.walker 0.000642 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.012394 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.data 0.229809 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::total 0.103597 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.inst 0.012396 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.data 0.229798 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::total 0.103596 # miss rate for demand accesses
system.cpu.l2cache.overall_miss_rate::cpu.dtb.walker 0.000663 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::cpu.itb.walker 0.000642 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.012394 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.data 0.229809 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::total 0.103597 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::cpu.inst 0.012396 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::cpu.data 0.229798 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::total 0.103596 # miss rate for overall accesses
system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.dtb.walker 61050 # average ReadReq miss latency
system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.itb.walker 75000 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 70551.208231 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 74798.404255 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::total 72597.088564 # average ReadReq miss latency
-system.cpu.l2cache.UpgradeReq_avg_miss_latency::cpu.data 121.714434 # average UpgradeReq miss latency
-system.cpu.l2cache.UpgradeReq_avg_miss_latency::total 121.714434 # average UpgradeReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 69464.309364 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 69464.309364 # average ReadExReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 70759.956587 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 74225.410251 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::total 72428.864469 # average ReadReq miss latency
+system.cpu.l2cache.UpgradeReq_avg_miss_latency::cpu.data 119.856649 # average UpgradeReq miss latency
+system.cpu.l2cache.UpgradeReq_avg_miss_latency::total 119.856649 # average UpgradeReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 69621.544911 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 69621.544911 # average ReadExReq miss latency
system.cpu.l2cache.demand_avg_miss_latency::cpu.dtb.walker 61050 # average overall miss latency
system.cpu.l2cache.demand_avg_miss_latency::cpu.itb.walker 75000 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 70551.208231 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 69830.064436 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 69879.282044 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 70759.956587 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 69937.281666 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 69993.462530 # average overall miss latency
system.cpu.l2cache.overall_avg_miss_latency::cpu.dtb.walker 61050 # average overall miss latency
system.cpu.l2cache.overall_avg_miss_latency::cpu.itb.walker 75000 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 70551.208231 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 69830.064436 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 69879.282044 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 70759.956587 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 69937.281666 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 69993.462530 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -837,92 +844,92 @@ system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan
system.cpu.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.l2cache.fast_writes 0 # number of fast writes performed
system.cpu.l2cache.cache_copies 0 # number of cache copies performed
-system.cpu.l2cache.writebacks::writebacks 58133 # number of writebacks
-system.cpu.l2cache.writebacks::total 58133 # number of writebacks
+system.cpu.l2cache.writebacks::writebacks 58138 # number of writebacks
+system.cpu.l2cache.writebacks::total 58138 # number of writebacks
system.cpu.l2cache.ReadReq_mshr_misses::cpu.dtb.walker 5 # number of ReadReq MSHR misses
system.cpu.l2cache.ReadReq_mshr_misses::cpu.itb.walker 2 # number of ReadReq MSHR misses
-system.cpu.l2cache.ReadReq_mshr_misses::cpu.inst 10594 # number of ReadReq MSHR misses
-system.cpu.l2cache.ReadReq_mshr_misses::cpu.data 9870 # number of ReadReq MSHR misses
-system.cpu.l2cache.ReadReq_mshr_misses::total 20471 # number of ReadReq MSHR misses
-system.cpu.l2cache.UpgradeReq_mshr_misses::cpu.data 2896 # number of UpgradeReq MSHR misses
-system.cpu.l2cache.UpgradeReq_mshr_misses::total 2896 # number of UpgradeReq MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 134072 # number of ReadExReq MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_misses::total 134072 # number of ReadExReq MSHR misses
+system.cpu.l2cache.ReadReq_mshr_misses::cpu.inst 10596 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadReq_mshr_misses::cpu.data 9872 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadReq_mshr_misses::total 20475 # number of ReadReq MSHR misses
+system.cpu.l2cache.UpgradeReq_mshr_misses::cpu.data 2895 # number of UpgradeReq MSHR misses
+system.cpu.l2cache.UpgradeReq_mshr_misses::total 2895 # number of UpgradeReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 134075 # number of ReadExReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses::total 134075 # number of ReadExReq MSHR misses
system.cpu.l2cache.demand_mshr_misses::cpu.dtb.walker 5 # number of demand (read+write) MSHR misses
system.cpu.l2cache.demand_mshr_misses::cpu.itb.walker 2 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.inst 10594 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.data 143942 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::total 154543 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.demand_mshr_misses::cpu.inst 10596 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.demand_mshr_misses::cpu.data 143947 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.demand_mshr_misses::total 154550 # number of demand (read+write) MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.dtb.walker 5 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.itb.walker 2 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.inst 10594 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.data 143942 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::total 154543 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_misses::cpu.inst 10596 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_misses::cpu.data 143947 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_misses::total 154550 # number of overall MSHR misses
system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.dtb.walker 242750 # number of ReadReq MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.itb.walker 125000 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 614859500 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 614969250 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::total 1230196500 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.UpgradeReq_mshr_miss_latency::cpu.data 28966896 # number of UpgradeReq MSHR miss cycles
-system.cpu.l2cache.UpgradeReq_mshr_miss_latency::total 28966896 # number of UpgradeReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 7636042115 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 7636042115 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 617083500 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 609612750 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::total 1227064000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.UpgradeReq_mshr_miss_latency::cpu.data 28955895 # number of UpgradeReq MSHR miss cycles
+system.cpu.l2cache.UpgradeReq_mshr_miss_latency::total 28955895 # number of UpgradeReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 7657225866 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 7657225866 # number of ReadExReq MSHR miss cycles
system.cpu.l2cache.demand_mshr_miss_latency::cpu.dtb.walker 242750 # number of demand (read+write) MSHR miss cycles
system.cpu.l2cache.demand_mshr_miss_latency::cpu.itb.walker 125000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 614859500 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 8251011365 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 8866238615 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 617083500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 8266838616 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 8884289866 # number of demand (read+write) MSHR miss cycles
system.cpu.l2cache.overall_mshr_miss_latency::cpu.dtb.walker 242750 # number of overall MSHR miss cycles
system.cpu.l2cache.overall_mshr_miss_latency::cpu.itb.walker 125000 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 614859500 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 8251011365 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 8866238615 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 617083500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 8266838616 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 8884289866 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_uncacheable_latency::cpu.inst 349507750 # number of ReadReq MSHR uncacheable cycles
-system.cpu.l2cache.ReadReq_mshr_uncacheable_latency::cpu.data 166664674250 # number of ReadReq MSHR uncacheable cycles
-system.cpu.l2cache.ReadReq_mshr_uncacheable_latency::total 167014182000 # number of ReadReq MSHR uncacheable cycles
-system.cpu.l2cache.WriteReq_mshr_uncacheable_latency::cpu.data 16705839575 # number of WriteReq MSHR uncacheable cycles
-system.cpu.l2cache.WriteReq_mshr_uncacheable_latency::total 16705839575 # number of WriteReq MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_uncacheable_latency::cpu.data 166662160750 # number of ReadReq MSHR uncacheable cycles
+system.cpu.l2cache.ReadReq_mshr_uncacheable_latency::total 167011668500 # number of ReadReq MSHR uncacheable cycles
+system.cpu.l2cache.WriteReq_mshr_uncacheable_latency::cpu.data 16705919061 # number of WriteReq MSHR uncacheable cycles
+system.cpu.l2cache.WriteReq_mshr_uncacheable_latency::total 16705919061 # number of WriteReq MSHR uncacheable cycles
system.cpu.l2cache.overall_mshr_uncacheable_latency::cpu.inst 349507750 # number of overall MSHR uncacheable cycles
-system.cpu.l2cache.overall_mshr_uncacheable_latency::cpu.data 183370513825 # number of overall MSHR uncacheable cycles
-system.cpu.l2cache.overall_mshr_uncacheable_latency::total 183720021575 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency::cpu.data 183368079811 # number of overall MSHR uncacheable cycles
+system.cpu.l2cache.overall_mshr_uncacheable_latency::total 183717587561 # number of overall MSHR uncacheable cycles
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.dtb.walker 0.000663 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.itb.walker 0.000642 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.012394 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 0.026055 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.016453 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.UpgradeReq_mshr_miss_rate::cpu.data 0.991102 # mshr miss rate for UpgradeReq accesses
-system.cpu.l2cache.UpgradeReq_mshr_miss_rate::total 0.991102 # mshr miss rate for UpgradeReq accesses
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 0.541620 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 0.541620 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.012396 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 0.026057 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.016455 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.UpgradeReq_mshr_miss_rate::cpu.data 0.991099 # mshr miss rate for UpgradeReq accesses
+system.cpu.l2cache.UpgradeReq_mshr_miss_rate::total 0.991099 # mshr miss rate for UpgradeReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 0.541606 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 0.541606 # mshr miss rate for ReadExReq accesses
system.cpu.l2cache.demand_mshr_miss_rate::cpu.dtb.walker 0.000663 # mshr miss rate for demand accesses
system.cpu.l2cache.demand_mshr_miss_rate::cpu.itb.walker 0.000642 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.012394 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 0.229809 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::total 0.103597 # mshr miss rate for demand accesses
+system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.012396 # mshr miss rate for demand accesses
+system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 0.229798 # mshr miss rate for demand accesses
+system.cpu.l2cache.demand_mshr_miss_rate::total 0.103596 # mshr miss rate for demand accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.dtb.walker 0.000663 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.itb.walker 0.000642 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.012394 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 0.229809 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::total 0.103597 # mshr miss rate for overall accesses
+system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.012396 # mshr miss rate for overall accesses
+system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 0.229798 # mshr miss rate for overall accesses
+system.cpu.l2cache.overall_mshr_miss_rate::total 0.103596 # mshr miss rate for overall accesses
system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.dtb.walker 48550 # average ReadReq mshr miss latency
system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.itb.walker 62500 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 58038.465169 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 62306.914894 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 60094.597235 # average ReadReq mshr miss latency
-system.cpu.l2cache.UpgradeReq_avg_mshr_miss_latency::cpu.data 10002.381215 # average UpgradeReq mshr miss latency
-system.cpu.l2cache.UpgradeReq_avg_mshr_miss_latency::total 10002.381215 # average UpgradeReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 56954.786346 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 56954.786346 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 58237.400906 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 61751.696718 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 59929.865690 # average ReadReq mshr miss latency
+system.cpu.l2cache.UpgradeReq_avg_mshr_miss_latency::cpu.data 10002.036269 # average UpgradeReq mshr miss latency
+system.cpu.l2cache.UpgradeReq_avg_mshr_miss_latency::total 10002.036269 # average UpgradeReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 57111.511214 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 57111.511214 # average ReadExReq mshr miss latency
system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.dtb.walker 48550 # average overall mshr miss latency
system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.itb.walker 62500 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 58038.465169 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 57321.777973 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 57370.690455 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 58237.400906 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 57429.738834 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 57484.890754 # average overall mshr miss latency
system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.dtb.walker 48550 # average overall mshr miss latency
system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.itb.walker 62500 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 58038.465169 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 57321.777973 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 57370.690455 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 58237.400906 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 57429.738834 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 57484.890754 # average overall mshr miss latency
system.cpu.l2cache.ReadReq_avg_mshr_uncacheable_latency::cpu.inst inf # average ReadReq mshr uncacheable latency
system.cpu.l2cache.ReadReq_avg_mshr_uncacheable_latency::cpu.data inf # average ReadReq mshr uncacheable latency
system.cpu.l2cache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
@@ -932,94 +939,94 @@ system.cpu.l2cache.overall_avg_mshr_uncacheable_latency::cpu.inst inf
system.cpu.l2cache.overall_avg_mshr_uncacheable_latency::cpu.data inf # average overall mshr uncacheable latency
system.cpu.l2cache.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.dcache.tags.replacements 625842 # number of replacements
+system.cpu.dcache.tags.replacements 625894 # number of replacements
system.cpu.dcache.tags.tagsinuse 511.875658 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 21786000 # Total number of references to valid blocks.
-system.cpu.dcache.tags.sampled_refs 626354 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 34.782248 # Average number of references to valid blocks.
+system.cpu.dcache.tags.total_refs 21786154 # Total number of references to valid blocks.
+system.cpu.dcache.tags.sampled_refs 626406 # Sample count of references to valid blocks.
+system.cpu.dcache.tags.avg_refs 34.779606 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 668864250 # Cycle when the warmup percentage was hit.
system.cpu.dcache.tags.occ_blocks::cpu.data 511.875658 # Average occupied blocks per requestor
system.cpu.dcache.tags.occ_percent::cpu.data 0.999757 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_percent::total 0.999757 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::0 77 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 319 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::2 116 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::0 78 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::1 321 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::2 113 # Occupied blocks per task id
system.cpu.dcache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu.dcache.tags.tag_accesses 90403758 # Number of tag accesses
-system.cpu.dcache.tags.data_accesses 90403758 # Number of data accesses
-system.cpu.dcache.ReadReq_hits::cpu.data 11249339 # number of ReadReq hits
-system.cpu.dcache.ReadReq_hits::total 11249339 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 9965366 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 9965366 # number of WriteReq hits
-system.cpu.dcache.SoftPFReq_hits::cpu.data 84253 # number of SoftPFReq hits
-system.cpu.dcache.SoftPFReq_hits::total 84253 # number of SoftPFReq hits
-system.cpu.dcache.LoadLockedReq_hits::cpu.data 236457 # number of LoadLockedReq hits
-system.cpu.dcache.LoadLockedReq_hits::total 236457 # number of LoadLockedReq hits
-system.cpu.dcache.StoreCondReq_hits::cpu.data 247663 # number of StoreCondReq hits
-system.cpu.dcache.StoreCondReq_hits::total 247663 # number of StoreCondReq hits
-system.cpu.dcache.demand_hits::cpu.data 21214705 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 21214705 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 21298958 # number of overall hits
-system.cpu.dcache.overall_hits::total 21298958 # number of overall hits
-system.cpu.dcache.ReadReq_misses::cpu.data 294663 # number of ReadReq misses
-system.cpu.dcache.ReadReq_misses::total 294663 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 255297 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 255297 # number of WriteReq misses
-system.cpu.dcache.SoftPFReq_misses::cpu.data 100106 # number of SoftPFReq misses
-system.cpu.dcache.SoftPFReq_misses::total 100106 # number of SoftPFReq misses
-system.cpu.dcache.LoadLockedReq_misses::cpu.data 11207 # number of LoadLockedReq misses
-system.cpu.dcache.LoadLockedReq_misses::total 11207 # number of LoadLockedReq misses
-system.cpu.dcache.demand_misses::cpu.data 549960 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 549960 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 650066 # number of overall misses
-system.cpu.dcache.overall_misses::total 650066 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 4040384999 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 4040384999 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 11533122261 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 11533122261 # number of WriteReq miss cycles
-system.cpu.dcache.LoadLockedReq_miss_latency::cpu.data 155182000 # number of LoadLockedReq miss cycles
-system.cpu.dcache.LoadLockedReq_miss_latency::total 155182000 # number of LoadLockedReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 15573507260 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 15573507260 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 15573507260 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 15573507260 # number of overall miss cycles
-system.cpu.dcache.ReadReq_accesses::cpu.data 11544002 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.ReadReq_accesses::total 11544002 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::cpu.data 10220663 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::total 10220663 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.SoftPFReq_accesses::cpu.data 184359 # number of SoftPFReq accesses(hits+misses)
-system.cpu.dcache.SoftPFReq_accesses::total 184359 # number of SoftPFReq accesses(hits+misses)
-system.cpu.dcache.LoadLockedReq_accesses::cpu.data 247664 # number of LoadLockedReq accesses(hits+misses)
-system.cpu.dcache.LoadLockedReq_accesses::total 247664 # number of LoadLockedReq accesses(hits+misses)
-system.cpu.dcache.StoreCondReq_accesses::cpu.data 247663 # number of StoreCondReq accesses(hits+misses)
-system.cpu.dcache.StoreCondReq_accesses::total 247663 # number of StoreCondReq accesses(hits+misses)
-system.cpu.dcache.demand_accesses::cpu.data 21764665 # number of demand (read+write) accesses
-system.cpu.dcache.demand_accesses::total 21764665 # number of demand (read+write) accesses
-system.cpu.dcache.overall_accesses::cpu.data 21949024 # number of overall (read+write) accesses
-system.cpu.dcache.overall_accesses::total 21949024 # number of overall (read+write) accesses
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.025525 # miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_miss_rate::total 0.025525 # miss rate for ReadReq accesses
+system.cpu.dcache.tags.tag_accesses 90404594 # Number of tag accesses
+system.cpu.dcache.tags.data_accesses 90404594 # Number of data accesses
+system.cpu.dcache.ReadReq_hits::cpu.data 11249411 # number of ReadReq hits
+system.cpu.dcache.ReadReq_hits::total 11249411 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits::cpu.data 9965441 # number of WriteReq hits
+system.cpu.dcache.WriteReq_hits::total 9965441 # number of WriteReq hits
+system.cpu.dcache.SoftPFReq_hits::cpu.data 84252 # number of SoftPFReq hits
+system.cpu.dcache.SoftPFReq_hits::total 84252 # number of SoftPFReq hits
+system.cpu.dcache.LoadLockedReq_hits::cpu.data 236461 # number of LoadLockedReq hits
+system.cpu.dcache.LoadLockedReq_hits::total 236461 # number of LoadLockedReq hits
+system.cpu.dcache.StoreCondReq_hits::cpu.data 247668 # number of StoreCondReq hits
+system.cpu.dcache.StoreCondReq_hits::total 247668 # number of StoreCondReq hits
+system.cpu.dcache.demand_hits::cpu.data 21214852 # number of demand (read+write) hits
+system.cpu.dcache.demand_hits::total 21214852 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits::cpu.data 21299104 # number of overall hits
+system.cpu.dcache.overall_hits::total 21299104 # number of overall hits
+system.cpu.dcache.ReadReq_misses::cpu.data 294699 # number of ReadReq misses
+system.cpu.dcache.ReadReq_misses::total 294699 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses::cpu.data 255299 # number of WriteReq misses
+system.cpu.dcache.WriteReq_misses::total 255299 # number of WriteReq misses
+system.cpu.dcache.SoftPFReq_misses::cpu.data 100108 # number of SoftPFReq misses
+system.cpu.dcache.SoftPFReq_misses::total 100108 # number of SoftPFReq misses
+system.cpu.dcache.LoadLockedReq_misses::cpu.data 11208 # number of LoadLockedReq misses
+system.cpu.dcache.LoadLockedReq_misses::total 11208 # number of LoadLockedReq misses
+system.cpu.dcache.demand_misses::cpu.data 549998 # number of demand (read+write) misses
+system.cpu.dcache.demand_misses::total 549998 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses::cpu.data 650106 # number of overall misses
+system.cpu.dcache.overall_misses::total 650106 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency::cpu.data 4039018749 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 4039018749 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.data 11552022511 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 11552022511 # number of WriteReq miss cycles
+system.cpu.dcache.LoadLockedReq_miss_latency::cpu.data 154983250 # number of LoadLockedReq miss cycles
+system.cpu.dcache.LoadLockedReq_miss_latency::total 154983250 # number of LoadLockedReq miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 15591041260 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 15591041260 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 15591041260 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 15591041260 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses::cpu.data 11544110 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.ReadReq_accesses::total 11544110 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses::cpu.data 10220740 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses::total 10220740 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.SoftPFReq_accesses::cpu.data 184360 # number of SoftPFReq accesses(hits+misses)
+system.cpu.dcache.SoftPFReq_accesses::total 184360 # number of SoftPFReq accesses(hits+misses)
+system.cpu.dcache.LoadLockedReq_accesses::cpu.data 247669 # number of LoadLockedReq accesses(hits+misses)
+system.cpu.dcache.LoadLockedReq_accesses::total 247669 # number of LoadLockedReq accesses(hits+misses)
+system.cpu.dcache.StoreCondReq_accesses::cpu.data 247668 # number of StoreCondReq accesses(hits+misses)
+system.cpu.dcache.StoreCondReq_accesses::total 247668 # number of StoreCondReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses::cpu.data 21764850 # number of demand (read+write) accesses
+system.cpu.dcache.demand_accesses::total 21764850 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses::cpu.data 21949210 # number of overall (read+write) accesses
+system.cpu.dcache.overall_accesses::total 21949210 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.025528 # miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_miss_rate::total 0.025528 # miss rate for ReadReq accesses
system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.024979 # miss rate for WriteReq accesses
system.cpu.dcache.WriteReq_miss_rate::total 0.024979 # miss rate for WriteReq accesses
-system.cpu.dcache.SoftPFReq_miss_rate::cpu.data 0.542995 # miss rate for SoftPFReq accesses
-system.cpu.dcache.SoftPFReq_miss_rate::total 0.542995 # miss rate for SoftPFReq accesses
-system.cpu.dcache.LoadLockedReq_miss_rate::cpu.data 0.045251 # miss rate for LoadLockedReq accesses
-system.cpu.dcache.LoadLockedReq_miss_rate::total 0.045251 # miss rate for LoadLockedReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.025268 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.025268 # miss rate for demand accesses
-system.cpu.dcache.overall_miss_rate::cpu.data 0.029617 # miss rate for overall accesses
-system.cpu.dcache.overall_miss_rate::total 0.029617 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 13711.884421 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 13711.884421 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 45175.314481 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 45175.314481 # average WriteReq miss latency
-system.cpu.dcache.LoadLockedReq_avg_miss_latency::cpu.data 13846.881413 # average LoadLockedReq miss latency
-system.cpu.dcache.LoadLockedReq_avg_miss_latency::total 13846.881413 # average LoadLockedReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 28317.527202 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 28317.527202 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 23956.809401 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 23956.809401 # average overall miss latency
+system.cpu.dcache.SoftPFReq_miss_rate::cpu.data 0.543003 # miss rate for SoftPFReq accesses
+system.cpu.dcache.SoftPFReq_miss_rate::total 0.543003 # miss rate for SoftPFReq accesses
+system.cpu.dcache.LoadLockedReq_miss_rate::cpu.data 0.045254 # miss rate for LoadLockedReq accesses
+system.cpu.dcache.LoadLockedReq_miss_rate::total 0.045254 # miss rate for LoadLockedReq accesses
+system.cpu.dcache.demand_miss_rate::cpu.data 0.025270 # miss rate for demand accesses
+system.cpu.dcache.demand_miss_rate::total 0.025270 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate::cpu.data 0.029619 # miss rate for overall accesses
+system.cpu.dcache.overall_miss_rate::total 0.029619 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 13705.573310 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 13705.573310 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 45248.992401 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 45248.992401 # average WriteReq miss latency
+system.cpu.dcache.LoadLockedReq_avg_miss_latency::cpu.data 13827.913098 # average LoadLockedReq miss latency
+system.cpu.dcache.LoadLockedReq_avg_miss_latency::total 13827.913098 # average LoadLockedReq miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 28347.450827 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 28347.450827 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 23982.306362 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 23982.306362 # average overall miss latency
system.cpu.dcache.blocked_cycles::no_mshrs 58 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 1 # number of cycles access was blocked
@@ -1028,70 +1035,70 @@ system.cpu.dcache.avg_blocked_cycles::no_mshrs 58
system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.dcache.fast_writes 0 # number of fast writes performed
system.cpu.dcache.cache_copies 0 # number of cache copies performed
-system.cpu.dcache.writebacks::writebacks 594981 # number of writebacks
-system.cpu.dcache.writebacks::total 594981 # number of writebacks
-system.cpu.dcache.ReadReq_mshr_hits::cpu.data 534 # number of ReadReq MSHR hits
-system.cpu.dcache.ReadReq_mshr_hits::total 534 # number of ReadReq MSHR hits
-system.cpu.dcache.WriteReq_mshr_hits::cpu.data 4836 # number of WriteReq MSHR hits
-system.cpu.dcache.WriteReq_mshr_hits::total 4836 # number of WriteReq MSHR hits
-system.cpu.dcache.demand_mshr_hits::cpu.data 5370 # number of demand (read+write) MSHR hits
-system.cpu.dcache.demand_mshr_hits::total 5370 # number of demand (read+write) MSHR hits
-system.cpu.dcache.overall_mshr_hits::cpu.data 5370 # number of overall MSHR hits
-system.cpu.dcache.overall_mshr_hits::total 5370 # number of overall MSHR hits
-system.cpu.dcache.ReadReq_mshr_misses::cpu.data 294129 # number of ReadReq MSHR misses
-system.cpu.dcache.ReadReq_mshr_misses::total 294129 # number of ReadReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::cpu.data 250461 # number of WriteReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::total 250461 # number of WriteReq MSHR misses
-system.cpu.dcache.SoftPFReq_mshr_misses::cpu.data 73479 # number of SoftPFReq MSHR misses
-system.cpu.dcache.SoftPFReq_mshr_misses::total 73479 # number of SoftPFReq MSHR misses
-system.cpu.dcache.LoadLockedReq_mshr_misses::cpu.data 11207 # number of LoadLockedReq MSHR misses
-system.cpu.dcache.LoadLockedReq_mshr_misses::total 11207 # number of LoadLockedReq MSHR misses
-system.cpu.dcache.demand_mshr_misses::cpu.data 544590 # number of demand (read+write) MSHR misses
-system.cpu.dcache.demand_mshr_misses::total 544590 # number of demand (read+write) MSHR misses
-system.cpu.dcache.overall_mshr_misses::cpu.data 618069 # number of overall MSHR misses
-system.cpu.dcache.overall_mshr_misses::total 618069 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 3445567250 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 3445567250 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 10763005489 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 10763005489 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.SoftPFReq_mshr_miss_latency::cpu.data 1228271500 # number of SoftPFReq MSHR miss cycles
-system.cpu.dcache.SoftPFReq_mshr_miss_latency::total 1228271500 # number of SoftPFReq MSHR miss cycles
-system.cpu.dcache.LoadLockedReq_mshr_miss_latency::cpu.data 132710000 # number of LoadLockedReq MSHR miss cycles
-system.cpu.dcache.LoadLockedReq_mshr_miss_latency::total 132710000 # number of LoadLockedReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 14208572739 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 14208572739 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 15436844239 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 15436844239 # number of overall MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_uncacheable_latency::cpu.data 182058544250 # number of ReadReq MSHR uncacheable cycles
-system.cpu.dcache.ReadReq_mshr_uncacheable_latency::total 182058544250 # number of ReadReq MSHR uncacheable cycles
-system.cpu.dcache.WriteReq_mshr_uncacheable_latency::cpu.data 26242551425 # number of WriteReq MSHR uncacheable cycles
-system.cpu.dcache.WriteReq_mshr_uncacheable_latency::total 26242551425 # number of WriteReq MSHR uncacheable cycles
-system.cpu.dcache.overall_mshr_uncacheable_latency::cpu.data 208301095675 # number of overall MSHR uncacheable cycles
-system.cpu.dcache.overall_mshr_uncacheable_latency::total 208301095675 # number of overall MSHR uncacheable cycles
-system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.025479 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.025479 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.024505 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.024505 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.SoftPFReq_mshr_miss_rate::cpu.data 0.398565 # mshr miss rate for SoftPFReq accesses
-system.cpu.dcache.SoftPFReq_mshr_miss_rate::total 0.398565 # mshr miss rate for SoftPFReq accesses
-system.cpu.dcache.LoadLockedReq_mshr_miss_rate::cpu.data 0.045251 # mshr miss rate for LoadLockedReq accesses
-system.cpu.dcache.LoadLockedReq_mshr_miss_rate::total 0.045251 # mshr miss rate for LoadLockedReq accesses
-system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.025022 # mshr miss rate for demand accesses
-system.cpu.dcache.demand_mshr_miss_rate::total 0.025022 # mshr miss rate for demand accesses
-system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.028159 # mshr miss rate for overall accesses
-system.cpu.dcache.overall_mshr_miss_rate::total 0.028159 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 11714.476471 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 11714.476471 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 42972.780149 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 42972.780149 # average WriteReq mshr miss latency
-system.cpu.dcache.SoftPFReq_avg_mshr_miss_latency::cpu.data 16715.952857 # average SoftPFReq mshr miss latency
-system.cpu.dcache.SoftPFReq_avg_mshr_miss_latency::total 16715.952857 # average SoftPFReq mshr miss latency
-system.cpu.dcache.LoadLockedReq_avg_mshr_miss_latency::cpu.data 11841.706077 # average LoadLockedReq mshr miss latency
-system.cpu.dcache.LoadLockedReq_avg_mshr_miss_latency::total 11841.706077 # average LoadLockedReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 26090.403311 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 26090.403311 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 24975.923787 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 24975.923787 # average overall mshr miss latency
+system.cpu.dcache.writebacks::writebacks 595027 # number of writebacks
+system.cpu.dcache.writebacks::total 595027 # number of writebacks
+system.cpu.dcache.ReadReq_mshr_hits::cpu.data 533 # number of ReadReq MSHR hits
+system.cpu.dcache.ReadReq_mshr_hits::total 533 # number of ReadReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits::cpu.data 4827 # number of WriteReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits::total 4827 # number of WriteReq MSHR hits
+system.cpu.dcache.demand_mshr_hits::cpu.data 5360 # number of demand (read+write) MSHR hits
+system.cpu.dcache.demand_mshr_hits::total 5360 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits::cpu.data 5360 # number of overall MSHR hits
+system.cpu.dcache.overall_mshr_hits::total 5360 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses::cpu.data 294166 # number of ReadReq MSHR misses
+system.cpu.dcache.ReadReq_mshr_misses::total 294166 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses::cpu.data 250472 # number of WriteReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses::total 250472 # number of WriteReq MSHR misses
+system.cpu.dcache.SoftPFReq_mshr_misses::cpu.data 73481 # number of SoftPFReq MSHR misses
+system.cpu.dcache.SoftPFReq_mshr_misses::total 73481 # number of SoftPFReq MSHR misses
+system.cpu.dcache.LoadLockedReq_mshr_misses::cpu.data 11208 # number of LoadLockedReq MSHR misses
+system.cpu.dcache.LoadLockedReq_mshr_misses::total 11208 # number of LoadLockedReq MSHR misses
+system.cpu.dcache.demand_mshr_misses::cpu.data 544638 # number of demand (read+write) MSHR misses
+system.cpu.dcache.demand_mshr_misses::total 544638 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses::cpu.data 618119 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_misses::total 618119 # number of overall MSHR misses
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 3444363000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 3444363000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 10784804239 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 10784804239 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.SoftPFReq_mshr_miss_latency::cpu.data 1224587250 # number of SoftPFReq MSHR miss cycles
+system.cpu.dcache.SoftPFReq_mshr_miss_latency::total 1224587250 # number of SoftPFReq MSHR miss cycles
+system.cpu.dcache.LoadLockedReq_mshr_miss_latency::cpu.data 132510750 # number of LoadLockedReq MSHR miss cycles
+system.cpu.dcache.LoadLockedReq_mshr_miss_latency::total 132510750 # number of LoadLockedReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 14229167239 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 14229167239 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 15453754489 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 15453754489 # number of overall MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_uncacheable_latency::cpu.data 182056011250 # number of ReadReq MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_uncacheable_latency::total 182056011250 # number of ReadReq MSHR uncacheable cycles
+system.cpu.dcache.WriteReq_mshr_uncacheable_latency::cpu.data 26242438939 # number of WriteReq MSHR uncacheable cycles
+system.cpu.dcache.WriteReq_mshr_uncacheable_latency::total 26242438939 # number of WriteReq MSHR uncacheable cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency::cpu.data 208298450189 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency::total 208298450189 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.025482 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.025482 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.024506 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.024506 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.SoftPFReq_mshr_miss_rate::cpu.data 0.398573 # mshr miss rate for SoftPFReq accesses
+system.cpu.dcache.SoftPFReq_mshr_miss_rate::total 0.398573 # mshr miss rate for SoftPFReq accesses
+system.cpu.dcache.LoadLockedReq_mshr_miss_rate::cpu.data 0.045254 # mshr miss rate for LoadLockedReq accesses
+system.cpu.dcache.LoadLockedReq_mshr_miss_rate::total 0.045254 # mshr miss rate for LoadLockedReq accesses
+system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.025024 # mshr miss rate for demand accesses
+system.cpu.dcache.demand_mshr_miss_rate::total 0.025024 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.028161 # mshr miss rate for overall accesses
+system.cpu.dcache.overall_mshr_miss_rate::total 0.028161 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 11708.909255 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 11708.909255 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 43057.923596 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 43057.923596 # average WriteReq mshr miss latency
+system.cpu.dcache.SoftPFReq_avg_mshr_miss_latency::cpu.data 16665.359072 # average SoftPFReq mshr miss latency
+system.cpu.dcache.SoftPFReq_avg_mshr_miss_latency::total 16665.359072 # average SoftPFReq mshr miss latency
+system.cpu.dcache.LoadLockedReq_avg_mshr_miss_latency::cpu.data 11822.872056 # average LoadLockedReq mshr miss latency
+system.cpu.dcache.LoadLockedReq_avg_mshr_miss_latency::total 11822.872056 # average LoadLockedReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 26125.917103 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 26125.917103 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 25001.261066 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 25001.261066 # average overall mshr miss latency
system.cpu.dcache.ReadReq_avg_mshr_uncacheable_latency::cpu.data inf # average ReadReq mshr uncacheable latency
system.cpu.dcache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu.dcache.WriteReq_avg_mshr_uncacheable_latency::cpu.data inf # average WriteReq mshr uncacheable latency
@@ -1099,33 +1106,46 @@ system.cpu.dcache.WriteReq_avg_mshr_uncacheable_latency::total inf
system.cpu.dcache.overall_avg_mshr_uncacheable_latency::cpu.data inf # average overall mshr uncacheable latency
system.cpu.dcache.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 52981595 # Throughput (bytes/s)
-system.cpu.toL2Bus.trans_dist::ReadReq 2453579 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadResp 2453579 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadReq 2453657 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadResp 2453657 # Transaction distribution
system.cpu.toL2Bus.trans_dist::WriteReq 763381 # Transaction distribution
system.cpu.toL2Bus.trans_dist::WriteResp 763381 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::Writeback 594981 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::UpgradeReq 2922 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::UpgradeResp 2922 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExReq 247539 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExResp 247539 # Transaction distribution
-system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 1724389 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 5748549 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count_system.cpu.itb.walker.dma::system.cpu.l2cache.cpu_side 12041 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.trans_dist::Writeback 595027 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::UpgradeReq 2921 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::UpgradeResp 2921 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadExReq 247551 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadExResp 247551 # Transaction distribution
+system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 1724466 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 5748697 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.itb.walker.dma::system.cpu.l2cache.cpu_side 12042 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dtb.walker.dma::system.cpu.l2cache.cpu_side 26252 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count::total 7511231 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 54730908 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 83579878 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.itb.walker.dma::system.cpu.l2cache.cpu_side 12460 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dtb.walker.dma::system.cpu.l2cache.cpu_side 30172 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 138353418 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 138353418 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 171268 # Total snoop data (bytes)
-system.cpu.toL2Bus.reqLayer0.occupancy 3007873000 # Layer occupancy (ticks)
+system.cpu.toL2Bus.pkt_count::total 7511457 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 54733404 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 83586150 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.itb.walker.dma::system.cpu.l2cache.cpu_side 12464 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dtb.walker.dma::system.cpu.l2cache.cpu_side 30172 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 138362190 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 18590 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 2108398 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 5 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::5 2108398 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::6 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 5 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 5 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 2108398 # Request fanout histogram
+system.cpu.toL2Bus.reqLayer0.occupancy 3007986500 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.1 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer0.occupancy 1294746250 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer0.occupancy 1294797750 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer0.utilization 0.0 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer1.occupancy 2533153086 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer1.occupancy 2533255572 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 0.1 # Layer utilization (%)
system.cpu.toL2Bus.respLayer2.occupancy 8926000 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer2.utilization 0.0 # Layer utilization (%)
@@ -1147,10 +1167,10 @@ system.iocache.avg_blocked_cycles::no_mshrs nan #
system.iocache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.iocache.fast_writes 0 # number of fast writes performed
system.iocache.cache_copies 0 # number of cache copies performed
-system.iocache.ReadReq_mshr_uncacheable_latency::realview.clcd 1760059764500 # number of ReadReq MSHR uncacheable cycles
-system.iocache.ReadReq_mshr_uncacheable_latency::total 1760059764500 # number of ReadReq MSHR uncacheable cycles
-system.iocache.overall_mshr_uncacheable_latency::realview.clcd 1760059764500 # number of overall MSHR uncacheable cycles
-system.iocache.overall_mshr_uncacheable_latency::total 1760059764500 # number of overall MSHR uncacheable cycles
+system.iocache.ReadReq_mshr_uncacheable_latency::realview.clcd 1760318460750 # number of ReadReq MSHR uncacheable cycles
+system.iocache.ReadReq_mshr_uncacheable_latency::total 1760318460750 # number of ReadReq MSHR uncacheable cycles
+system.iocache.overall_mshr_uncacheable_latency::realview.clcd 1760318460750 # number of overall MSHR uncacheable cycles
+system.iocache.overall_mshr_uncacheable_latency::total 1760318460750 # number of overall MSHR uncacheable cycles
system.iocache.ReadReq_avg_mshr_uncacheable_latency::realview.clcd inf # average ReadReq mshr uncacheable latency
system.iocache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.iocache.overall_avg_mshr_uncacheable_latency::realview.clcd inf # average overall mshr uncacheable latency
diff --git a/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-atomic/stats.txt b/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-atomic/stats.txt
index a9cd1b1ac..5818937f9 100644
--- a/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-atomic/stats.txt
+++ b/tests/quick/fs/10.linux-boot/ref/arm/linux/realview-switcheroo-atomic/stats.txt
@@ -1,14 +1,14 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 2.321351 # Number of seconds simulated
-sim_ticks 2321351025500 # Number of ticks simulated
-final_tick 2321351025500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 2.321335 # Number of seconds simulated
+sim_ticks 2321335404000 # Number of ticks simulated
+final_tick 2321335404000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 709541 # Simulator instruction rate (inst/s)
-host_op_rate 854435 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 27266672116 # Simulator tick rate (ticks/s)
-host_mem_usage 431868 # Number of bytes of host memory used
-host_seconds 85.14 # Real time elapsed on the host
+host_inst_rate 1185543 # Simulator instruction rate (inst/s)
+host_op_rate 1427641 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 45558461303 # Simulator tick rate (ticks/s)
+host_mem_usage 457752 # Number of bytes of host memory used
+host_seconds 50.95 # Real time elapsed on the host
sim_insts 60406834 # Number of instructions simulated
sim_ops 72742429 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -16,54 +16,54 @@ system.clk_domain.clock 1000 # Cl
system.physmem.bytes_read::realview.clcd 110100480 # Number of bytes read from this memory
system.physmem.bytes_read::cpu0.dtb.walker 128 # Number of bytes read from this memory
system.physmem.bytes_read::cpu0.itb.walker 192 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu0.inst 508168 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu0.data 5844952 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1.inst 197248 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1.data 3227072 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.inst 508104 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu0.data 5777624 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu1.inst 197312 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu1.data 3294400 # Number of bytes read from this memory
system.physmem.bytes_read::total 119878240 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu0.inst 508168 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::cpu1.inst 197248 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::cpu0.inst 508104 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::cpu1.inst 197312 # Number of instructions bytes read from this memory
system.physmem.bytes_inst_read::total 705416 # Number of instructions bytes read from this memory
system.physmem.bytes_written::writebacks 3703808 # Number of bytes written to this memory
-system.physmem.bytes_written::cpu0.data 1462736 # Number of bytes written to this memory
-system.physmem.bytes_written::cpu1.data 1553080 # Number of bytes written to this memory
+system.physmem.bytes_written::cpu0.data 1461532 # Number of bytes written to this memory
+system.physmem.bytes_written::cpu1.data 1554284 # Number of bytes written to this memory
system.physmem.bytes_written::total 6719624 # Number of bytes written to this memory
system.physmem.num_reads::realview.clcd 13762560 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu0.dtb.walker 2 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu0.itb.walker 3 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu0.inst 14152 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu0.data 91353 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1.inst 3082 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1.data 50423 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu0.inst 14151 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu0.data 90301 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu1.inst 3083 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu1.data 51475 # Number of read requests responded to by this memory
system.physmem.num_reads::total 13921575 # Number of read requests responded to by this memory
system.physmem.num_writes::writebacks 57872 # Number of write requests responded to by this memory
-system.physmem.num_writes::cpu0.data 365684 # Number of write requests responded to by this memory
-system.physmem.num_writes::cpu1.data 388270 # Number of write requests responded to by this memory
+system.physmem.num_writes::cpu0.data 365383 # Number of write requests responded to by this memory
+system.physmem.num_writes::cpu1.data 388571 # Number of write requests responded to by this memory
system.physmem.num_writes::total 811826 # Number of write requests responded to by this memory
-system.physmem.bw_read::realview.clcd 47429483 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::realview.clcd 47429803 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu0.dtb.walker 55 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu0.itb.walker 83 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.inst 218910 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.data 2517910 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.inst 84971 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.data 1390170 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 51641582 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu0.inst 218910 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu1.inst 84971 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 303882 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_write::writebacks 1595540 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu0.data 630123 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu1.data 669041 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::total 2894704 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_total::writebacks 1595540 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::realview.clcd 47429483 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_read::cpu0.inst 218884 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.data 2488923 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.inst 84999 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.data 1419183 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 51641930 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu0.inst 218884 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu1.inst 84999 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 303884 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write::writebacks 1595551 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu0.data 629608 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu1.data 669565 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::total 2894723 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total::writebacks 1595551 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::realview.clcd 47429803 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu0.dtb.walker 55 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu0.itb.walker 83 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.inst 218910 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.data 3148032 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.inst 84971 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.data 2059211 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 54536286 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.inst 218884 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.data 3118531 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.inst 84999 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.data 2088748 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 54536653 # Total bandwidth to/from this memory (bytes/s)
system.realview.nvmem.bytes_read::cpu0.inst 20 # Number of bytes read from this memory
system.realview.nvmem.bytes_read::total 20 # Number of bytes read from this memory
system.realview.nvmem.bytes_inst_read::cpu0.inst 20 # Number of instructions bytes read from this memory
@@ -76,30 +76,66 @@ system.realview.nvmem.bw_inst_read::cpu0.inst 9
system.realview.nvmem.bw_inst_read::total 9 # Instruction read bandwidth from this memory (bytes/s)
system.realview.nvmem.bw_total::cpu0.inst 9 # Total bandwidth to/from this memory (bytes/s)
system.realview.nvmem.bw_total::total 9 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 55568819 # Throughput (bytes/s)
-system.membus.data_through_bus 128994735 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.trans_dist::ReadReq 14973628 # Transaction distribution
+system.membus.trans_dist::ReadResp 14973628 # Transaction distribution
+system.membus.trans_dist::WriteReq 763122 # Transaction distribution
+system.membus.trans_dist::WriteResp 763122 # Transaction distribution
+system.membus.trans_dist::Writeback 57872 # Transaction distribution
+system.membus.trans_dist::UpgradeReq 4519 # Transaction distribution
+system.membus.trans_dist::UpgradeResp 4519 # Transaction distribution
+system.membus.trans_dist::ReadExReq 131877 # Transaction distribution
+system.membus.trans_dist::ReadExResp 131877 # Transaction distribution
+system.membus.pkt_count_system.l2c.mem_side::system.bridge.slave 2382824 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::system.realview.nvmem.port 10 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::system.realview.gic.pio 3360 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::system.realview.a9scu.pio 2 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 1892848 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.l2c.mem_side::total 4279044 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 27525120 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::total 27525120 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 31804164 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.bridge.slave 2390127 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.realview.nvmem.port 20 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.realview.gic.pio 6720 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.realview.a9scu.pio 4 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.physmem.port 16497384 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::total 18894255 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::system.physmem.port 110100480 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::total 110100480 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 128994735 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 214752 # Request fanout histogram
+system.membus.snoop_fanout::mean 1 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 214752 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 1 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 214752 # Request fanout histogram
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.l2c.tags.replacements 62250 # number of replacements
-system.l2c.tags.tagsinuse 50005.872632 # Cycle average of tags in use
-system.l2c.tags.total_refs 1678480 # Total number of references to valid blocks.
+system.l2c.tags.tagsinuse 50005.858036 # Cycle average of tags in use
+system.l2c.tags.total_refs 1678527 # Total number of references to valid blocks.
system.l2c.tags.sampled_refs 127635 # Sample count of references to valid blocks.
-system.l2c.tags.avg_refs 13.150625 # Average number of references to valid blocks.
-system.l2c.tags.warmup_cycle 2306278064000 # Cycle when the warmup percentage was hit.
-system.l2c.tags.occ_blocks::writebacks 36900.828862 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.dtb.walker 0.993863 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.itb.walker 0.993971 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.inst 4874.093087 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.data 3539.587837 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.inst 2140.383073 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.data 2548.991939 # Average occupied blocks per requestor
-system.l2c.tags.occ_percent::writebacks 0.563062 # Average percentage of cache occupancy
+system.l2c.tags.avg_refs 13.150993 # Average number of references to valid blocks.
+system.l2c.tags.warmup_cycle 2306275686000 # Cycle when the warmup percentage was hit.
+system.l2c.tags.occ_blocks::writebacks 36902.743708 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.dtb.walker 0.993864 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.itb.walker 0.993972 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.inst 4873.119904 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.data 3553.057866 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.inst 2141.364810 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.data 2533.583912 # Average occupied blocks per requestor
+system.l2c.tags.occ_percent::writebacks 0.563091 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu0.dtb.walker 0.000015 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu0.itb.walker 0.000015 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.inst 0.074373 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0.data 0.054010 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1.inst 0.032660 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1.data 0.038895 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.inst 0.074358 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0.data 0.054215 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1.inst 0.032675 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1.data 0.038659 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::total 0.763029 # Average percentage of cache occupancy
system.l2c.tags.occ_task_id_blocks::1023 2 # Occupied blocks per task id
system.l2c.tags.occ_task_id_blocks::1024 65383 # Occupied blocks per task id
@@ -107,136 +143,136 @@ system.l2c.tags.age_task_id_blocks_1023::4 2 #
system.l2c.tags.age_task_id_blocks_1024::0 40 # Occupied blocks per task id
system.l2c.tags.age_task_id_blocks_1024::1 262 # Occupied blocks per task id
system.l2c.tags.age_task_id_blocks_1024::2 3672 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::3 9281 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::4 52128 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::3 9282 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::4 52127 # Occupied blocks per task id
system.l2c.tags.occ_task_id_percent::1023 0.000031 # Percentage of cache occupancy per task id
system.l2c.tags.occ_task_id_percent::1024 0.997665 # Percentage of cache occupancy per task id
-system.l2c.tags.tag_accesses 17104797 # Number of tag accesses
-system.l2c.tags.data_accesses 17104797 # Number of data accesses
-system.l2c.ReadReq_hits::cpu0.dtb.walker 8775 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu0.itb.walker 3263 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu0.inst 451755 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu0.data 188951 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.dtb.walker 5151 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.itb.walker 2105 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.inst 387038 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.data 177833 # number of ReadReq hits
-system.l2c.ReadReq_hits::total 1224871 # number of ReadReq hits
-system.l2c.Writeback_hits::writebacks 592686 # number of Writeback hits
-system.l2c.Writeback_hits::total 592686 # number of Writeback hits
+system.l2c.tags.tag_accesses 17105211 # Number of tag accesses
+system.l2c.tags.data_accesses 17105211 # Number of data accesses
+system.l2c.ReadReq_hits::cpu0.dtb.walker 8799 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu0.itb.walker 3276 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu0.inst 451004 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu0.data 189163 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.dtb.walker 5176 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.itb.walker 2130 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.inst 387778 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.data 177603 # number of ReadReq hits
+system.l2c.ReadReq_hits::total 1224929 # number of ReadReq hits
+system.l2c.Writeback_hits::writebacks 592674 # number of Writeback hits
+system.l2c.Writeback_hits::total 592674 # number of Writeback hits
system.l2c.UpgradeReq_hits::cpu0.data 16 # number of UpgradeReq hits
system.l2c.UpgradeReq_hits::cpu1.data 10 # number of UpgradeReq hits
system.l2c.UpgradeReq_hits::total 26 # number of UpgradeReq hits
-system.l2c.ReadExReq_hits::cpu0.data 62028 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::cpu1.data 51680 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::total 113708 # number of ReadExReq hits
-system.l2c.demand_hits::cpu0.dtb.walker 8775 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu0.itb.walker 3263 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu0.inst 451755 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu0.data 250979 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.dtb.walker 5151 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.itb.walker 2105 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.inst 387038 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.data 229513 # number of demand (read+write) hits
-system.l2c.demand_hits::total 1338579 # number of demand (read+write) hits
-system.l2c.overall_hits::cpu0.dtb.walker 8775 # number of overall hits
-system.l2c.overall_hits::cpu0.itb.walker 3263 # number of overall hits
-system.l2c.overall_hits::cpu0.inst 451755 # number of overall hits
-system.l2c.overall_hits::cpu0.data 250979 # number of overall hits
-system.l2c.overall_hits::cpu1.dtb.walker 5151 # number of overall hits
-system.l2c.overall_hits::cpu1.itb.walker 2105 # number of overall hits
-system.l2c.overall_hits::cpu1.inst 387038 # number of overall hits
-system.l2c.overall_hits::cpu1.data 229513 # number of overall hits
-system.l2c.overall_hits::total 1338579 # number of overall hits
+system.l2c.ReadExReq_hits::cpu0.data 62080 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::cpu1.data 51632 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::total 113712 # number of ReadExReq hits
+system.l2c.demand_hits::cpu0.dtb.walker 8799 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu0.itb.walker 3276 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu0.inst 451004 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu0.data 251243 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.dtb.walker 5176 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.itb.walker 2130 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.inst 387778 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.data 229235 # number of demand (read+write) hits
+system.l2c.demand_hits::total 1338641 # number of demand (read+write) hits
+system.l2c.overall_hits::cpu0.dtb.walker 8799 # number of overall hits
+system.l2c.overall_hits::cpu0.itb.walker 3276 # number of overall hits
+system.l2c.overall_hits::cpu0.inst 451004 # number of overall hits
+system.l2c.overall_hits::cpu0.data 251243 # number of overall hits
+system.l2c.overall_hits::cpu1.dtb.walker 5176 # number of overall hits
+system.l2c.overall_hits::cpu1.itb.walker 2130 # number of overall hits
+system.l2c.overall_hits::cpu1.inst 387778 # number of overall hits
+system.l2c.overall_hits::cpu1.data 229235 # number of overall hits
+system.l2c.overall_hits::total 1338641 # number of overall hits
system.l2c.ReadReq_misses::cpu0.dtb.walker 2 # number of ReadReq misses
system.l2c.ReadReq_misses::cpu0.itb.walker 3 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu0.inst 7526 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu0.data 6094 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1.inst 3082 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1.data 3778 # number of ReadReq misses
-system.l2c.ReadReq_misses::total 20485 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu0.inst 7525 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu0.data 6105 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1.inst 3083 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1.data 3766 # number of ReadReq misses
+system.l2c.ReadReq_misses::total 20484 # number of ReadReq misses
system.l2c.UpgradeReq_misses::cpu0.data 1505 # number of UpgradeReq misses
system.l2c.UpgradeReq_misses::cpu1.data 1412 # number of UpgradeReq misses
system.l2c.UpgradeReq_misses::total 2917 # number of UpgradeReq misses
-system.l2c.ReadExReq_misses::cpu0.data 86064 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::cpu1.data 47413 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::total 133477 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::cpu0.data 85002 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::cpu1.data 48477 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::total 133479 # number of ReadExReq misses
system.l2c.demand_misses::cpu0.dtb.walker 2 # number of demand (read+write) misses
system.l2c.demand_misses::cpu0.itb.walker 3 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu0.inst 7526 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu0.data 92158 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1.inst 3082 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1.data 51191 # number of demand (read+write) misses
-system.l2c.demand_misses::total 153962 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu0.inst 7525 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu0.data 91107 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1.inst 3083 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1.data 52243 # number of demand (read+write) misses
+system.l2c.demand_misses::total 153963 # number of demand (read+write) misses
system.l2c.overall_misses::cpu0.dtb.walker 2 # number of overall misses
system.l2c.overall_misses::cpu0.itb.walker 3 # number of overall misses
-system.l2c.overall_misses::cpu0.inst 7526 # number of overall misses
-system.l2c.overall_misses::cpu0.data 92158 # number of overall misses
-system.l2c.overall_misses::cpu1.inst 3082 # number of overall misses
-system.l2c.overall_misses::cpu1.data 51191 # number of overall misses
-system.l2c.overall_misses::total 153962 # number of overall misses
-system.l2c.ReadReq_accesses::cpu0.dtb.walker 8777 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu0.itb.walker 3266 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu0.inst 459281 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu0.data 195045 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.dtb.walker 5151 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.itb.walker 2105 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.inst 390120 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1.data 181611 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::total 1245356 # number of ReadReq accesses(hits+misses)
-system.l2c.Writeback_accesses::writebacks 592686 # number of Writeback accesses(hits+misses)
-system.l2c.Writeback_accesses::total 592686 # number of Writeback accesses(hits+misses)
+system.l2c.overall_misses::cpu0.inst 7525 # number of overall misses
+system.l2c.overall_misses::cpu0.data 91107 # number of overall misses
+system.l2c.overall_misses::cpu1.inst 3083 # number of overall misses
+system.l2c.overall_misses::cpu1.data 52243 # number of overall misses
+system.l2c.overall_misses::total 153963 # number of overall misses
+system.l2c.ReadReq_accesses::cpu0.dtb.walker 8801 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu0.itb.walker 3279 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu0.inst 458529 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu0.data 195268 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.dtb.walker 5176 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.itb.walker 2130 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.inst 390861 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1.data 181369 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::total 1245413 # number of ReadReq accesses(hits+misses)
+system.l2c.Writeback_accesses::writebacks 592674 # number of Writeback accesses(hits+misses)
+system.l2c.Writeback_accesses::total 592674 # number of Writeback accesses(hits+misses)
system.l2c.UpgradeReq_accesses::cpu0.data 1521 # number of UpgradeReq accesses(hits+misses)
system.l2c.UpgradeReq_accesses::cpu1.data 1422 # number of UpgradeReq accesses(hits+misses)
system.l2c.UpgradeReq_accesses::total 2943 # number of UpgradeReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu0.data 148092 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu1.data 99093 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::total 247185 # number of ReadExReq accesses(hits+misses)
-system.l2c.demand_accesses::cpu0.dtb.walker 8777 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu0.itb.walker 3266 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu0.inst 459281 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu0.data 343137 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.dtb.walker 5151 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.itb.walker 2105 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.inst 390120 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1.data 280704 # number of demand (read+write) accesses
-system.l2c.demand_accesses::total 1492541 # number of demand (read+write) accesses
-system.l2c.overall_accesses::cpu0.dtb.walker 8777 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu0.itb.walker 3266 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu0.inst 459281 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu0.data 343137 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.dtb.walker 5151 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.itb.walker 2105 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.inst 390120 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1.data 280704 # number of overall (read+write) accesses
-system.l2c.overall_accesses::total 1492541 # number of overall (read+write) accesses
-system.l2c.ReadReq_miss_rate::cpu0.dtb.walker 0.000228 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu0.itb.walker 0.000919 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu0.inst 0.016386 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu0.data 0.031244 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1.inst 0.007900 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1.data 0.020803 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::total 0.016449 # miss rate for ReadReq accesses
+system.l2c.ReadExReq_accesses::cpu0.data 147082 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu1.data 100109 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::total 247191 # number of ReadExReq accesses(hits+misses)
+system.l2c.demand_accesses::cpu0.dtb.walker 8801 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu0.itb.walker 3279 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu0.inst 458529 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu0.data 342350 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.dtb.walker 5176 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.itb.walker 2130 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.inst 390861 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1.data 281478 # number of demand (read+write) accesses
+system.l2c.demand_accesses::total 1492604 # number of demand (read+write) accesses
+system.l2c.overall_accesses::cpu0.dtb.walker 8801 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu0.itb.walker 3279 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu0.inst 458529 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu0.data 342350 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.dtb.walker 5176 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.itb.walker 2130 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.inst 390861 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1.data 281478 # number of overall (read+write) accesses
+system.l2c.overall_accesses::total 1492604 # number of overall (read+write) accesses
+system.l2c.ReadReq_miss_rate::cpu0.dtb.walker 0.000227 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu0.itb.walker 0.000915 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu0.inst 0.016411 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu0.data 0.031265 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1.inst 0.007888 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1.data 0.020764 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::total 0.016448 # miss rate for ReadReq accesses
system.l2c.UpgradeReq_miss_rate::cpu0.data 0.989481 # miss rate for UpgradeReq accesses
system.l2c.UpgradeReq_miss_rate::cpu1.data 0.992968 # miss rate for UpgradeReq accesses
system.l2c.UpgradeReq_miss_rate::total 0.991165 # miss rate for UpgradeReq accesses
-system.l2c.ReadExReq_miss_rate::cpu0.data 0.581152 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::cpu1.data 0.478470 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::total 0.539988 # miss rate for ReadExReq accesses
-system.l2c.demand_miss_rate::cpu0.dtb.walker 0.000228 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu0.itb.walker 0.000919 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu0.inst 0.016386 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu0.data 0.268575 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1.inst 0.007900 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1.data 0.182366 # miss rate for demand accesses
-system.l2c.demand_miss_rate::total 0.103154 # miss rate for demand accesses
-system.l2c.overall_miss_rate::cpu0.dtb.walker 0.000228 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu0.itb.walker 0.000919 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu0.inst 0.016386 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu0.data 0.268575 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1.inst 0.007900 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1.data 0.182366 # miss rate for overall accesses
-system.l2c.overall_miss_rate::total 0.103154 # miss rate for overall accesses
+system.l2c.ReadExReq_miss_rate::cpu0.data 0.577923 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::cpu1.data 0.484242 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::total 0.539983 # miss rate for ReadExReq accesses
+system.l2c.demand_miss_rate::cpu0.dtb.walker 0.000227 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu0.itb.walker 0.000915 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu0.inst 0.016411 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu0.data 0.266122 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1.inst 0.007888 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1.data 0.185602 # miss rate for demand accesses
+system.l2c.demand_miss_rate::total 0.103151 # miss rate for demand accesses
+system.l2c.overall_miss_rate::cpu0.dtb.walker 0.000227 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu0.itb.walker 0.000915 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu0.inst 0.016411 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu0.data 0.266122 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1.inst 0.007888 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1.data 0.185602 # miss rate for overall accesses
+system.l2c.overall_miss_rate::total 0.103151 # miss rate for overall accesses
system.l2c.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.l2c.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.l2c.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -254,11 +290,99 @@ system.cf0.dma_read_txs 0 # Nu
system.cf0.dma_write_full_pages 0 # Number of full page size DMA writes.
system.cf0.dma_write_bytes 0 # Number of bytes transfered via DMA writes.
system.cf0.dma_write_txs 0 # Number of DMA write transactions.
-system.toL2Bus.throughput 59409488 # Throughput (bytes/s)
-system.toL2Bus.data_through_bus 137910275 # Total data (bytes)
-system.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
-system.iobus.throughput 48459111 # Throughput (bytes/s)
-system.iobus.data_through_bus 112490607 # Total data (bytes)
+system.toL2Bus.trans_dist::ReadReq 2455233 # Transaction distribution
+system.toL2Bus.trans_dist::ReadResp 2455233 # Transaction distribution
+system.toL2Bus.trans_dist::WriteReq 763122 # Transaction distribution
+system.toL2Bus.trans_dist::WriteResp 763122 # Transaction distribution
+system.toL2Bus.trans_dist::Writeback 592674 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeReq 2943 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeResp 2943 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExReq 247191 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExResp 247191 # Transaction distribution
+system.toL2Bus.pkt_count_system.cpu0.icache.mem_side::system.l2c.cpu_side 1715294 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu0.dcache.mem_side::system.l2c.cpu_side 5740366 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu0.itb.walker.dma::system.l2c.cpu_side 22916 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu0.dtb.walker.dma::system.l2c.cpu_side 51076 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count::total 7529652 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.icache.mem_side::system.l2c.cpu_side 54491548 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.dcache.mem_side::system.l2c.cpu_side 83268947 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.itb.walker.dma::system.l2c.cpu_side 45832 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.dtb.walker.dma::system.l2c.cpu_side 102152 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size::total 137908479 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.snoops 0 # Total snoops (count)
+system.toL2Bus.snoop_fanout::samples 2107457 # Request fanout histogram
+system.toL2Bus.snoop_fanout::mean 5 # Request fanout histogram
+system.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::5 2107457 100.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::6 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::min_value 5 # Request fanout histogram
+system.toL2Bus.snoop_fanout::max_value 5 # Request fanout histogram
+system.toL2Bus.snoop_fanout::total 2107457 # Request fanout histogram
+system.iobus.trans_dist::ReadReq 14945841 # Transaction distribution
+system.iobus.trans_dist::ReadResp 14945841 # Transaction distribution
+system.iobus.trans_dist::WriteReq 8131 # Transaction distribution
+system.iobus.trans_dist::WriteResp 8131 # Transaction distribution
+system.iobus.pkt_count_system.bridge.master::system.realview.uart.pio 29952 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.realview_io.pio 7900 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.timer0.pio 476 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.timer1.pio 984 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.clcd.pio 36 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.kmi0.pio 120 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.kmi1.pio 732 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.cf_ctrl.pio 2342380 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.dmac_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.uart1_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.uart2_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.uart3_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.smc_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.sp810_fake.pio 20 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.watchdog_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.gpio0_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.gpio1_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.gpio2_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.ssp_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.sci_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.aaci_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.mmc_fake.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.realview.rtc.pio 16 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::total 2382824 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.realview.clcd.dma::system.iocache.cpu_side 27525120 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.realview.clcd.dma::total 27525120 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count::total 29907944 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart.pio 39247 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.realview_io.pio 15800 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.timer0.pio 952 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.timer1.pio 1968 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.clcd.pio 72 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.kmi0.pio 84 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.kmi1.pio 390 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.cf_ctrl.pio 2331126 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.dmac_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.uart3_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.smc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.sp810_fake.pio 40 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.watchdog_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio0_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio1_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.gpio2_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.ssp_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.sci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.aaci_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.mmc_fake.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.realview.rtc.pio 32 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::total 2390127 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.realview.clcd.dma::system.iocache.cpu_side 110100480 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.realview.clcd.dma::total 110100480 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size::total 112490607 # Cumulative packet size per connected master and slave (bytes)
system.cpu0.dstage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu0.dstage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu0.dstage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -282,25 +406,25 @@ system.cpu0.dstage2_mmu.stage2_tlb.misses 0 # D
system.cpu0.dstage2_mmu.stage2_tlb.accesses 0 # DTB accesses
system.cpu0.dtb.inst_hits 0 # ITB inst hits
system.cpu0.dtb.inst_misses 0 # ITB inst misses
-system.cpu0.dtb.read_hits 6811742 # DTB read hits
-system.cpu0.dtb.read_misses 6183 # DTB read misses
-system.cpu0.dtb.write_hits 6269363 # DTB write hits
-system.cpu0.dtb.write_misses 2047 # DTB write misses
+system.cpu0.dtb.read_hits 6816435 # DTB read hits
+system.cpu0.dtb.read_misses 6211 # DTB read misses
+system.cpu0.dtb.write_hits 6254825 # DTB write hits
+system.cpu0.dtb.write_misses 2049 # DTB write misses
system.cpu0.dtb.flush_tlb 2324 # Number of times complete TLB was flushed
system.cpu0.dtb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
-system.cpu0.dtb.flush_tlb_mva_asid 763 # Number of times TLB was flushed by MVA & ASID
+system.cpu0.dtb.flush_tlb_mva_asid 758 # Number of times TLB was flushed by MVA & ASID
system.cpu0.dtb.flush_tlb_asid 33 # Number of times TLB was flushed by ASID
-system.cpu0.dtb.flush_entries 5527 # Number of entries that have been flushed from TLB
+system.cpu0.dtb.flush_entries 5541 # Number of entries that have been flushed from TLB
system.cpu0.dtb.align_faults 0 # Number of TLB faults due to alignment restrictions
-system.cpu0.dtb.prefetch_faults 117 # Number of TLB faults due to prefetch
+system.cpu0.dtb.prefetch_faults 120 # Number of TLB faults due to prefetch
system.cpu0.dtb.domain_faults 0 # Number of TLB faults due to domain restrictions
-system.cpu0.dtb.perms_faults 235 # Number of TLB faults due to permissions restrictions
-system.cpu0.dtb.read_accesses 6817925 # DTB read accesses
-system.cpu0.dtb.write_accesses 6271410 # DTB write accesses
+system.cpu0.dtb.perms_faults 232 # Number of TLB faults due to permissions restrictions
+system.cpu0.dtb.read_accesses 6822646 # DTB read accesses
+system.cpu0.dtb.write_accesses 6256874 # DTB write accesses
system.cpu0.dtb.inst_accesses 0 # ITB inst accesses
-system.cpu0.dtb.hits 13081105 # DTB hits
-system.cpu0.dtb.misses 8230 # DTB misses
-system.cpu0.dtb.accesses 13089335 # DTB accesses
+system.cpu0.dtb.hits 13071260 # DTB hits
+system.cpu0.dtb.misses 8260 # DTB misses
+system.cpu0.dtb.accesses 13079520 # DTB accesses
system.cpu0.istage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu0.istage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu0.istage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -322,143 +446,143 @@ system.cpu0.istage2_mmu.stage2_tlb.inst_accesses 0
system.cpu0.istage2_mmu.stage2_tlb.hits 0 # DTB hits
system.cpu0.istage2_mmu.stage2_tlb.misses 0 # DTB misses
system.cpu0.istage2_mmu.stage2_tlb.accesses 0 # DTB accesses
-system.cpu0.itb.inst_hits 32133466 # ITB inst hits
-system.cpu0.itb.inst_misses 3581 # ITB inst misses
+system.cpu0.itb.inst_hits 32152502 # ITB inst hits
+system.cpu0.itb.inst_misses 3598 # ITB inst misses
system.cpu0.itb.read_hits 0 # DTB read hits
system.cpu0.itb.read_misses 0 # DTB read misses
system.cpu0.itb.write_hits 0 # DTB write hits
system.cpu0.itb.write_misses 0 # DTB write misses
system.cpu0.itb.flush_tlb 2324 # Number of times complete TLB was flushed
system.cpu0.itb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
-system.cpu0.itb.flush_tlb_mva_asid 763 # Number of times TLB was flushed by MVA & ASID
+system.cpu0.itb.flush_tlb_mva_asid 758 # Number of times TLB was flushed by MVA & ASID
system.cpu0.itb.flush_tlb_asid 33 # Number of times TLB was flushed by ASID
-system.cpu0.itb.flush_entries 2662 # Number of entries that have been flushed from TLB
+system.cpu0.itb.flush_entries 2674 # Number of entries that have been flushed from TLB
system.cpu0.itb.align_faults 0 # Number of TLB faults due to alignment restrictions
system.cpu0.itb.prefetch_faults 0 # Number of TLB faults due to prefetch
system.cpu0.itb.domain_faults 0 # Number of TLB faults due to domain restrictions
system.cpu0.itb.perms_faults 0 # Number of TLB faults due to permissions restrictions
system.cpu0.itb.read_accesses 0 # DTB read accesses
system.cpu0.itb.write_accesses 0 # DTB write accesses
-system.cpu0.itb.inst_accesses 32137047 # ITB inst accesses
-system.cpu0.itb.hits 32133466 # DTB hits
-system.cpu0.itb.misses 3581 # DTB misses
-system.cpu0.itb.accesses 32137047 # DTB accesses
-system.cpu0.numCycles 4608021079 # number of cpu cycles simulated
+system.cpu0.itb.inst_accesses 32156100 # ITB inst accesses
+system.cpu0.itb.hits 32152502 # DTB hits
+system.cpu0.itb.misses 3598 # DTB misses
+system.cpu0.itb.accesses 32156100 # DTB accesses
+system.cpu0.numCycles 4610022066 # number of cpu cycles simulated
system.cpu0.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu0.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu0.committedInsts 31639227 # Number of instructions committed
-system.cpu0.committedOps 38587883 # Number of ops (including micro ops) committed
-system.cpu0.num_int_alu_accesses 34004805 # Number of integer alu accesses
-system.cpu0.num_fp_alu_accesses 5482 # Number of float alu accesses
-system.cpu0.num_func_calls 1192523 # number of times a function call or return occured
-system.cpu0.num_conditional_control_insts 4010781 # number of instructions that are conditional controls
-system.cpu0.num_int_insts 34004805 # number of integer instructions
-system.cpu0.num_fp_insts 5482 # number of float instructions
-system.cpu0.num_int_register_reads 62290177 # number of times the integer registers were read
-system.cpu0.num_int_register_writes 22551825 # number of times the integer registers were written
-system.cpu0.num_fp_register_reads 3925 # number of times the floating registers were read
+system.cpu0.committedInsts 31655881 # Number of instructions committed
+system.cpu0.committedOps 38589756 # Number of ops (including micro ops) committed
+system.cpu0.num_int_alu_accesses 34002307 # Number of integer alu accesses
+system.cpu0.num_fp_alu_accesses 5498 # Number of float alu accesses
+system.cpu0.num_func_calls 1192858 # number of times a function call or return occured
+system.cpu0.num_conditional_control_insts 4013764 # number of instructions that are conditional controls
+system.cpu0.num_int_insts 34002307 # number of integer instructions
+system.cpu0.num_fp_insts 5498 # number of float instructions
+system.cpu0.num_int_register_reads 62271464 # number of times the integer registers were read
+system.cpu0.num_int_register_writes 22558612 # number of times the integer registers were written
+system.cpu0.num_fp_register_reads 3941 # number of times the floating registers were read
system.cpu0.num_fp_register_writes 1558 # number of times the floating registers were written
-system.cpu0.num_cc_register_reads 115496065 # number of times the CC registers were read
-system.cpu0.num_cc_register_writes 15262729 # number of times the CC registers were written
-system.cpu0.num_mem_refs 13528824 # number of memory refs
-system.cpu0.num_load_insts 6988108 # Number of load instructions
-system.cpu0.num_store_insts 6540716 # Number of store instructions
-system.cpu0.num_idle_cycles 4534732444.570566 # Number of idle cycles
-system.cpu0.num_busy_cycles 73288634.429434 # Number of busy cycles
-system.cpu0.not_idle_fraction 0.015905 # Percentage of non-idle cycles
-system.cpu0.idle_fraction 0.984095 # Percentage of idle cycles
-system.cpu0.Branches 5541899 # Number of branches fetched
-system.cpu0.op_class::No_OpClass 16090 0.04% 0.04% # Class of executed instruction
-system.cpu0.op_class::IntAlu 25070156 64.84% 64.89% # Class of executed instruction
-system.cpu0.op_class::IntMult 45827 0.12% 65.00% # Class of executed instruction
-system.cpu0.op_class::IntDiv 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::FloatAdd 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::FloatCmp 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::FloatCvt 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::FloatMult 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::FloatDiv 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::FloatSqrt 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdAdd 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdAddAcc 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdAlu 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdCmp 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdCvt 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdMisc 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdMult 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdMultAcc 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdShift 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdShiftAcc 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdSqrt 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdFloatAdd 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdFloatAlu 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdFloatCmp 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdFloatCvt 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdFloatDiv 0 0.00% 65.00% # Class of executed instruction
-system.cpu0.op_class::SimdFloatMisc 1368 0.00% 65.01% # Class of executed instruction
-system.cpu0.op_class::SimdFloatMult 0 0.00% 65.01% # Class of executed instruction
-system.cpu0.op_class::SimdFloatMultAcc 0 0.00% 65.01% # Class of executed instruction
-system.cpu0.op_class::SimdFloatSqrt 0 0.00% 65.01% # Class of executed instruction
-system.cpu0.op_class::MemRead 6988108 18.07% 83.08% # Class of executed instruction
-system.cpu0.op_class::MemWrite 6540716 16.92% 100.00% # Class of executed instruction
+system.cpu0.num_cc_register_reads 115497170 # number of times the CC registers were read
+system.cpu0.num_cc_register_writes 15275707 # number of times the CC registers were written
+system.cpu0.num_mem_refs 13519126 # number of memory refs
+system.cpu0.num_load_insts 6992673 # Number of load instructions
+system.cpu0.num_store_insts 6526453 # Number of store instructions
+system.cpu0.num_idle_cycles 4538759726.926458 # Number of idle cycles
+system.cpu0.num_busy_cycles 71262339.073542 # Number of busy cycles
+system.cpu0.not_idle_fraction 0.015458 # Percentage of non-idle cycles
+system.cpu0.idle_fraction 0.984542 # Percentage of idle cycles
+system.cpu0.Branches 5545179 # Number of branches fetched
+system.cpu0.op_class::No_OpClass 16079 0.04% 0.04% # Class of executed instruction
+system.cpu0.op_class::IntAlu 25081623 64.87% 64.91% # Class of executed instruction
+system.cpu0.op_class::IntMult 45922 0.12% 65.03% # Class of executed instruction
+system.cpu0.op_class::IntDiv 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::FloatAdd 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::FloatCmp 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::FloatCvt 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::FloatMult 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::FloatDiv 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::FloatSqrt 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdAdd 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdAddAcc 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdAlu 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdCmp 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdCvt 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdMisc 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdMult 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdMultAcc 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdShift 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdShiftAcc 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdSqrt 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdFloatAdd 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdFloatAlu 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdFloatCmp 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdFloatCvt 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdFloatDiv 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdFloatMisc 1365 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdFloatMult 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdFloatMultAcc 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::SimdFloatSqrt 0 0.00% 65.03% # Class of executed instruction
+system.cpu0.op_class::MemRead 6992673 18.09% 83.12% # Class of executed instruction
+system.cpu0.op_class::MemWrite 6526453 16.88% 100.00% # Class of executed instruction
system.cpu0.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
system.cpu0.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu0.op_class::total 38662265 # Class of executed instruction
+system.cpu0.op_class::total 38664115 # Class of executed instruction
system.cpu0.kern.inst.arm 0 # number of arm instructions executed
system.cpu0.kern.inst.quiesce 82781 # number of quiesce instructions executed
-system.cpu0.icache.tags.replacements 850515 # number of replacements
-system.cpu0.icache.tags.tagsinuse 511.689593 # Cycle average of tags in use
-system.cpu0.icache.tags.total_refs 60581740 # Total number of references to valid blocks.
-system.cpu0.icache.tags.sampled_refs 851027 # Sample count of references to valid blocks.
-system.cpu0.icache.tags.avg_refs 71.186625 # Average number of references to valid blocks.
-system.cpu0.icache.tags.warmup_cycle 5455017500 # Cycle when the warmup percentage was hit.
-system.cpu0.icache.tags.occ_blocks::cpu0.inst 446.344221 # Average occupied blocks per requestor
-system.cpu0.icache.tags.occ_blocks::cpu1.inst 65.345372 # Average occupied blocks per requestor
-system.cpu0.icache.tags.occ_percent::cpu0.inst 0.871766 # Average percentage of cache occupancy
-system.cpu0.icache.tags.occ_percent::cpu1.inst 0.127628 # Average percentage of cache occupancy
+system.cpu0.icache.tags.replacements 850504 # number of replacements
+system.cpu0.icache.tags.tagsinuse 511.689630 # Cycle average of tags in use
+system.cpu0.icache.tags.total_refs 60581751 # Total number of references to valid blocks.
+system.cpu0.icache.tags.sampled_refs 851016 # Sample count of references to valid blocks.
+system.cpu0.icache.tags.avg_refs 71.187558 # Average number of references to valid blocks.
+system.cpu0.icache.tags.warmup_cycle 5451547500 # Cycle when the warmup percentage was hit.
+system.cpu0.icache.tags.occ_blocks::cpu0.inst 446.338382 # Average occupied blocks per requestor
+system.cpu0.icache.tags.occ_blocks::cpu1.inst 65.351248 # Average occupied blocks per requestor
+system.cpu0.icache.tags.occ_percent::cpu0.inst 0.871755 # Average percentage of cache occupancy
+system.cpu0.icache.tags.occ_percent::cpu1.inst 0.127639 # Average percentage of cache occupancy
system.cpu0.icache.tags.occ_percent::total 0.999394 # Average percentage of cache occupancy
system.cpu0.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::0 200 # Occupied blocks per task id
-system.cpu0.icache.tags.age_task_id_blocks_1024::1 62 # Occupied blocks per task id
+system.cpu0.icache.tags.age_task_id_blocks_1024::0 201 # Occupied blocks per task id
+system.cpu0.icache.tags.age_task_id_blocks_1024::1 61 # Occupied blocks per task id
system.cpu0.icache.tags.age_task_id_blocks_1024::2 249 # Occupied blocks per task id
system.cpu0.icache.tags.age_task_id_blocks_1024::3 1 # Occupied blocks per task id
system.cpu0.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu0.icache.tags.tag_accesses 62283794 # Number of tag accesses
-system.cpu0.icache.tags.data_accesses 62283794 # Number of data accesses
-system.cpu0.icache.ReadReq_hits::cpu0.inst 31676072 # number of ReadReq hits
-system.cpu0.icache.ReadReq_hits::cpu1.inst 28905668 # number of ReadReq hits
-system.cpu0.icache.ReadReq_hits::total 60581740 # number of ReadReq hits
-system.cpu0.icache.demand_hits::cpu0.inst 31676072 # number of demand (read+write) hits
-system.cpu0.icache.demand_hits::cpu1.inst 28905668 # number of demand (read+write) hits
-system.cpu0.icache.demand_hits::total 60581740 # number of demand (read+write) hits
-system.cpu0.icache.overall_hits::cpu0.inst 31676072 # number of overall hits
-system.cpu0.icache.overall_hits::cpu1.inst 28905668 # number of overall hits
-system.cpu0.icache.overall_hits::total 60581740 # number of overall hits
-system.cpu0.icache.ReadReq_misses::cpu0.inst 460107 # number of ReadReq misses
-system.cpu0.icache.ReadReq_misses::cpu1.inst 390920 # number of ReadReq misses
-system.cpu0.icache.ReadReq_misses::total 851027 # number of ReadReq misses
-system.cpu0.icache.demand_misses::cpu0.inst 460107 # number of demand (read+write) misses
-system.cpu0.icache.demand_misses::cpu1.inst 390920 # number of demand (read+write) misses
-system.cpu0.icache.demand_misses::total 851027 # number of demand (read+write) misses
-system.cpu0.icache.overall_misses::cpu0.inst 460107 # number of overall misses
-system.cpu0.icache.overall_misses::cpu1.inst 390920 # number of overall misses
-system.cpu0.icache.overall_misses::total 851027 # number of overall misses
-system.cpu0.icache.ReadReq_accesses::cpu0.inst 32136179 # number of ReadReq accesses(hits+misses)
-system.cpu0.icache.ReadReq_accesses::cpu1.inst 29296588 # number of ReadReq accesses(hits+misses)
+system.cpu0.icache.tags.tag_accesses 62283783 # Number of tag accesses
+system.cpu0.icache.tags.data_accesses 62283783 # Number of data accesses
+system.cpu0.icache.ReadReq_hits::cpu0.inst 31695864 # number of ReadReq hits
+system.cpu0.icache.ReadReq_hits::cpu1.inst 28885887 # number of ReadReq hits
+system.cpu0.icache.ReadReq_hits::total 60581751 # number of ReadReq hits
+system.cpu0.icache.demand_hits::cpu0.inst 31695864 # number of demand (read+write) hits
+system.cpu0.icache.demand_hits::cpu1.inst 28885887 # number of demand (read+write) hits
+system.cpu0.icache.demand_hits::total 60581751 # number of demand (read+write) hits
+system.cpu0.icache.overall_hits::cpu0.inst 31695864 # number of overall hits
+system.cpu0.icache.overall_hits::cpu1.inst 28885887 # number of overall hits
+system.cpu0.icache.overall_hits::total 60581751 # number of overall hits
+system.cpu0.icache.ReadReq_misses::cpu0.inst 459362 # number of ReadReq misses
+system.cpu0.icache.ReadReq_misses::cpu1.inst 391654 # number of ReadReq misses
+system.cpu0.icache.ReadReq_misses::total 851016 # number of ReadReq misses
+system.cpu0.icache.demand_misses::cpu0.inst 459362 # number of demand (read+write) misses
+system.cpu0.icache.demand_misses::cpu1.inst 391654 # number of demand (read+write) misses
+system.cpu0.icache.demand_misses::total 851016 # number of demand (read+write) misses
+system.cpu0.icache.overall_misses::cpu0.inst 459362 # number of overall misses
+system.cpu0.icache.overall_misses::cpu1.inst 391654 # number of overall misses
+system.cpu0.icache.overall_misses::total 851016 # number of overall misses
+system.cpu0.icache.ReadReq_accesses::cpu0.inst 32155226 # number of ReadReq accesses(hits+misses)
+system.cpu0.icache.ReadReq_accesses::cpu1.inst 29277541 # number of ReadReq accesses(hits+misses)
system.cpu0.icache.ReadReq_accesses::total 61432767 # number of ReadReq accesses(hits+misses)
-system.cpu0.icache.demand_accesses::cpu0.inst 32136179 # number of demand (read+write) accesses
-system.cpu0.icache.demand_accesses::cpu1.inst 29296588 # number of demand (read+write) accesses
+system.cpu0.icache.demand_accesses::cpu0.inst 32155226 # number of demand (read+write) accesses
+system.cpu0.icache.demand_accesses::cpu1.inst 29277541 # number of demand (read+write) accesses
system.cpu0.icache.demand_accesses::total 61432767 # number of demand (read+write) accesses
-system.cpu0.icache.overall_accesses::cpu0.inst 32136179 # number of overall (read+write) accesses
-system.cpu0.icache.overall_accesses::cpu1.inst 29296588 # number of overall (read+write) accesses
+system.cpu0.icache.overall_accesses::cpu0.inst 32155226 # number of overall (read+write) accesses
+system.cpu0.icache.overall_accesses::cpu1.inst 29277541 # number of overall (read+write) accesses
system.cpu0.icache.overall_accesses::total 61432767 # number of overall (read+write) accesses
-system.cpu0.icache.ReadReq_miss_rate::cpu0.inst 0.014317 # miss rate for ReadReq accesses
-system.cpu0.icache.ReadReq_miss_rate::cpu1.inst 0.013344 # miss rate for ReadReq accesses
+system.cpu0.icache.ReadReq_miss_rate::cpu0.inst 0.014286 # miss rate for ReadReq accesses
+system.cpu0.icache.ReadReq_miss_rate::cpu1.inst 0.013377 # miss rate for ReadReq accesses
system.cpu0.icache.ReadReq_miss_rate::total 0.013853 # miss rate for ReadReq accesses
-system.cpu0.icache.demand_miss_rate::cpu0.inst 0.014317 # miss rate for demand accesses
-system.cpu0.icache.demand_miss_rate::cpu1.inst 0.013344 # miss rate for demand accesses
+system.cpu0.icache.demand_miss_rate::cpu0.inst 0.014286 # miss rate for demand accesses
+system.cpu0.icache.demand_miss_rate::cpu1.inst 0.013377 # miss rate for demand accesses
system.cpu0.icache.demand_miss_rate::total 0.013853 # miss rate for demand accesses
-system.cpu0.icache.overall_miss_rate::cpu0.inst 0.014317 # miss rate for overall accesses
-system.cpu0.icache.overall_miss_rate::cpu1.inst 0.013344 # miss rate for overall accesses
+system.cpu0.icache.overall_miss_rate::cpu0.inst 0.014286 # miss rate for overall accesses
+system.cpu0.icache.overall_miss_rate::cpu1.inst 0.013377 # miss rate for overall accesses
system.cpu0.icache.overall_miss_rate::total 0.013853 # miss rate for overall accesses
system.cpu0.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu0.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
@@ -469,101 +593,101 @@ system.cpu0.icache.avg_blocked_cycles::no_targets nan
system.cpu0.icache.fast_writes 0 # number of fast writes performed
system.cpu0.icache.cache_copies 0 # number of cache copies performed
system.cpu0.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu0.dcache.tags.replacements 623329 # number of replacements
+system.cpu0.dcache.tags.replacements 623316 # number of replacements
system.cpu0.dcache.tags.tagsinuse 511.997018 # Cycle average of tags in use
-system.cpu0.dcache.tags.total_refs 21798515 # Total number of references to valid blocks.
-system.cpu0.dcache.tags.sampled_refs 623841 # Sample count of references to valid blocks.
-system.cpu0.dcache.tags.avg_refs 34.942421 # Average number of references to valid blocks.
+system.cpu0.dcache.tags.total_refs 21798519 # Total number of references to valid blocks.
+system.cpu0.dcache.tags.sampled_refs 623828 # Sample count of references to valid blocks.
+system.cpu0.dcache.tags.avg_refs 34.943156 # Average number of references to valid blocks.
system.cpu0.dcache.tags.warmup_cycle 21757000 # Cycle when the warmup percentage was hit.
-system.cpu0.dcache.tags.occ_blocks::cpu0.data 453.974436 # Average occupied blocks per requestor
-system.cpu0.dcache.tags.occ_blocks::cpu1.data 58.022582 # Average occupied blocks per requestor
-system.cpu0.dcache.tags.occ_percent::cpu0.data 0.886669 # Average percentage of cache occupancy
-system.cpu0.dcache.tags.occ_percent::cpu1.data 0.113325 # Average percentage of cache occupancy
+system.cpu0.dcache.tags.occ_blocks::cpu0.data 453.972290 # Average occupied blocks per requestor
+system.cpu0.dcache.tags.occ_blocks::cpu1.data 58.024728 # Average occupied blocks per requestor
+system.cpu0.dcache.tags.occ_percent::cpu0.data 0.886665 # Average percentage of cache occupancy
+system.cpu0.dcache.tags.occ_percent::cpu1.data 0.113330 # Average percentage of cache occupancy
system.cpu0.dcache.tags.occ_percent::total 0.999994 # Average percentage of cache occupancy
system.cpu0.dcache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
system.cpu0.dcache.tags.age_task_id_blocks_1024::0 291 # Occupied blocks per task id
system.cpu0.dcache.tags.age_task_id_blocks_1024::1 197 # Occupied blocks per task id
system.cpu0.dcache.tags.age_task_id_blocks_1024::2 24 # Occupied blocks per task id
system.cpu0.dcache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu0.dcache.tags.tag_accesses 90313265 # Number of tag accesses
-system.cpu0.dcache.tags.data_accesses 90313265 # Number of data accesses
-system.cpu0.dcache.ReadReq_hits::cpu0.data 5835707 # number of ReadReq hits
-system.cpu0.dcache.ReadReq_hits::cpu1.data 5404504 # number of ReadReq hits
-system.cpu0.dcache.ReadReq_hits::total 11240211 # number of ReadReq hits
-system.cpu0.dcache.WriteReq_hits::cpu0.data 5610278 # number of WriteReq hits
-system.cpu0.dcache.WriteReq_hits::cpu1.data 4351033 # number of WriteReq hits
-system.cpu0.dcache.WriteReq_hits::total 9961311 # number of WriteReq hits
-system.cpu0.dcache.SoftPFReq_hits::cpu0.data 52098 # number of SoftPFReq hits
-system.cpu0.dcache.SoftPFReq_hits::cpu1.data 58749 # number of SoftPFReq hits
-system.cpu0.dcache.SoftPFReq_hits::total 110847 # number of SoftPFReq hits
-system.cpu0.dcache.LoadLockedReq_hits::cpu0.data 136238 # number of LoadLockedReq hits
-system.cpu0.dcache.LoadLockedReq_hits::cpu1.data 99769 # number of LoadLockedReq hits
-system.cpu0.dcache.LoadLockedReq_hits::total 236007 # number of LoadLockedReq hits
-system.cpu0.dcache.StoreCondReq_hits::cpu0.data 142767 # number of StoreCondReq hits
-system.cpu0.dcache.StoreCondReq_hits::cpu1.data 104429 # number of StoreCondReq hits
+system.cpu0.dcache.tags.tag_accesses 90313216 # Number of tag accesses
+system.cpu0.dcache.tags.data_accesses 90313216 # Number of data accesses
+system.cpu0.dcache.ReadReq_hits::cpu0.data 5840103 # number of ReadReq hits
+system.cpu0.dcache.ReadReq_hits::cpu1.data 5400119 # number of ReadReq hits
+system.cpu0.dcache.ReadReq_hits::total 11240222 # number of ReadReq hits
+system.cpu0.dcache.WriteReq_hits::cpu0.data 5597078 # number of WriteReq hits
+system.cpu0.dcache.WriteReq_hits::cpu1.data 4364227 # number of WriteReq hits
+system.cpu0.dcache.WriteReq_hits::total 9961305 # number of WriteReq hits
+system.cpu0.dcache.SoftPFReq_hits::cpu0.data 52143 # number of SoftPFReq hits
+system.cpu0.dcache.SoftPFReq_hits::cpu1.data 58700 # number of SoftPFReq hits
+system.cpu0.dcache.SoftPFReq_hits::total 110843 # number of SoftPFReq hits
+system.cpu0.dcache.LoadLockedReq_hits::cpu0.data 136250 # number of LoadLockedReq hits
+system.cpu0.dcache.LoadLockedReq_hits::cpu1.data 99760 # number of LoadLockedReq hits
+system.cpu0.dcache.LoadLockedReq_hits::total 236010 # number of LoadLockedReq hits
+system.cpu0.dcache.StoreCondReq_hits::cpu0.data 142749 # number of StoreCondReq hits
+system.cpu0.dcache.StoreCondReq_hits::cpu1.data 104447 # number of StoreCondReq hits
system.cpu0.dcache.StoreCondReq_hits::total 247196 # number of StoreCondReq hits
-system.cpu0.dcache.demand_hits::cpu0.data 11445985 # number of demand (read+write) hits
-system.cpu0.dcache.demand_hits::cpu1.data 9755537 # number of demand (read+write) hits
-system.cpu0.dcache.demand_hits::total 21201522 # number of demand (read+write) hits
-system.cpu0.dcache.overall_hits::cpu0.data 11498083 # number of overall hits
-system.cpu0.dcache.overall_hits::cpu1.data 9814286 # number of overall hits
-system.cpu0.dcache.overall_hits::total 21312369 # number of overall hits
-system.cpu0.dcache.ReadReq_misses::cpu0.data 155593 # number of ReadReq misses
-system.cpu0.dcache.ReadReq_misses::cpu1.data 136452 # number of ReadReq misses
-system.cpu0.dcache.ReadReq_misses::total 292045 # number of ReadReq misses
-system.cpu0.dcache.WriteReq_misses::cpu0.data 149613 # number of WriteReq misses
-system.cpu0.dcache.WriteReq_misses::cpu1.data 100515 # number of WriteReq misses
-system.cpu0.dcache.WriteReq_misses::total 250128 # number of WriteReq misses
-system.cpu0.dcache.SoftPFReq_misses::cpu0.data 32922 # number of SoftPFReq misses
-system.cpu0.dcache.SoftPFReq_misses::cpu1.data 40499 # number of SoftPFReq misses
-system.cpu0.dcache.SoftPFReq_misses::total 73421 # number of SoftPFReq misses
-system.cpu0.dcache.LoadLockedReq_misses::cpu0.data 6530 # number of LoadLockedReq misses
-system.cpu0.dcache.LoadLockedReq_misses::cpu1.data 4660 # number of LoadLockedReq misses
-system.cpu0.dcache.LoadLockedReq_misses::total 11190 # number of LoadLockedReq misses
-system.cpu0.dcache.demand_misses::cpu0.data 305206 # number of demand (read+write) misses
-system.cpu0.dcache.demand_misses::cpu1.data 236967 # number of demand (read+write) misses
-system.cpu0.dcache.demand_misses::total 542173 # number of demand (read+write) misses
-system.cpu0.dcache.overall_misses::cpu0.data 338128 # number of overall misses
-system.cpu0.dcache.overall_misses::cpu1.data 277466 # number of overall misses
-system.cpu0.dcache.overall_misses::total 615594 # number of overall misses
-system.cpu0.dcache.ReadReq_accesses::cpu0.data 5991300 # number of ReadReq accesses(hits+misses)
-system.cpu0.dcache.ReadReq_accesses::cpu1.data 5540956 # number of ReadReq accesses(hits+misses)
-system.cpu0.dcache.ReadReq_accesses::total 11532256 # number of ReadReq accesses(hits+misses)
-system.cpu0.dcache.WriteReq_accesses::cpu0.data 5759891 # number of WriteReq accesses(hits+misses)
-system.cpu0.dcache.WriteReq_accesses::cpu1.data 4451548 # number of WriteReq accesses(hits+misses)
+system.cpu0.dcache.demand_hits::cpu0.data 11437181 # number of demand (read+write) hits
+system.cpu0.dcache.demand_hits::cpu1.data 9764346 # number of demand (read+write) hits
+system.cpu0.dcache.demand_hits::total 21201527 # number of demand (read+write) hits
+system.cpu0.dcache.overall_hits::cpu0.data 11489324 # number of overall hits
+system.cpu0.dcache.overall_hits::cpu1.data 9823046 # number of overall hits
+system.cpu0.dcache.overall_hits::total 21312370 # number of overall hits
+system.cpu0.dcache.ReadReq_misses::cpu0.data 155804 # number of ReadReq misses
+system.cpu0.dcache.ReadReq_misses::cpu1.data 136229 # number of ReadReq misses
+system.cpu0.dcache.ReadReq_misses::total 292033 # number of ReadReq misses
+system.cpu0.dcache.WriteReq_misses::cpu0.data 148603 # number of WriteReq misses
+system.cpu0.dcache.WriteReq_misses::cpu1.data 101531 # number of WriteReq misses
+system.cpu0.dcache.WriteReq_misses::total 250134 # number of WriteReq misses
+system.cpu0.dcache.SoftPFReq_misses::cpu0.data 32964 # number of SoftPFReq misses
+system.cpu0.dcache.SoftPFReq_misses::cpu1.data 40453 # number of SoftPFReq misses
+system.cpu0.dcache.SoftPFReq_misses::total 73417 # number of SoftPFReq misses
+system.cpu0.dcache.LoadLockedReq_misses::cpu0.data 6500 # number of LoadLockedReq misses
+system.cpu0.dcache.LoadLockedReq_misses::cpu1.data 4687 # number of LoadLockedReq misses
+system.cpu0.dcache.LoadLockedReq_misses::total 11187 # number of LoadLockedReq misses
+system.cpu0.dcache.demand_misses::cpu0.data 304407 # number of demand (read+write) misses
+system.cpu0.dcache.demand_misses::cpu1.data 237760 # number of demand (read+write) misses
+system.cpu0.dcache.demand_misses::total 542167 # number of demand (read+write) misses
+system.cpu0.dcache.overall_misses::cpu0.data 337371 # number of overall misses
+system.cpu0.dcache.overall_misses::cpu1.data 278213 # number of overall misses
+system.cpu0.dcache.overall_misses::total 615584 # number of overall misses
+system.cpu0.dcache.ReadReq_accesses::cpu0.data 5995907 # number of ReadReq accesses(hits+misses)
+system.cpu0.dcache.ReadReq_accesses::cpu1.data 5536348 # number of ReadReq accesses(hits+misses)
+system.cpu0.dcache.ReadReq_accesses::total 11532255 # number of ReadReq accesses(hits+misses)
+system.cpu0.dcache.WriteReq_accesses::cpu0.data 5745681 # number of WriteReq accesses(hits+misses)
+system.cpu0.dcache.WriteReq_accesses::cpu1.data 4465758 # number of WriteReq accesses(hits+misses)
system.cpu0.dcache.WriteReq_accesses::total 10211439 # number of WriteReq accesses(hits+misses)
-system.cpu0.dcache.SoftPFReq_accesses::cpu0.data 85020 # number of SoftPFReq accesses(hits+misses)
-system.cpu0.dcache.SoftPFReq_accesses::cpu1.data 99248 # number of SoftPFReq accesses(hits+misses)
-system.cpu0.dcache.SoftPFReq_accesses::total 184268 # number of SoftPFReq accesses(hits+misses)
-system.cpu0.dcache.LoadLockedReq_accesses::cpu0.data 142768 # number of LoadLockedReq accesses(hits+misses)
-system.cpu0.dcache.LoadLockedReq_accesses::cpu1.data 104429 # number of LoadLockedReq accesses(hits+misses)
+system.cpu0.dcache.SoftPFReq_accesses::cpu0.data 85107 # number of SoftPFReq accesses(hits+misses)
+system.cpu0.dcache.SoftPFReq_accesses::cpu1.data 99153 # number of SoftPFReq accesses(hits+misses)
+system.cpu0.dcache.SoftPFReq_accesses::total 184260 # number of SoftPFReq accesses(hits+misses)
+system.cpu0.dcache.LoadLockedReq_accesses::cpu0.data 142750 # number of LoadLockedReq accesses(hits+misses)
+system.cpu0.dcache.LoadLockedReq_accesses::cpu1.data 104447 # number of LoadLockedReq accesses(hits+misses)
system.cpu0.dcache.LoadLockedReq_accesses::total 247197 # number of LoadLockedReq accesses(hits+misses)
-system.cpu0.dcache.StoreCondReq_accesses::cpu0.data 142767 # number of StoreCondReq accesses(hits+misses)
-system.cpu0.dcache.StoreCondReq_accesses::cpu1.data 104429 # number of StoreCondReq accesses(hits+misses)
+system.cpu0.dcache.StoreCondReq_accesses::cpu0.data 142749 # number of StoreCondReq accesses(hits+misses)
+system.cpu0.dcache.StoreCondReq_accesses::cpu1.data 104447 # number of StoreCondReq accesses(hits+misses)
system.cpu0.dcache.StoreCondReq_accesses::total 247196 # number of StoreCondReq accesses(hits+misses)
-system.cpu0.dcache.demand_accesses::cpu0.data 11751191 # number of demand (read+write) accesses
-system.cpu0.dcache.demand_accesses::cpu1.data 9992504 # number of demand (read+write) accesses
-system.cpu0.dcache.demand_accesses::total 21743695 # number of demand (read+write) accesses
-system.cpu0.dcache.overall_accesses::cpu0.data 11836211 # number of overall (read+write) accesses
-system.cpu0.dcache.overall_accesses::cpu1.data 10091752 # number of overall (read+write) accesses
-system.cpu0.dcache.overall_accesses::total 21927963 # number of overall (read+write) accesses
-system.cpu0.dcache.ReadReq_miss_rate::cpu0.data 0.025970 # miss rate for ReadReq accesses
-system.cpu0.dcache.ReadReq_miss_rate::cpu1.data 0.024626 # miss rate for ReadReq accesses
-system.cpu0.dcache.ReadReq_miss_rate::total 0.025324 # miss rate for ReadReq accesses
-system.cpu0.dcache.WriteReq_miss_rate::cpu0.data 0.025975 # miss rate for WriteReq accesses
-system.cpu0.dcache.WriteReq_miss_rate::cpu1.data 0.022580 # miss rate for WriteReq accesses
+system.cpu0.dcache.demand_accesses::cpu0.data 11741588 # number of demand (read+write) accesses
+system.cpu0.dcache.demand_accesses::cpu1.data 10002106 # number of demand (read+write) accesses
+system.cpu0.dcache.demand_accesses::total 21743694 # number of demand (read+write) accesses
+system.cpu0.dcache.overall_accesses::cpu0.data 11826695 # number of overall (read+write) accesses
+system.cpu0.dcache.overall_accesses::cpu1.data 10101259 # number of overall (read+write) accesses
+system.cpu0.dcache.overall_accesses::total 21927954 # number of overall (read+write) accesses
+system.cpu0.dcache.ReadReq_miss_rate::cpu0.data 0.025985 # miss rate for ReadReq accesses
+system.cpu0.dcache.ReadReq_miss_rate::cpu1.data 0.024606 # miss rate for ReadReq accesses
+system.cpu0.dcache.ReadReq_miss_rate::total 0.025323 # miss rate for ReadReq accesses
+system.cpu0.dcache.WriteReq_miss_rate::cpu0.data 0.025863 # miss rate for WriteReq accesses
+system.cpu0.dcache.WriteReq_miss_rate::cpu1.data 0.022735 # miss rate for WriteReq accesses
system.cpu0.dcache.WriteReq_miss_rate::total 0.024495 # miss rate for WriteReq accesses
-system.cpu0.dcache.SoftPFReq_miss_rate::cpu0.data 0.387227 # miss rate for SoftPFReq accesses
-system.cpu0.dcache.SoftPFReq_miss_rate::cpu1.data 0.408059 # miss rate for SoftPFReq accesses
-system.cpu0.dcache.SoftPFReq_miss_rate::total 0.398447 # miss rate for SoftPFReq accesses
-system.cpu0.dcache.LoadLockedReq_miss_rate::cpu0.data 0.045739 # miss rate for LoadLockedReq accesses
-system.cpu0.dcache.LoadLockedReq_miss_rate::cpu1.data 0.044624 # miss rate for LoadLockedReq accesses
-system.cpu0.dcache.LoadLockedReq_miss_rate::total 0.045268 # miss rate for LoadLockedReq accesses
-system.cpu0.dcache.demand_miss_rate::cpu0.data 0.025972 # miss rate for demand accesses
-system.cpu0.dcache.demand_miss_rate::cpu1.data 0.023714 # miss rate for demand accesses
-system.cpu0.dcache.demand_miss_rate::total 0.024935 # miss rate for demand accesses
-system.cpu0.dcache.overall_miss_rate::cpu0.data 0.028567 # miss rate for overall accesses
-system.cpu0.dcache.overall_miss_rate::cpu1.data 0.027494 # miss rate for overall accesses
+system.cpu0.dcache.SoftPFReq_miss_rate::cpu0.data 0.387324 # miss rate for SoftPFReq accesses
+system.cpu0.dcache.SoftPFReq_miss_rate::cpu1.data 0.407986 # miss rate for SoftPFReq accesses
+system.cpu0.dcache.SoftPFReq_miss_rate::total 0.398442 # miss rate for SoftPFReq accesses
+system.cpu0.dcache.LoadLockedReq_miss_rate::cpu0.data 0.045534 # miss rate for LoadLockedReq accesses
+system.cpu0.dcache.LoadLockedReq_miss_rate::cpu1.data 0.044874 # miss rate for LoadLockedReq accesses
+system.cpu0.dcache.LoadLockedReq_miss_rate::total 0.045255 # miss rate for LoadLockedReq accesses
+system.cpu0.dcache.demand_miss_rate::cpu0.data 0.025926 # miss rate for demand accesses
+system.cpu0.dcache.demand_miss_rate::cpu1.data 0.023771 # miss rate for demand accesses
+system.cpu0.dcache.demand_miss_rate::total 0.024934 # miss rate for demand accesses
+system.cpu0.dcache.overall_miss_rate::cpu0.data 0.028526 # miss rate for overall accesses
+system.cpu0.dcache.overall_miss_rate::cpu1.data 0.027542 # miss rate for overall accesses
system.cpu0.dcache.overall_miss_rate::total 0.028073 # miss rate for overall accesses
system.cpu0.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu0.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
@@ -573,8 +697,8 @@ system.cpu0.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu0.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu0.dcache.fast_writes 0 # number of fast writes performed
system.cpu0.dcache.cache_copies 0 # number of cache copies performed
-system.cpu0.dcache.writebacks::writebacks 592686 # number of writebacks
-system.cpu0.dcache.writebacks::total 592686 # number of writebacks
+system.cpu0.dcache.writebacks::writebacks 592674 # number of writebacks
+system.cpu0.dcache.writebacks::total 592674 # number of writebacks
system.cpu0.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu1.dstage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu1.dstage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
@@ -599,25 +723,25 @@ system.cpu1.dstage2_mmu.stage2_tlb.misses 0 # D
system.cpu1.dstage2_mmu.stage2_tlb.accesses 0 # DTB accesses
system.cpu1.dtb.inst_hits 0 # ITB inst hits
system.cpu1.dtb.inst_misses 0 # ITB inst misses
-system.cpu1.dtb.read_hits 6327054 # DTB read hits
-system.cpu1.dtb.read_misses 4532 # DTB read misses
-system.cpu1.dtb.write_hits 4945852 # DTB write hits
-system.cpu1.dtb.write_misses 1126 # DTB write misses
+system.cpu1.dtb.read_hits 6322311 # DTB read hits
+system.cpu1.dtb.read_misses 4545 # DTB read misses
+system.cpu1.dtb.write_hits 4960387 # DTB write hits
+system.cpu1.dtb.write_misses 1127 # DTB write misses
system.cpu1.dtb.flush_tlb 2320 # Number of times complete TLB was flushed
system.cpu1.dtb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
-system.cpu1.dtb.flush_tlb_mva_asid 676 # Number of times TLB was flushed by MVA & ASID
+system.cpu1.dtb.flush_tlb_mva_asid 681 # Number of times TLB was flushed by MVA & ASID
system.cpu1.dtb.flush_tlb_asid 30 # Number of times TLB was flushed by ASID
-system.cpu1.dtb.flush_entries 3028 # Number of entries that have been flushed from TLB
+system.cpu1.dtb.flush_entries 3056 # Number of entries that have been flushed from TLB
system.cpu1.dtb.align_faults 0 # Number of TLB faults due to alignment restrictions
-system.cpu1.dtb.prefetch_faults 87 # Number of TLB faults due to prefetch
+system.cpu1.dtb.prefetch_faults 92 # Number of TLB faults due to prefetch
system.cpu1.dtb.domain_faults 0 # Number of TLB faults due to domain restrictions
-system.cpu1.dtb.perms_faults 217 # Number of TLB faults due to permissions restrictions
-system.cpu1.dtb.read_accesses 6331586 # DTB read accesses
-system.cpu1.dtb.write_accesses 4946978 # DTB write accesses
+system.cpu1.dtb.perms_faults 220 # Number of TLB faults due to permissions restrictions
+system.cpu1.dtb.read_accesses 6326856 # DTB read accesses
+system.cpu1.dtb.write_accesses 4961514 # DTB write accesses
system.cpu1.dtb.inst_accesses 0 # ITB inst accesses
-system.cpu1.dtb.hits 11272906 # DTB hits
-system.cpu1.dtb.misses 5658 # DTB misses
-system.cpu1.dtb.accesses 11278564 # DTB accesses
+system.cpu1.dtb.hits 11282698 # DTB hits
+system.cpu1.dtb.misses 5672 # DTB misses
+system.cpu1.dtb.accesses 11288370 # DTB accesses
system.cpu1.istage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu1.istage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu1.istage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -639,87 +763,87 @@ system.cpu1.istage2_mmu.stage2_tlb.inst_accesses 0
system.cpu1.istage2_mmu.stage2_tlb.hits 0 # DTB hits
system.cpu1.istage2_mmu.stage2_tlb.misses 0 # DTB misses
system.cpu1.istage2_mmu.stage2_tlb.accesses 0 # DTB accesses
-system.cpu1.itb.inst_hits 29294834 # ITB inst hits
-system.cpu1.itb.inst_misses 2597 # ITB inst misses
+system.cpu1.itb.inst_hits 29275767 # ITB inst hits
+system.cpu1.itb.inst_misses 2611 # ITB inst misses
system.cpu1.itb.read_hits 0 # DTB read hits
system.cpu1.itb.read_misses 0 # DTB read misses
system.cpu1.itb.write_hits 0 # DTB write hits
system.cpu1.itb.write_misses 0 # DTB write misses
system.cpu1.itb.flush_tlb 2320 # Number of times complete TLB was flushed
system.cpu1.itb.flush_tlb_mva 0 # Number of times TLB was flushed by MVA
-system.cpu1.itb.flush_tlb_mva_asid 676 # Number of times TLB was flushed by MVA & ASID
+system.cpu1.itb.flush_tlb_mva_asid 681 # Number of times TLB was flushed by MVA & ASID
system.cpu1.itb.flush_tlb_asid 30 # Number of times TLB was flushed by ASID
-system.cpu1.itb.flush_entries 1660 # Number of entries that have been flushed from TLB
+system.cpu1.itb.flush_entries 1680 # Number of entries that have been flushed from TLB
system.cpu1.itb.align_faults 0 # Number of TLB faults due to alignment restrictions
system.cpu1.itb.prefetch_faults 0 # Number of TLB faults due to prefetch
system.cpu1.itb.domain_faults 0 # Number of TLB faults due to domain restrictions
system.cpu1.itb.perms_faults 0 # Number of TLB faults due to permissions restrictions
system.cpu1.itb.read_accesses 0 # DTB read accesses
system.cpu1.itb.write_accesses 0 # DTB write accesses
-system.cpu1.itb.inst_accesses 29297431 # ITB inst accesses
-system.cpu1.itb.hits 29294834 # DTB hits
-system.cpu1.itb.misses 2597 # DTB misses
-system.cpu1.itb.accesses 29297431 # DTB accesses
-system.cpu1.numCycles 141054432 # number of cpu cycles simulated
+system.cpu1.itb.inst_accesses 29278378 # ITB inst accesses
+system.cpu1.itb.hits 29275767 # DTB hits
+system.cpu1.itb.misses 2611 # DTB misses
+system.cpu1.itb.accesses 29278378 # DTB accesses
+system.cpu1.numCycles 143033518 # number of cpu cycles simulated
system.cpu1.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu1.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu1.committedInsts 28767607 # Number of instructions committed
-system.cpu1.committedOps 34154546 # Number of ops (including micro ops) committed
-system.cpu1.num_int_alu_accesses 30186625 # Number of integer alu accesses
-system.cpu1.num_fp_alu_accesses 4787 # Number of float alu accesses
-system.cpu1.num_func_calls 943239 # number of times a function call or return occured
-system.cpu1.num_conditional_control_insts 3534203 # number of instructions that are conditional controls
-system.cpu1.num_int_insts 30186625 # number of integer instructions
-system.cpu1.num_fp_insts 4787 # number of float instructions
-system.cpu1.num_int_register_reads 54137170 # number of times the integer registers were read
-system.cpu1.num_int_register_writes 20266282 # number of times the integer registers were written
-system.cpu1.num_fp_register_reads 3568 # number of times the floating registers were read
+system.cpu1.committedInsts 28750953 # Number of instructions committed
+system.cpu1.committedOps 34152673 # Number of ops (including micro ops) committed
+system.cpu1.num_int_alu_accesses 30189123 # Number of integer alu accesses
+system.cpu1.num_fp_alu_accesses 4771 # Number of float alu accesses
+system.cpu1.num_func_calls 942904 # number of times a function call or return occured
+system.cpu1.num_conditional_control_insts 3531220 # number of instructions that are conditional controls
+system.cpu1.num_int_insts 30189123 # number of integer instructions
+system.cpu1.num_fp_insts 4771 # number of float instructions
+system.cpu1.num_int_register_reads 54155883 # number of times the integer registers were read
+system.cpu1.num_int_register_writes 20259495 # number of times the integer registers were written
+system.cpu1.num_fp_register_reads 3552 # number of times the floating registers were read
system.cpu1.num_fp_register_writes 1222 # number of times the floating registers were written
-system.cpu1.num_cc_register_reads 102073939 # number of times the CC registers were read
-system.cpu1.num_cc_register_writes 13715012 # number of times the CC registers were written
-system.cpu1.num_mem_refs 11692450 # number of memory refs
-system.cpu1.num_load_insts 6511829 # Number of load instructions
-system.cpu1.num_store_insts 5180621 # Number of store instructions
-system.cpu1.num_idle_cycles 138966556.858503 # Number of idle cycles
-system.cpu1.num_busy_cycles 2087875.141497 # Number of busy cycles
-system.cpu1.not_idle_fraction 0.014802 # Percentage of non-idle cycles
-system.cpu1.idle_fraction 0.985198 # Percentage of idle cycles
-system.cpu1.Branches 4756618 # Number of branches fetched
-system.cpu1.op_class::No_OpClass 12428 0.04% 0.04% # Class of executed instruction
-system.cpu1.op_class::IntAlu 22465876 65.66% 65.70% # Class of executed instruction
-system.cpu1.op_class::IntMult 41944 0.12% 65.82% # Class of executed instruction
-system.cpu1.op_class::IntDiv 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::FloatAdd 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::FloatCmp 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::FloatCvt 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::FloatMult 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::FloatDiv 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::FloatSqrt 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdAdd 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdAddAcc 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdAlu 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdCmp 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdCvt 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdMisc 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdMult 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdMultAcc 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdShift 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdShiftAcc 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdSqrt 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdFloatAdd 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdFloatAlu 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdFloatCmp 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdFloatCvt 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdFloatDiv 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdFloatMisc 745 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdFloatMult 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdFloatMultAcc 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::SimdFloatSqrt 0 0.00% 65.82% # Class of executed instruction
-system.cpu1.op_class::MemRead 6511829 19.03% 84.86% # Class of executed instruction
-system.cpu1.op_class::MemWrite 5180621 15.14% 100.00% # Class of executed instruction
+system.cpu1.num_cc_register_reads 102072834 # number of times the CC registers were read
+system.cpu1.num_cc_register_writes 13702034 # number of times the CC registers were written
+system.cpu1.num_mem_refs 11702148 # number of memory refs
+system.cpu1.num_load_insts 6507264 # Number of load instructions
+system.cpu1.num_store_insts 5194884 # Number of store instructions
+system.cpu1.num_idle_cycles 140979209.208319 # Number of idle cycles
+system.cpu1.num_busy_cycles 2054308.791681 # Number of busy cycles
+system.cpu1.not_idle_fraction 0.014362 # Percentage of non-idle cycles
+system.cpu1.idle_fraction 0.985638 # Percentage of idle cycles
+system.cpu1.Branches 4753338 # Number of branches fetched
+system.cpu1.op_class::No_OpClass 12439 0.04% 0.04% # Class of executed instruction
+system.cpu1.op_class::IntAlu 22454409 65.63% 65.67% # Class of executed instruction
+system.cpu1.op_class::IntMult 41849 0.12% 65.79% # Class of executed instruction
+system.cpu1.op_class::IntDiv 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::FloatAdd 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::FloatCmp 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::FloatCvt 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::FloatMult 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::FloatDiv 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::FloatSqrt 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdAdd 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdAddAcc 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdAlu 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdCmp 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdCvt 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdMisc 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdMult 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdMultAcc 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdShift 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdShiftAcc 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdSqrt 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdFloatAdd 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdFloatAlu 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdFloatCmp 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdFloatCvt 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdFloatDiv 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdFloatMisc 748 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdFloatMult 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdFloatMultAcc 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::SimdFloatSqrt 0 0.00% 65.79% # Class of executed instruction
+system.cpu1.op_class::MemRead 6507264 19.02% 84.82% # Class of executed instruction
+system.cpu1.op_class::MemWrite 5194884 15.18% 100.00% # Class of executed instruction
system.cpu1.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
system.cpu1.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu1.op_class::total 34213443 # Class of executed instruction
+system.cpu1.op_class::total 34211593 # Class of executed instruction
system.cpu1.kern.inst.arm 0 # number of arm instructions executed
system.cpu1.kern.inst.quiesce 0 # number of quiesce instructions executed
system.iocache.tags.replacements 0 # number of replacements
diff --git a/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-atomic/stats.txt b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-atomic/stats.txt
index b0c415fa9..ec6df0068 100644
--- a/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-atomic/stats.txt
+++ b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-atomic/stats.txt
@@ -1,89 +1,129 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 5.112126 # Number of seconds simulated
-sim_ticks 5112125984500 # Number of ticks simulated
-final_tick 5112125984500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 5.112127 # Number of seconds simulated
+sim_ticks 5112126720000 # Number of ticks simulated
+final_tick 5112126720000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 1274105 # Simulator instruction rate (inst/s)
-host_op_rate 2608650 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 32578287771 # Simulator tick rate (ticks/s)
-host_mem_usage 593532 # Number of bytes of host memory used
-host_seconds 156.92 # Real time elapsed on the host
-sim_insts 199930130 # Number of instructions simulated
-sim_ops 409344539 # Number of ops (including micro ops) simulated
+host_inst_rate 1627732 # Simulator instruction rate (inst/s)
+host_op_rate 3332615 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 41616843658 # Simulator tick rate (ticks/s)
+host_mem_usage 647148 # Number of bytes of host memory used
+host_seconds 122.84 # Real time elapsed on the host
+sim_insts 199947158 # Number of instructions simulated
+sim_ops 409371517 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
system.physmem.bytes_read::pc.south_bridge.ide 28352 # Number of bytes read from this memory
system.physmem.bytes_read::cpu.dtb.walker 64 # Number of bytes read from this memory
system.physmem.bytes_read::cpu.itb.walker 320 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.inst 852800 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.data 10650880 # Number of bytes read from this memory
-system.physmem.bytes_read::total 11532416 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu.inst 852800 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 852800 # Number of instructions bytes read from this memory
-system.physmem.bytes_written::writebacks 6281856 # Number of bytes written to this memory
+system.physmem.bytes_read::cpu.inst 852352 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu.data 10669504 # Number of bytes read from this memory
+system.physmem.bytes_read::total 11550592 # Number of bytes read from this memory
+system.physmem.bytes_inst_read::cpu.inst 852352 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::total 852352 # Number of instructions bytes read from this memory
+system.physmem.bytes_written::writebacks 6285632 # Number of bytes written to this memory
system.physmem.bytes_written::pc.south_bridge.ide 2990080 # Number of bytes written to this memory
-system.physmem.bytes_written::total 9271936 # Number of bytes written to this memory
+system.physmem.bytes_written::total 9275712 # Number of bytes written to this memory
system.physmem.num_reads::pc.south_bridge.ide 443 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu.dtb.walker 1 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu.itb.walker 5 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.inst 13325 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.data 166420 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 180194 # Number of read requests responded to by this memory
-system.physmem.num_writes::writebacks 98154 # Number of write requests responded to by this memory
+system.physmem.num_reads::cpu.inst 13318 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu.data 166711 # Number of read requests responded to by this memory
+system.physmem.num_reads::total 180478 # Number of read requests responded to by this memory
+system.physmem.num_writes::writebacks 98213 # Number of write requests responded to by this memory
system.physmem.num_writes::pc.south_bridge.ide 46720 # Number of write requests responded to by this memory
-system.physmem.num_writes::total 144874 # Number of write requests responded to by this memory
+system.physmem.num_writes::total 144933 # Number of write requests responded to by this memory
system.physmem.bw_read::pc.south_bridge.ide 5546 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu.dtb.walker 13 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu.itb.walker 63 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.inst 166819 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 2083454 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 2255894 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 166819 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 166819 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_write::writebacks 1228815 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::pc.south_bridge.ide 584900 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::total 1813714 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_total::writebacks 1228815 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::pc.south_bridge.ide 590446 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_read::cpu.inst 166731 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.data 2087097 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 2259449 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu.inst 166731 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 166731 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write::writebacks 1229553 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::pc.south_bridge.ide 584899 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::total 1814453 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total::writebacks 1229553 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::pc.south_bridge.ide 590445 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.dtb.walker 13 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.itb.walker 63 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 166819 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 2083454 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 4069609 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 9050072 # Throughput (bytes/s)
-system.membus.data_through_bus 46265107 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
-system.iocache.tags.replacements 47569 # number of replacements
-system.iocache.tags.tagsinuse 0.042447 # Cycle average of tags in use
+system.physmem.bw_total::cpu.inst 166731 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.data 2087097 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 4073902 # Total bandwidth to/from this memory (bytes/s)
+system.membus.trans_dist::ReadReq 13903648 # Transaction distribution
+system.membus.trans_dist::ReadResp 13903648 # Transaction distribution
+system.membus.trans_dist::WriteReq 13796 # Transaction distribution
+system.membus.trans_dist::WriteResp 13796 # Transaction distribution
+system.membus.trans_dist::Writeback 98213 # Transaction distribution
+system.membus.trans_dist::WriteInvalidateReq 46720 # Transaction distribution
+system.membus.trans_dist::WriteInvalidateResp 46720 # Transaction distribution
+system.membus.trans_dist::UpgradeReq 2521 # Transaction distribution
+system.membus.trans_dist::UpgradeResp 2092 # Transaction distribution
+system.membus.trans_dist::ReadExReq 134490 # Transaction distribution
+system.membus.trans_dist::ReadExResp 134485 # Transaction distribution
+system.membus.trans_dist::MessageReq 1696 # Transaction distribution
+system.membus.trans_dist::MessageResp 1696 # Transaction distribution
+system.membus.pkt_count_system.apicbridge.master::system.cpu.interrupts.int_slave 3392 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.apicbridge.master::total 3392 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.bridge.slave 20043728 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.cpu.interrupts.pio 7698244 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 462901 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::total 28204873 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 95256 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::total 95256 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 28303521 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.apicbridge.master::system.cpu.interrupts.int_slave 6784 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.apicbridge.master::total 6784 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.bridge.slave 10027982 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.cpu.interrupts.pio 15396485 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 17807872 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::total 43232339 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::system.physmem.port 3048192 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::total 3048192 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 46287315 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 328402 # Request fanout histogram
+system.membus.snoop_fanout::mean 1 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 328402 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 1 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 328402 # Request fanout histogram
+system.iocache.tags.replacements 47573 # number of replacements
+system.iocache.tags.tagsinuse 0.042448 # Cycle average of tags in use
system.iocache.tags.total_refs 0 # Total number of references to valid blocks.
-system.iocache.tags.sampled_refs 47585 # Sample count of references to valid blocks.
+system.iocache.tags.sampled_refs 47589 # Sample count of references to valid blocks.
system.iocache.tags.avg_refs 0 # Average number of references to valid blocks.
-system.iocache.tags.warmup_cycle 4994846763009 # Cycle when the warmup percentage was hit.
-system.iocache.tags.occ_blocks::pc.south_bridge.ide 0.042447 # Average occupied blocks per requestor
+system.iocache.tags.warmup_cycle 4994846765009 # Cycle when the warmup percentage was hit.
+system.iocache.tags.occ_blocks::pc.south_bridge.ide 0.042448 # Average occupied blocks per requestor
system.iocache.tags.occ_percent::pc.south_bridge.ide 0.002653 # Average percentage of cache occupancy
system.iocache.tags.occ_percent::total 0.002653 # Average percentage of cache occupancy
system.iocache.tags.occ_task_id_blocks::1023 16 # Occupied blocks per task id
system.iocache.tags.age_task_id_blocks_1023::2 16 # Occupied blocks per task id
system.iocache.tags.occ_task_id_percent::1023 1 # Percentage of cache occupancy per task id
-system.iocache.tags.tag_accesses 428616 # Number of tag accesses
-system.iocache.tags.data_accesses 428616 # Number of data accesses
+system.iocache.tags.tag_accesses 428652 # Number of tag accesses
+system.iocache.tags.data_accesses 428652 # Number of data accesses
system.iocache.WriteInvalidateReq_hits::pc.south_bridge.ide 46720 # number of WriteInvalidateReq hits
system.iocache.WriteInvalidateReq_hits::total 46720 # number of WriteInvalidateReq hits
-system.iocache.ReadReq_misses::pc.south_bridge.ide 904 # number of ReadReq misses
-system.iocache.ReadReq_misses::total 904 # number of ReadReq misses
-system.iocache.demand_misses::pc.south_bridge.ide 904 # number of demand (read+write) misses
-system.iocache.demand_misses::total 904 # number of demand (read+write) misses
-system.iocache.overall_misses::pc.south_bridge.ide 904 # number of overall misses
-system.iocache.overall_misses::total 904 # number of overall misses
-system.iocache.ReadReq_accesses::pc.south_bridge.ide 904 # number of ReadReq accesses(hits+misses)
-system.iocache.ReadReq_accesses::total 904 # number of ReadReq accesses(hits+misses)
+system.iocache.ReadReq_misses::pc.south_bridge.ide 908 # number of ReadReq misses
+system.iocache.ReadReq_misses::total 908 # number of ReadReq misses
+system.iocache.demand_misses::pc.south_bridge.ide 908 # number of demand (read+write) misses
+system.iocache.demand_misses::total 908 # number of demand (read+write) misses
+system.iocache.overall_misses::pc.south_bridge.ide 908 # number of overall misses
+system.iocache.overall_misses::total 908 # number of overall misses
+system.iocache.ReadReq_accesses::pc.south_bridge.ide 908 # number of ReadReq accesses(hits+misses)
+system.iocache.ReadReq_accesses::total 908 # number of ReadReq accesses(hits+misses)
system.iocache.WriteInvalidateReq_accesses::pc.south_bridge.ide 46720 # number of WriteInvalidateReq accesses(hits+misses)
system.iocache.WriteInvalidateReq_accesses::total 46720 # number of WriteInvalidateReq accesses(hits+misses)
-system.iocache.demand_accesses::pc.south_bridge.ide 904 # number of demand (read+write) accesses
-system.iocache.demand_accesses::total 904 # number of demand (read+write) accesses
-system.iocache.overall_accesses::pc.south_bridge.ide 904 # number of overall (read+write) accesses
-system.iocache.overall_accesses::total 904 # number of overall (read+write) accesses
+system.iocache.demand_accesses::pc.south_bridge.ide 908 # number of demand (read+write) accesses
+system.iocache.demand_accesses::total 908 # number of demand (read+write) accesses
+system.iocache.overall_accesses::pc.south_bridge.ide 908 # number of overall (read+write) accesses
+system.iocache.overall_accesses::total 908 # number of overall (read+write) accesses
system.iocache.ReadReq_miss_rate::pc.south_bridge.ide 1 # miss rate for ReadReq accesses
system.iocache.ReadReq_miss_rate::total 1 # miss rate for ReadReq accesses
system.iocache.demand_miss_rate::pc.south_bridge.ide 1 # miss rate for demand accesses
@@ -111,39 +151,92 @@ system.pc.south_bridge.ide.disks1.dma_read_txs 0
system.pc.south_bridge.ide.disks1.dma_write_full_pages 1 # Number of full page size DMA writes.
system.pc.south_bridge.ide.disks1.dma_write_bytes 4096 # Number of bytes transfered via DMA writes.
system.pc.south_bridge.ide.disks1.dma_write_txs 1 # Number of DMA write transactions.
-system.iobus.throughput 2555207 # Throughput (bytes/s)
-system.iobus.data_through_bus 13062542 # Total data (bytes)
+system.iobus.trans_dist::ReadReq 10011915 # Transaction distribution
+system.iobus.trans_dist::ReadResp 10011915 # Transaction distribution
+system.iobus.trans_dist::WriteReq 57577 # Transaction distribution
+system.iobus.trans_dist::WriteResp 10857 # Transaction distribution
+system.iobus.trans_dist::WriteInvalidateResp 46720 # Transaction distribution
+system.iobus.trans_dist::MessageReq 1696 # Transaction distribution
+system.iobus.trans_dist::MessageResp 1696 # Transaction distribution
+system.iobus.pkt_count_system.bridge.master::system.pc.south_bridge.cmos.pio 44 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.south_bridge.dma1.pio 6 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.south_bridge.ide.pio 11180 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.south_bridge.ide-pciconf 180 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.south_bridge.keyboard.pio 1364 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.south_bridge.pic1.pio 86 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.south_bridge.pic2.pio 54 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.south_bridge.pit.pio 30 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.south_bridge.speaker.pio 19999988 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.south_bridge.io_apic.pio 1098 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.i_dont_exist.pio 170 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.behind_pci.pio 2 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.com_1.pio 27352 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.fake_com_2.pio 12 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.fake_com_3.pio 12 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.fake_com_4.pio 12 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.fake_floppy.pio 10 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::system.pc.pciconfig.pio 2128 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.bridge.master::total 20043728 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.pc.south_bridge.ide.dma::system.iocache.cpu_side 95256 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.pc.south_bridge.ide.dma::total 95256 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.pc.south_bridge.io_apic.int_master::system.apicbridge.slave 3392 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.pc.south_bridge.io_apic.int_master::total 3392 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count::total 20142376 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.cmos.pio 22 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.dma1.pio 3 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.ide.pio 6738 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.ide-pciconf 221 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.keyboard.pio 682 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.pic1.pio 43 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.pic2.pio 27 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.pit.pio 15 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.speaker.pio 9999994 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.io_apic.pio 2196 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.i_dont_exist.pio 85 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.behind_pci.pio 1 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.com_1.pio 13676 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.fake_com_2.pio 6 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.fake_com_3.pio 6 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.fake_com_4.pio 6 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.fake_floppy.pio 5 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.pciconfig.pio 4256 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::total 10027982 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.pc.south_bridge.ide.dma::system.iocache.cpu_side 3027808 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.pc.south_bridge.ide.dma::total 3027808 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.pc.south_bridge.io_apic.int_master::system.apicbridge.slave 6784 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.pc.south_bridge.io_apic.int_master::total 6784 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size::total 13062574 # Cumulative packet size per connected master and slave (bytes)
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.apic_clk_domain.clock 8000 # Clock period in ticks
-system.cpu.numCycles 10224253344 # number of cpu cycles simulated
+system.cpu.numCycles 10224257410 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.committedInsts 199930130 # Number of instructions committed
-system.cpu.committedOps 409344539 # Number of ops (including micro ops) committed
-system.cpu.num_int_alu_accesses 374365317 # Number of integer alu accesses
+system.cpu.committedInsts 199947158 # Number of instructions committed
+system.cpu.committedOps 409371517 # Number of ops (including micro ops) committed
+system.cpu.num_int_alu_accesses 374392167 # Number of integer alu accesses
system.cpu.num_fp_alu_accesses 0 # Number of float alu accesses
-system.cpu.num_func_calls 2307745 # number of times a function call or return occured
-system.cpu.num_conditional_control_insts 39976374 # number of instructions that are conditional controls
-system.cpu.num_int_insts 374365317 # number of integer instructions
+system.cpu.num_func_calls 2307997 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 39978602 # number of instructions that are conditional controls
+system.cpu.num_int_insts 374392167 # number of integer instructions
system.cpu.num_fp_insts 0 # number of float instructions
-system.cpu.num_int_register_reads 682286798 # number of times the integer registers were read
-system.cpu.num_int_register_writes 323369753 # number of times the integer registers were written
+system.cpu.num_int_register_reads 682348609 # number of times the integer registers were read
+system.cpu.num_int_register_writes 323388730 # number of times the integer registers were written
system.cpu.num_fp_register_reads 0 # number of times the floating registers were read
system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
-system.cpu.num_cc_register_reads 233715334 # number of times the CC registers were read
-system.cpu.num_cc_register_writes 157233726 # number of times the CC registers were written
-system.cpu.num_mem_refs 35661072 # number of memory refs
-system.cpu.num_load_insts 27238907 # Number of load instructions
-system.cpu.num_store_insts 8422165 # Number of store instructions
-system.cpu.num_idle_cycles 9770516870.697727 # Number of idle cycles
-system.cpu.num_busy_cycles 453736473.302274 # Number of busy cycles
-system.cpu.not_idle_fraction 0.044378 # Percentage of non-idle cycles
-system.cpu.idle_fraction 0.955622 # Percentage of idle cycles
-system.cpu.Branches 43125613 # Number of branches fetched
-system.cpu.op_class::No_OpClass 175318 0.04% 0.04% # Class of executed instruction
-system.cpu.op_class::IntAlu 373241846 91.18% 91.22% # Class of executed instruction
-system.cpu.op_class::IntMult 144365 0.04% 91.26% # Class of executed instruction
-system.cpu.op_class::IntDiv 122968 0.03% 91.29% # Class of executed instruction
+system.cpu.num_cc_register_reads 233729759 # number of times the CC registers were read
+system.cpu.num_cc_register_writes 157242019 # number of times the CC registers were written
+system.cpu.num_mem_refs 35671209 # number of memory refs
+system.cpu.num_load_insts 27243676 # Number of load instructions
+system.cpu.num_store_insts 8427533 # Number of store instructions
+system.cpu.num_idle_cycles 9770491320.524229 # Number of idle cycles
+system.cpu.num_busy_cycles 453766089.475771 # Number of busy cycles
+system.cpu.not_idle_fraction 0.044381 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0.955619 # Percentage of idle cycles
+system.cpu.Branches 43128209 # Number of branches fetched
+system.cpu.op_class::No_OpClass 175380 0.04% 0.04% # Class of executed instruction
+system.cpu.op_class::IntAlu 373258577 91.18% 91.22% # Class of executed instruction
+system.cpu.op_class::IntMult 144442 0.04% 91.26% # Class of executed instruction
+system.cpu.op_class::IntDiv 122944 0.03% 91.29% # Class of executed instruction
system.cpu.op_class::FloatAdd 0 0.00% 91.29% # Class of executed instruction
system.cpu.op_class::FloatCmp 0 0.00% 91.29% # Class of executed instruction
system.cpu.op_class::FloatCvt 0 0.00% 91.29% # Class of executed instruction
@@ -170,18 +263,18 @@ system.cpu.op_class::SimdFloatMisc 0 0.00% 91.29% # Cl
system.cpu.op_class::SimdFloatMult 0 0.00% 91.29% # Class of executed instruction
system.cpu.op_class::SimdFloatMultAcc 0 0.00% 91.29% # Class of executed instruction
system.cpu.op_class::SimdFloatSqrt 0 0.00% 91.29% # Class of executed instruction
-system.cpu.op_class::MemRead 27238907 6.65% 97.94% # Class of executed instruction
-system.cpu.op_class::MemWrite 8422165 2.06% 100.00% # Class of executed instruction
+system.cpu.op_class::MemRead 27243676 6.65% 97.94% # Class of executed instruction
+system.cpu.op_class::MemWrite 8427533 2.06% 100.00% # Class of executed instruction
system.cpu.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
system.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::total 409345569 # Class of executed instruction
+system.cpu.op_class::total 409372552 # Class of executed instruction
system.cpu.kern.inst.arm 0 # number of arm instructions executed
system.cpu.kern.inst.quiesce 0 # number of quiesce instructions executed
-system.cpu.icache.tags.replacements 790679 # number of replacements
+system.cpu.icache.tags.replacements 791918 # number of replacements
system.cpu.icache.tags.tagsinuse 510.665021 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 243526070 # Total number of references to valid blocks.
-system.cpu.icache.tags.sampled_refs 791191 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 307.796815 # Average number of references to valid blocks.
+system.cpu.icache.tags.total_refs 243546972 # Total number of references to valid blocks.
+system.cpu.icache.tags.sampled_refs 792430 # Sample count of references to valid blocks.
+system.cpu.icache.tags.avg_refs 307.341938 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 148848615500 # Cycle when the warmup percentage was hit.
system.cpu.icache.tags.occ_blocks::cpu.inst 510.665021 # Average occupied blocks per requestor
system.cpu.icache.tags.occ_percent::cpu.inst 0.997393 # Average percentage of cache occupancy
@@ -189,34 +282,35 @@ system.cpu.icache.tags.occ_percent::total 0.997393 # A
system.cpu.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::0 87 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::1 134 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::2 291 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::2 289 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::3 2 # Occupied blocks per task id
system.cpu.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 245108466 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 245108466 # Number of data accesses
-system.cpu.icache.ReadReq_hits::cpu.inst 243526070 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 243526070 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 243526070 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 243526070 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 243526070 # number of overall hits
-system.cpu.icache.overall_hits::total 243526070 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 791198 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 791198 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 791198 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 791198 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 791198 # number of overall misses
-system.cpu.icache.overall_misses::total 791198 # number of overall misses
-system.cpu.icache.ReadReq_accesses::cpu.inst 244317268 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.ReadReq_accesses::total 244317268 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.demand_accesses::cpu.inst 244317268 # number of demand (read+write) accesses
-system.cpu.icache.demand_accesses::total 244317268 # number of demand (read+write) accesses
-system.cpu.icache.overall_accesses::cpu.inst 244317268 # number of overall (read+write) accesses
-system.cpu.icache.overall_accesses::total 244317268 # number of overall (read+write) accesses
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.003238 # miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_miss_rate::total 0.003238 # miss rate for ReadReq accesses
-system.cpu.icache.demand_miss_rate::cpu.inst 0.003238 # miss rate for demand accesses
-system.cpu.icache.demand_miss_rate::total 0.003238 # miss rate for demand accesses
-system.cpu.icache.overall_miss_rate::cpu.inst 0.003238 # miss rate for overall accesses
-system.cpu.icache.overall_miss_rate::total 0.003238 # miss rate for overall accesses
+system.cpu.icache.tags.tag_accesses 245131846 # Number of tag accesses
+system.cpu.icache.tags.data_accesses 245131846 # Number of data accesses
+system.cpu.icache.ReadReq_hits::cpu.inst 243546972 # number of ReadReq hits
+system.cpu.icache.ReadReq_hits::total 243546972 # number of ReadReq hits
+system.cpu.icache.demand_hits::cpu.inst 243546972 # number of demand (read+write) hits
+system.cpu.icache.demand_hits::total 243546972 # number of demand (read+write) hits
+system.cpu.icache.overall_hits::cpu.inst 243546972 # number of overall hits
+system.cpu.icache.overall_hits::total 243546972 # number of overall hits
+system.cpu.icache.ReadReq_misses::cpu.inst 792437 # number of ReadReq misses
+system.cpu.icache.ReadReq_misses::total 792437 # number of ReadReq misses
+system.cpu.icache.demand_misses::cpu.inst 792437 # number of demand (read+write) misses
+system.cpu.icache.demand_misses::total 792437 # number of demand (read+write) misses
+system.cpu.icache.overall_misses::cpu.inst 792437 # number of overall misses
+system.cpu.icache.overall_misses::total 792437 # number of overall misses
+system.cpu.icache.ReadReq_accesses::cpu.inst 244339409 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.ReadReq_accesses::total 244339409 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses::cpu.inst 244339409 # number of demand (read+write) accesses
+system.cpu.icache.demand_accesses::total 244339409 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses::cpu.inst 244339409 # number of overall (read+write) accesses
+system.cpu.icache.overall_accesses::total 244339409 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.003243 # miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_miss_rate::total 0.003243 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate::cpu.inst 0.003243 # miss rate for demand accesses
+system.cpu.icache.demand_miss_rate::total 0.003243 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate::cpu.inst 0.003243 # miss rate for overall accesses
+system.cpu.icache.overall_miss_rate::total 0.003243 # miss rate for overall accesses
system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -226,50 +320,51 @@ system.cpu.icache.avg_blocked_cycles::no_targets nan
system.cpu.icache.fast_writes 0 # number of fast writes performed
system.cpu.icache.cache_copies 0 # number of cache copies performed
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.itb_walker_cache.tags.replacements 3477 # number of replacements
-system.cpu.itb_walker_cache.tags.tagsinuse 3.026310 # Cycle average of tags in use
-system.cpu.itb_walker_cache.tags.total_refs 7886 # Total number of references to valid blocks.
-system.cpu.itb_walker_cache.tags.sampled_refs 3489 # Sample count of references to valid blocks.
-system.cpu.itb_walker_cache.tags.avg_refs 2.260246 # Average number of references to valid blocks.
-system.cpu.itb_walker_cache.tags.warmup_cycle 5102111082500 # Cycle when the warmup percentage was hit.
-system.cpu.itb_walker_cache.tags.occ_blocks::cpu.itb.walker 3.026310 # Average occupied blocks per requestor
-system.cpu.itb_walker_cache.tags.occ_percent::cpu.itb.walker 0.189144 # Average percentage of cache occupancy
-system.cpu.itb_walker_cache.tags.occ_percent::total 0.189144 # Average percentage of cache occupancy
-system.cpu.itb_walker_cache.tags.occ_task_id_blocks::1024 12 # Occupied blocks per task id
+system.cpu.itb_walker_cache.tags.replacements 3702 # number of replacements
+system.cpu.itb_walker_cache.tags.tagsinuse 3.026447 # Cycle average of tags in use
+system.cpu.itb_walker_cache.tags.total_refs 7640 # Total number of references to valid blocks.
+system.cpu.itb_walker_cache.tags.sampled_refs 3715 # Sample count of references to valid blocks.
+system.cpu.itb_walker_cache.tags.avg_refs 2.056528 # Average number of references to valid blocks.
+system.cpu.itb_walker_cache.tags.warmup_cycle 5102112149000 # Cycle when the warmup percentage was hit.
+system.cpu.itb_walker_cache.tags.occ_blocks::cpu.itb.walker 3.026447 # Average occupied blocks per requestor
+system.cpu.itb_walker_cache.tags.occ_percent::cpu.itb.walker 0.189153 # Average percentage of cache occupancy
+system.cpu.itb_walker_cache.tags.occ_percent::total 0.189153 # Average percentage of cache occupancy
+system.cpu.itb_walker_cache.tags.occ_task_id_blocks::1024 13 # Occupied blocks per task id
system.cpu.itb_walker_cache.tags.age_task_id_blocks_1024::0 5 # Occupied blocks per task id
-system.cpu.itb_walker_cache.tags.age_task_id_blocks_1024::1 2 # Occupied blocks per task id
-system.cpu.itb_walker_cache.tags.age_task_id_blocks_1024::2 5 # Occupied blocks per task id
-system.cpu.itb_walker_cache.tags.occ_task_id_percent::1024 0.750000 # Percentage of cache occupancy per task id
-system.cpu.itb_walker_cache.tags.tag_accesses 28774 # Number of tag accesses
-system.cpu.itb_walker_cache.tags.data_accesses 28774 # Number of data accesses
-system.cpu.itb_walker_cache.ReadReq_hits::cpu.itb.walker 7887 # number of ReadReq hits
-system.cpu.itb_walker_cache.ReadReq_hits::total 7887 # number of ReadReq hits
+system.cpu.itb_walker_cache.tags.age_task_id_blocks_1024::1 1 # Occupied blocks per task id
+system.cpu.itb_walker_cache.tags.age_task_id_blocks_1024::2 6 # Occupied blocks per task id
+system.cpu.itb_walker_cache.tags.age_task_id_blocks_1024::3 1 # Occupied blocks per task id
+system.cpu.itb_walker_cache.tags.occ_task_id_percent::1024 0.812500 # Percentage of cache occupancy per task id
+system.cpu.itb_walker_cache.tags.tag_accesses 29024 # Number of tag accesses
+system.cpu.itb_walker_cache.tags.data_accesses 29024 # Number of data accesses
+system.cpu.itb_walker_cache.ReadReq_hits::cpu.itb.walker 7640 # number of ReadReq hits
+system.cpu.itb_walker_cache.ReadReq_hits::total 7640 # number of ReadReq hits
system.cpu.itb_walker_cache.WriteReq_hits::cpu.itb.walker 2 # number of WriteReq hits
system.cpu.itb_walker_cache.WriteReq_hits::total 2 # number of WriteReq hits
-system.cpu.itb_walker_cache.demand_hits::cpu.itb.walker 7889 # number of demand (read+write) hits
-system.cpu.itb_walker_cache.demand_hits::total 7889 # number of demand (read+write) hits
-system.cpu.itb_walker_cache.overall_hits::cpu.itb.walker 7889 # number of overall hits
-system.cpu.itb_walker_cache.overall_hits::total 7889 # number of overall hits
-system.cpu.itb_walker_cache.ReadReq_misses::cpu.itb.walker 4332 # number of ReadReq misses
-system.cpu.itb_walker_cache.ReadReq_misses::total 4332 # number of ReadReq misses
-system.cpu.itb_walker_cache.demand_misses::cpu.itb.walker 4332 # number of demand (read+write) misses
-system.cpu.itb_walker_cache.demand_misses::total 4332 # number of demand (read+write) misses
-system.cpu.itb_walker_cache.overall_misses::cpu.itb.walker 4332 # number of overall misses
-system.cpu.itb_walker_cache.overall_misses::total 4332 # number of overall misses
-system.cpu.itb_walker_cache.ReadReq_accesses::cpu.itb.walker 12219 # number of ReadReq accesses(hits+misses)
-system.cpu.itb_walker_cache.ReadReq_accesses::total 12219 # number of ReadReq accesses(hits+misses)
+system.cpu.itb_walker_cache.demand_hits::cpu.itb.walker 7642 # number of demand (read+write) hits
+system.cpu.itb_walker_cache.demand_hits::total 7642 # number of demand (read+write) hits
+system.cpu.itb_walker_cache.overall_hits::cpu.itb.walker 7642 # number of overall hits
+system.cpu.itb_walker_cache.overall_hits::total 7642 # number of overall hits
+system.cpu.itb_walker_cache.ReadReq_misses::cpu.itb.walker 4580 # number of ReadReq misses
+system.cpu.itb_walker_cache.ReadReq_misses::total 4580 # number of ReadReq misses
+system.cpu.itb_walker_cache.demand_misses::cpu.itb.walker 4580 # number of demand (read+write) misses
+system.cpu.itb_walker_cache.demand_misses::total 4580 # number of demand (read+write) misses
+system.cpu.itb_walker_cache.overall_misses::cpu.itb.walker 4580 # number of overall misses
+system.cpu.itb_walker_cache.overall_misses::total 4580 # number of overall misses
+system.cpu.itb_walker_cache.ReadReq_accesses::cpu.itb.walker 12220 # number of ReadReq accesses(hits+misses)
+system.cpu.itb_walker_cache.ReadReq_accesses::total 12220 # number of ReadReq accesses(hits+misses)
system.cpu.itb_walker_cache.WriteReq_accesses::cpu.itb.walker 2 # number of WriteReq accesses(hits+misses)
system.cpu.itb_walker_cache.WriteReq_accesses::total 2 # number of WriteReq accesses(hits+misses)
-system.cpu.itb_walker_cache.demand_accesses::cpu.itb.walker 12221 # number of demand (read+write) accesses
-system.cpu.itb_walker_cache.demand_accesses::total 12221 # number of demand (read+write) accesses
-system.cpu.itb_walker_cache.overall_accesses::cpu.itb.walker 12221 # number of overall (read+write) accesses
-system.cpu.itb_walker_cache.overall_accesses::total 12221 # number of overall (read+write) accesses
-system.cpu.itb_walker_cache.ReadReq_miss_rate::cpu.itb.walker 0.354530 # miss rate for ReadReq accesses
-system.cpu.itb_walker_cache.ReadReq_miss_rate::total 0.354530 # miss rate for ReadReq accesses
-system.cpu.itb_walker_cache.demand_miss_rate::cpu.itb.walker 0.354472 # miss rate for demand accesses
-system.cpu.itb_walker_cache.demand_miss_rate::total 0.354472 # miss rate for demand accesses
-system.cpu.itb_walker_cache.overall_miss_rate::cpu.itb.walker 0.354472 # miss rate for overall accesses
-system.cpu.itb_walker_cache.overall_miss_rate::total 0.354472 # miss rate for overall accesses
+system.cpu.itb_walker_cache.demand_accesses::cpu.itb.walker 12222 # number of demand (read+write) accesses
+system.cpu.itb_walker_cache.demand_accesses::total 12222 # number of demand (read+write) accesses
+system.cpu.itb_walker_cache.overall_accesses::cpu.itb.walker 12222 # number of overall (read+write) accesses
+system.cpu.itb_walker_cache.overall_accesses::total 12222 # number of overall (read+write) accesses
+system.cpu.itb_walker_cache.ReadReq_miss_rate::cpu.itb.walker 0.374795 # miss rate for ReadReq accesses
+system.cpu.itb_walker_cache.ReadReq_miss_rate::total 0.374795 # miss rate for ReadReq accesses
+system.cpu.itb_walker_cache.demand_miss_rate::cpu.itb.walker 0.374734 # miss rate for demand accesses
+system.cpu.itb_walker_cache.demand_miss_rate::total 0.374734 # miss rate for demand accesses
+system.cpu.itb_walker_cache.overall_miss_rate::cpu.itb.walker 0.374734 # miss rate for overall accesses
+system.cpu.itb_walker_cache.overall_miss_rate::total 0.374734 # miss rate for overall accesses
system.cpu.itb_walker_cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.itb_walker_cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.itb_walker_cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -278,49 +373,49 @@ system.cpu.itb_walker_cache.avg_blocked_cycles::no_mshrs nan
system.cpu.itb_walker_cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.itb_walker_cache.fast_writes 0 # number of fast writes performed
system.cpu.itb_walker_cache.cache_copies 0 # number of cache copies performed
-system.cpu.itb_walker_cache.writebacks::writebacks 526 # number of writebacks
-system.cpu.itb_walker_cache.writebacks::total 526 # number of writebacks
+system.cpu.itb_walker_cache.writebacks::writebacks 802 # number of writebacks
+system.cpu.itb_walker_cache.writebacks::total 802 # number of writebacks
system.cpu.itb_walker_cache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.dtb_walker_cache.tags.replacements 7632 # number of replacements
-system.cpu.dtb_walker_cache.tags.tagsinuse 5.014183 # Cycle average of tags in use
-system.cpu.dtb_walker_cache.tags.total_refs 12951 # Total number of references to valid blocks.
-system.cpu.dtb_walker_cache.tags.sampled_refs 7644 # Sample count of references to valid blocks.
-system.cpu.dtb_walker_cache.tags.avg_refs 1.694270 # Average number of references to valid blocks.
-system.cpu.dtb_walker_cache.tags.warmup_cycle 5100459675500 # Cycle when the warmup percentage was hit.
-system.cpu.dtb_walker_cache.tags.occ_blocks::cpu.dtb.walker 5.014183 # Average occupied blocks per requestor
-system.cpu.dtb_walker_cache.tags.occ_percent::cpu.dtb.walker 0.313386 # Average percentage of cache occupancy
-system.cpu.dtb_walker_cache.tags.occ_percent::total 0.313386 # Average percentage of cache occupancy
-system.cpu.dtb_walker_cache.tags.occ_task_id_blocks::1024 12 # Occupied blocks per task id
-system.cpu.dtb_walker_cache.tags.age_task_id_blocks_1024::0 5 # Occupied blocks per task id
-system.cpu.dtb_walker_cache.tags.age_task_id_blocks_1024::1 2 # Occupied blocks per task id
-system.cpu.dtb_walker_cache.tags.age_task_id_blocks_1024::2 5 # Occupied blocks per task id
-system.cpu.dtb_walker_cache.tags.occ_task_id_percent::1024 0.750000 # Percentage of cache occupancy per task id
-system.cpu.dtb_walker_cache.tags.tag_accesses 52390 # Number of tag accesses
-system.cpu.dtb_walker_cache.tags.data_accesses 52390 # Number of data accesses
-system.cpu.dtb_walker_cache.ReadReq_hits::cpu.dtb.walker 12959 # number of ReadReq hits
-system.cpu.dtb_walker_cache.ReadReq_hits::total 12959 # number of ReadReq hits
-system.cpu.dtb_walker_cache.demand_hits::cpu.dtb.walker 12959 # number of demand (read+write) hits
-system.cpu.dtb_walker_cache.demand_hits::total 12959 # number of demand (read+write) hits
-system.cpu.dtb_walker_cache.overall_hits::cpu.dtb.walker 12959 # number of overall hits
-system.cpu.dtb_walker_cache.overall_hits::total 12959 # number of overall hits
-system.cpu.dtb_walker_cache.ReadReq_misses::cpu.dtb.walker 8824 # number of ReadReq misses
-system.cpu.dtb_walker_cache.ReadReq_misses::total 8824 # number of ReadReq misses
-system.cpu.dtb_walker_cache.demand_misses::cpu.dtb.walker 8824 # number of demand (read+write) misses
-system.cpu.dtb_walker_cache.demand_misses::total 8824 # number of demand (read+write) misses
-system.cpu.dtb_walker_cache.overall_misses::cpu.dtb.walker 8824 # number of overall misses
-system.cpu.dtb_walker_cache.overall_misses::total 8824 # number of overall misses
-system.cpu.dtb_walker_cache.ReadReq_accesses::cpu.dtb.walker 21783 # number of ReadReq accesses(hits+misses)
-system.cpu.dtb_walker_cache.ReadReq_accesses::total 21783 # number of ReadReq accesses(hits+misses)
-system.cpu.dtb_walker_cache.demand_accesses::cpu.dtb.walker 21783 # number of demand (read+write) accesses
-system.cpu.dtb_walker_cache.demand_accesses::total 21783 # number of demand (read+write) accesses
-system.cpu.dtb_walker_cache.overall_accesses::cpu.dtb.walker 21783 # number of overall (read+write) accesses
-system.cpu.dtb_walker_cache.overall_accesses::total 21783 # number of overall (read+write) accesses
-system.cpu.dtb_walker_cache.ReadReq_miss_rate::cpu.dtb.walker 0.405087 # miss rate for ReadReq accesses
-system.cpu.dtb_walker_cache.ReadReq_miss_rate::total 0.405087 # miss rate for ReadReq accesses
-system.cpu.dtb_walker_cache.demand_miss_rate::cpu.dtb.walker 0.405087 # miss rate for demand accesses
-system.cpu.dtb_walker_cache.demand_miss_rate::total 0.405087 # miss rate for demand accesses
-system.cpu.dtb_walker_cache.overall_miss_rate::cpu.dtb.walker 0.405087 # miss rate for overall accesses
-system.cpu.dtb_walker_cache.overall_miss_rate::total 0.405087 # miss rate for overall accesses
+system.cpu.dtb_walker_cache.tags.replacements 8177 # number of replacements
+system.cpu.dtb_walker_cache.tags.tagsinuse 5.013955 # Cycle average of tags in use
+system.cpu.dtb_walker_cache.tags.total_refs 12514 # Total number of references to valid blocks.
+system.cpu.dtb_walker_cache.tags.sampled_refs 8191 # Sample count of references to valid blocks.
+system.cpu.dtb_walker_cache.tags.avg_refs 1.527774 # Average number of references to valid blocks.
+system.cpu.dtb_walker_cache.tags.warmup_cycle 5101283486500 # Cycle when the warmup percentage was hit.
+system.cpu.dtb_walker_cache.tags.occ_blocks::cpu.dtb.walker 5.013955 # Average occupied blocks per requestor
+system.cpu.dtb_walker_cache.tags.occ_percent::cpu.dtb.walker 0.313372 # Average percentage of cache occupancy
+system.cpu.dtb_walker_cache.tags.occ_percent::total 0.313372 # Average percentage of cache occupancy
+system.cpu.dtb_walker_cache.tags.occ_task_id_blocks::1024 14 # Occupied blocks per task id
+system.cpu.dtb_walker_cache.tags.age_task_id_blocks_1024::0 6 # Occupied blocks per task id
+system.cpu.dtb_walker_cache.tags.age_task_id_blocks_1024::1 5 # Occupied blocks per task id
+system.cpu.dtb_walker_cache.tags.age_task_id_blocks_1024::2 3 # Occupied blocks per task id
+system.cpu.dtb_walker_cache.tags.occ_task_id_percent::1024 0.875000 # Percentage of cache occupancy per task id
+system.cpu.dtb_walker_cache.tags.tag_accesses 53146 # Number of tag accesses
+system.cpu.dtb_walker_cache.tags.data_accesses 53146 # Number of data accesses
+system.cpu.dtb_walker_cache.ReadReq_hits::cpu.dtb.walker 12515 # number of ReadReq hits
+system.cpu.dtb_walker_cache.ReadReq_hits::total 12515 # number of ReadReq hits
+system.cpu.dtb_walker_cache.demand_hits::cpu.dtb.walker 12515 # number of demand (read+write) hits
+system.cpu.dtb_walker_cache.demand_hits::total 12515 # number of demand (read+write) hits
+system.cpu.dtb_walker_cache.overall_hits::cpu.dtb.walker 12515 # number of overall hits
+system.cpu.dtb_walker_cache.overall_hits::total 12515 # number of overall hits
+system.cpu.dtb_walker_cache.ReadReq_misses::cpu.dtb.walker 9372 # number of ReadReq misses
+system.cpu.dtb_walker_cache.ReadReq_misses::total 9372 # number of ReadReq misses
+system.cpu.dtb_walker_cache.demand_misses::cpu.dtb.walker 9372 # number of demand (read+write) misses
+system.cpu.dtb_walker_cache.demand_misses::total 9372 # number of demand (read+write) misses
+system.cpu.dtb_walker_cache.overall_misses::cpu.dtb.walker 9372 # number of overall misses
+system.cpu.dtb_walker_cache.overall_misses::total 9372 # number of overall misses
+system.cpu.dtb_walker_cache.ReadReq_accesses::cpu.dtb.walker 21887 # number of ReadReq accesses(hits+misses)
+system.cpu.dtb_walker_cache.ReadReq_accesses::total 21887 # number of ReadReq accesses(hits+misses)
+system.cpu.dtb_walker_cache.demand_accesses::cpu.dtb.walker 21887 # number of demand (read+write) accesses
+system.cpu.dtb_walker_cache.demand_accesses::total 21887 # number of demand (read+write) accesses
+system.cpu.dtb_walker_cache.overall_accesses::cpu.dtb.walker 21887 # number of overall (read+write) accesses
+system.cpu.dtb_walker_cache.overall_accesses::total 21887 # number of overall (read+write) accesses
+system.cpu.dtb_walker_cache.ReadReq_miss_rate::cpu.dtb.walker 0.428199 # miss rate for ReadReq accesses
+system.cpu.dtb_walker_cache.ReadReq_miss_rate::total 0.428199 # miss rate for ReadReq accesses
+system.cpu.dtb_walker_cache.demand_miss_rate::cpu.dtb.walker 0.428199 # miss rate for demand accesses
+system.cpu.dtb_walker_cache.demand_miss_rate::total 0.428199 # miss rate for demand accesses
+system.cpu.dtb_walker_cache.overall_miss_rate::cpu.dtb.walker 0.428199 # miss rate for overall accesses
+system.cpu.dtb_walker_cache.overall_miss_rate::total 0.428199 # miss rate for overall accesses
system.cpu.dtb_walker_cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.dtb_walker_cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dtb_walker_cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -329,65 +424,65 @@ system.cpu.dtb_walker_cache.avg_blocked_cycles::no_mshrs nan
system.cpu.dtb_walker_cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.dtb_walker_cache.fast_writes 0 # number of fast writes performed
system.cpu.dtb_walker_cache.cache_copies 0 # number of cache copies performed
-system.cpu.dtb_walker_cache.writebacks::writebacks 2433 # number of writebacks
-system.cpu.dtb_walker_cache.writebacks::total 2433 # number of writebacks
+system.cpu.dtb_walker_cache.writebacks::writebacks 2797 # number of writebacks
+system.cpu.dtb_walker_cache.writebacks::total 2797 # number of writebacks
system.cpu.dtb_walker_cache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.dcache.tags.replacements 1622084 # number of replacements
-system.cpu.dcache.tags.tagsinuse 511.999424 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 20175355 # Total number of references to valid blocks.
-system.cpu.dcache.tags.sampled_refs 1622596 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 12.433998 # Average number of references to valid blocks.
+system.cpu.dcache.tags.replacements 1623316 # number of replacements
+system.cpu.dcache.tags.tagsinuse 511.999462 # Cycle average of tags in use
+system.cpu.dcache.tags.total_refs 20184260 # Total number of references to valid blocks.
+system.cpu.dcache.tags.sampled_refs 1623828 # Sample count of references to valid blocks.
+system.cpu.dcache.tags.avg_refs 12.430048 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 7549500 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 511.999424 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_blocks::cpu.data 511.999462 # Average occupied blocks per requestor
system.cpu.dcache.tags.occ_percent::cpu.data 0.999999 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_percent::total 0.999999 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::0 226 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 259 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::2 27 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::0 233 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::1 251 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::2 28 # Occupied blocks per task id
system.cpu.dcache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu.dcache.tags.tag_accesses 88814480 # Number of tag accesses
-system.cpu.dcache.tags.data_accesses 88814480 # Number of data accesses
-system.cpu.dcache.ReadReq_hits::cpu.data 12018728 # number of ReadReq hits
-system.cpu.dcache.ReadReq_hits::total 12018728 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 8095451 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 8095451 # number of WriteReq hits
-system.cpu.dcache.SoftPFReq_hits::cpu.data 58906 # number of SoftPFReq hits
-system.cpu.dcache.SoftPFReq_hits::total 58906 # number of SoftPFReq hits
-system.cpu.dcache.demand_hits::cpu.data 20114179 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 20114179 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 20173085 # number of overall hits
-system.cpu.dcache.overall_hits::total 20173085 # number of overall hits
-system.cpu.dcache.ReadReq_misses::cpu.data 905666 # number of ReadReq misses
-system.cpu.dcache.ReadReq_misses::total 905666 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 316462 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 316462 # number of WriteReq misses
-system.cpu.dcache.SoftPFReq_misses::cpu.data 402754 # number of SoftPFReq misses
-system.cpu.dcache.SoftPFReq_misses::total 402754 # number of SoftPFReq misses
-system.cpu.dcache.demand_misses::cpu.data 1222128 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 1222128 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 1624882 # number of overall misses
-system.cpu.dcache.overall_misses::total 1624882 # number of overall misses
-system.cpu.dcache.ReadReq_accesses::cpu.data 12924394 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.ReadReq_accesses::total 12924394 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::cpu.data 8411913 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::total 8411913 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.SoftPFReq_accesses::cpu.data 461660 # number of SoftPFReq accesses(hits+misses)
-system.cpu.dcache.SoftPFReq_accesses::total 461660 # number of SoftPFReq accesses(hits+misses)
-system.cpu.dcache.demand_accesses::cpu.data 21336307 # number of demand (read+write) accesses
-system.cpu.dcache.demand_accesses::total 21336307 # number of demand (read+write) accesses
-system.cpu.dcache.overall_accesses::cpu.data 21797967 # number of overall (read+write) accesses
-system.cpu.dcache.overall_accesses::total 21797967 # number of overall (read+write) accesses
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.070074 # miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_miss_rate::total 0.070074 # miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.037621 # miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_miss_rate::total 0.037621 # miss rate for WriteReq accesses
-system.cpu.dcache.SoftPFReq_miss_rate::cpu.data 0.872404 # miss rate for SoftPFReq accesses
-system.cpu.dcache.SoftPFReq_miss_rate::total 0.872404 # miss rate for SoftPFReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.057279 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.057279 # miss rate for demand accesses
-system.cpu.dcache.overall_miss_rate::cpu.data 0.074543 # miss rate for overall accesses
-system.cpu.dcache.overall_miss_rate::total 0.074543 # miss rate for overall accesses
+system.cpu.dcache.tags.tag_accesses 88856245 # Number of tag accesses
+system.cpu.dcache.tags.data_accesses 88856245 # Number of data accesses
+system.cpu.dcache.ReadReq_hits::cpu.data 12022868 # number of ReadReq hits
+system.cpu.dcache.ReadReq_hits::total 12022868 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits::cpu.data 8100233 # number of WriteReq hits
+system.cpu.dcache.WriteReq_hits::total 8100233 # number of WriteReq hits
+system.cpu.dcache.SoftPFReq_hits::cpu.data 58899 # number of SoftPFReq hits
+system.cpu.dcache.SoftPFReq_hits::total 58899 # number of SoftPFReq hits
+system.cpu.dcache.demand_hits::cpu.data 20123101 # number of demand (read+write) hits
+system.cpu.dcache.demand_hits::total 20123101 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits::cpu.data 20182000 # number of overall hits
+system.cpu.dcache.overall_hits::total 20182000 # number of overall hits
+system.cpu.dcache.ReadReq_misses::cpu.data 905995 # number of ReadReq misses
+system.cpu.dcache.ReadReq_misses::total 905995 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses::cpu.data 317045 # number of WriteReq misses
+system.cpu.dcache.WriteReq_misses::total 317045 # number of WriteReq misses
+system.cpu.dcache.SoftPFReq_misses::cpu.data 403061 # number of SoftPFReq misses
+system.cpu.dcache.SoftPFReq_misses::total 403061 # number of SoftPFReq misses
+system.cpu.dcache.demand_misses::cpu.data 1223040 # number of demand (read+write) misses
+system.cpu.dcache.demand_misses::total 1223040 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses::cpu.data 1626101 # number of overall misses
+system.cpu.dcache.overall_misses::total 1626101 # number of overall misses
+system.cpu.dcache.ReadReq_accesses::cpu.data 12928863 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.ReadReq_accesses::total 12928863 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses::cpu.data 8417278 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses::total 8417278 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.SoftPFReq_accesses::cpu.data 461960 # number of SoftPFReq accesses(hits+misses)
+system.cpu.dcache.SoftPFReq_accesses::total 461960 # number of SoftPFReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses::cpu.data 21346141 # number of demand (read+write) accesses
+system.cpu.dcache.demand_accesses::total 21346141 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses::cpu.data 21808101 # number of overall (read+write) accesses
+system.cpu.dcache.overall_accesses::total 21808101 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.070075 # miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_miss_rate::total 0.070075 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.037666 # miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_miss_rate::total 0.037666 # miss rate for WriteReq accesses
+system.cpu.dcache.SoftPFReq_miss_rate::cpu.data 0.872502 # miss rate for SoftPFReq accesses
+system.cpu.dcache.SoftPFReq_miss_rate::total 0.872502 # miss rate for SoftPFReq accesses
+system.cpu.dcache.demand_miss_rate::cpu.data 0.057296 # miss rate for demand accesses
+system.cpu.dcache.demand_miss_rate::total 0.057296 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate::cpu.data 0.074564 # miss rate for overall accesses
+system.cpu.dcache.overall_miss_rate::total 0.074564 # miss rate for overall accesses
system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -396,118 +491,148 @@ system.cpu.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.dcache.fast_writes 0 # number of fast writes performed
system.cpu.dcache.cache_copies 0 # number of cache copies performed
-system.cpu.dcache.writebacks::writebacks 1535815 # number of writebacks
-system.cpu.dcache.writebacks::total 1535815 # number of writebacks
+system.cpu.dcache.writebacks::writebacks 1536734 # number of writebacks
+system.cpu.dcache.writebacks::total 1536734 # number of writebacks
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 55211163 # Throughput (bytes/s)
-system.cpu.toL2Bus.data_through_bus 279231827 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 3014592 # Total snoop data (bytes)
-system.cpu.l2cache.tags.replacements 105997 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 64822.035422 # Cycle average of tags in use
-system.cpu.l2cache.tags.total_refs 3456726 # Total number of references to valid blocks.
-system.cpu.l2cache.tags.sampled_refs 170125 # Sample count of references to valid blocks.
-system.cpu.l2cache.tags.avg_refs 20.318742 # Average number of references to valid blocks.
+system.cpu.toL2Bus.trans_dist::ReadReq 15972635 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadResp 15972635 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::WriteReq 13796 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::WriteResp 13796 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::Writeback 1540333 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::UpgradeReq 2260 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::UpgradeResp 2260 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadExReq 314785 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadExResp 314785 # Transaction distribution
+system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 1584874 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 32530908 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.itb_walker_cache.mem_side::system.cpu.l2cache.cpu_side 9962 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.dtb_walker_cache.mem_side::system.cpu.l2cache.cpu_side 21541 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count::total 34147285 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 50715968 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 227701267 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.itb_walker_cache.mem_side::system.cpu.l2cache.cpu_side 344448 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dtb_walker_cache.mem_side::system.cpu.l2cache.cpu_side 778816 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 279540499 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 48008 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 4020451 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 3.011846 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0.108195 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::3 3972823 98.82% 98.82% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::4 47628 1.18% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 3 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 4 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 4020451 # Request fanout histogram
+system.cpu.l2cache.tags.replacements 106060 # number of replacements
+system.cpu.l2cache.tags.tagsinuse 64822.097552 # Cycle average of tags in use
+system.cpu.l2cache.tags.total_refs 3461863 # Total number of references to valid blocks.
+system.cpu.l2cache.tags.sampled_refs 170171 # Sample count of references to valid blocks.
+system.cpu.l2cache.tags.avg_refs 20.343437 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::writebacks 51908.839631 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::writebacks 51909.062113 # Average occupied blocks per requestor
system.cpu.l2cache.tags.occ_blocks::cpu.dtb.walker 0.002479 # Average occupied blocks per requestor
system.cpu.l2cache.tags.occ_blocks::cpu.itb.walker 0.132256 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 2490.541573 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 10422.519483 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::writebacks 0.792066 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 2490.551712 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 10422.348992 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::writebacks 0.792069 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.dtb.walker 0.000000 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.itb.walker 0.000002 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.inst 0.038003 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.159035 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.989106 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_task_id_blocks::1024 64128 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 46 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 282 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::2 3455 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::3 20884 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::4 39461 # Occupied blocks per task id
-system.cpu.l2cache.tags.occ_task_id_percent::1024 0.978516 # Percentage of cache occupancy per task id
-system.cpu.l2cache.tags.tag_accesses 32199668 # Number of tag accesses
-system.cpu.l2cache.tags.data_accesses 32199668 # Number of data accesses
-system.cpu.l2cache.ReadReq_hits::cpu.dtb.walker 6504 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.itb.walker 2802 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.inst 777860 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.data 1275544 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::total 2062710 # number of ReadReq hits
-system.cpu.l2cache.Writeback_hits::writebacks 1538774 # number of Writeback hits
-system.cpu.l2cache.Writeback_hits::total 1538774 # number of Writeback hits
-system.cpu.l2cache.UpgradeReq_hits::cpu.data 20 # number of UpgradeReq hits
-system.cpu.l2cache.UpgradeReq_hits::total 20 # number of UpgradeReq hits
-system.cpu.l2cache.ReadExReq_hits::cpu.data 179729 # number of ReadExReq hits
-system.cpu.l2cache.ReadExReq_hits::total 179729 # number of ReadExReq hits
-system.cpu.l2cache.demand_hits::cpu.dtb.walker 6504 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.itb.walker 2802 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.inst 777860 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.data 1455273 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::total 2242439 # number of demand (read+write) hits
-system.cpu.l2cache.overall_hits::cpu.dtb.walker 6504 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.itb.walker 2802 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.inst 777860 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.data 1455273 # number of overall hits
-system.cpu.l2cache.overall_hits::total 2242439 # number of overall hits
+system.cpu.l2cache.tags.occ_percent::cpu.data 0.159032 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::total 0.989107 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_task_id_blocks::1024 64111 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::0 54 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::1 261 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::2 3498 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::3 20716 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::4 39582 # Occupied blocks per task id
+system.cpu.l2cache.tags.occ_task_id_percent::1024 0.978256 # Percentage of cache occupancy per task id
+system.cpu.l2cache.tags.tag_accesses 32243624 # Number of tag accesses
+system.cpu.l2cache.tags.data_accesses 32243624 # Number of data accesses
+system.cpu.l2cache.ReadReq_hits::cpu.dtb.walker 7334 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::cpu.itb.walker 3337 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::cpu.inst 779106 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::cpu.data 1276189 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::total 2065966 # number of ReadReq hits
+system.cpu.l2cache.Writeback_hits::writebacks 1540333 # number of Writeback hits
+system.cpu.l2cache.Writeback_hits::total 1540333 # number of Writeback hits
+system.cpu.l2cache.UpgradeReq_hits::cpu.data 22 # number of UpgradeReq hits
+system.cpu.l2cache.UpgradeReq_hits::total 22 # number of UpgradeReq hits
+system.cpu.l2cache.ReadExReq_hits::cpu.data 180012 # number of ReadExReq hits
+system.cpu.l2cache.ReadExReq_hits::total 180012 # number of ReadExReq hits
+system.cpu.l2cache.demand_hits::cpu.dtb.walker 7334 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::cpu.itb.walker 3337 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::cpu.inst 779106 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::cpu.data 1456201 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::total 2245978 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits::cpu.dtb.walker 7334 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.itb.walker 3337 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.inst 779106 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.data 1456201 # number of overall hits
+system.cpu.l2cache.overall_hits::total 2245978 # number of overall hits
system.cpu.l2cache.ReadReq_misses::cpu.dtb.walker 1 # number of ReadReq misses
system.cpu.l2cache.ReadReq_misses::cpu.itb.walker 5 # number of ReadReq misses
-system.cpu.l2cache.ReadReq_misses::cpu.inst 13325 # number of ReadReq misses
-system.cpu.l2cache.ReadReq_misses::cpu.data 32246 # number of ReadReq misses
-system.cpu.l2cache.ReadReq_misses::total 45577 # number of ReadReq misses
-system.cpu.l2cache.UpgradeReq_misses::cpu.data 1805 # number of UpgradeReq misses
-system.cpu.l2cache.UpgradeReq_misses::total 1805 # number of UpgradeReq misses
-system.cpu.l2cache.ReadExReq_misses::cpu.data 134458 # number of ReadExReq misses
-system.cpu.l2cache.ReadExReq_misses::total 134458 # number of ReadExReq misses
+system.cpu.l2cache.ReadReq_misses::cpu.inst 13318 # number of ReadReq misses
+system.cpu.l2cache.ReadReq_misses::cpu.data 32226 # number of ReadReq misses
+system.cpu.l2cache.ReadReq_misses::total 45550 # number of ReadReq misses
+system.cpu.l2cache.UpgradeReq_misses::cpu.data 1809 # number of UpgradeReq misses
+system.cpu.l2cache.UpgradeReq_misses::total 1809 # number of UpgradeReq misses
+system.cpu.l2cache.ReadExReq_misses::cpu.data 134768 # number of ReadExReq misses
+system.cpu.l2cache.ReadExReq_misses::total 134768 # number of ReadExReq misses
system.cpu.l2cache.demand_misses::cpu.dtb.walker 1 # number of demand (read+write) misses
system.cpu.l2cache.demand_misses::cpu.itb.walker 5 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.inst 13325 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.data 166704 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::total 180035 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::cpu.inst 13318 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::cpu.data 166994 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::total 180318 # number of demand (read+write) misses
system.cpu.l2cache.overall_misses::cpu.dtb.walker 1 # number of overall misses
system.cpu.l2cache.overall_misses::cpu.itb.walker 5 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.inst 13325 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.data 166704 # number of overall misses
-system.cpu.l2cache.overall_misses::total 180035 # number of overall misses
-system.cpu.l2cache.ReadReq_accesses::cpu.dtb.walker 6505 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.itb.walker 2807 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.inst 791185 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.data 1307790 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::total 2108287 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.Writeback_accesses::writebacks 1538774 # number of Writeback accesses(hits+misses)
-system.cpu.l2cache.Writeback_accesses::total 1538774 # number of Writeback accesses(hits+misses)
-system.cpu.l2cache.UpgradeReq_accesses::cpu.data 1825 # number of UpgradeReq accesses(hits+misses)
-system.cpu.l2cache.UpgradeReq_accesses::total 1825 # number of UpgradeReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 314187 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::total 314187 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.demand_accesses::cpu.dtb.walker 6505 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.itb.walker 2807 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.inst 791185 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.data 1621977 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::total 2422474 # number of demand (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.dtb.walker 6505 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.itb.walker 2807 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.inst 791185 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.data 1621977 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::total 2422474 # number of overall (read+write) accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.dtb.walker 0.000154 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.itb.walker 0.001781 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.016842 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.024657 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::total 0.021618 # miss rate for ReadReq accesses
-system.cpu.l2cache.UpgradeReq_miss_rate::cpu.data 0.989041 # miss rate for UpgradeReq accesses
-system.cpu.l2cache.UpgradeReq_miss_rate::total 0.989041 # miss rate for UpgradeReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 0.427955 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::total 0.427955 # miss rate for ReadExReq accesses
-system.cpu.l2cache.demand_miss_rate::cpu.dtb.walker 0.000154 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.itb.walker 0.001781 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.016842 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.data 0.102778 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::total 0.074319 # miss rate for demand accesses
-system.cpu.l2cache.overall_miss_rate::cpu.dtb.walker 0.000154 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.itb.walker 0.001781 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.016842 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.data 0.102778 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::total 0.074319 # miss rate for overall accesses
+system.cpu.l2cache.overall_misses::cpu.inst 13318 # number of overall misses
+system.cpu.l2cache.overall_misses::cpu.data 166994 # number of overall misses
+system.cpu.l2cache.overall_misses::total 180318 # number of overall misses
+system.cpu.l2cache.ReadReq_accesses::cpu.dtb.walker 7335 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.itb.walker 3342 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.inst 792424 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.data 1308415 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::total 2111516 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.Writeback_accesses::writebacks 1540333 # number of Writeback accesses(hits+misses)
+system.cpu.l2cache.Writeback_accesses::total 1540333 # number of Writeback accesses(hits+misses)
+system.cpu.l2cache.UpgradeReq_accesses::cpu.data 1831 # number of UpgradeReq accesses(hits+misses)
+system.cpu.l2cache.UpgradeReq_accesses::total 1831 # number of UpgradeReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses::cpu.data 314780 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses::total 314780 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses::cpu.dtb.walker 7335 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.itb.walker 3342 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.inst 792424 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.data 1623195 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::total 2426296 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.dtb.walker 7335 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.itb.walker 3342 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.inst 792424 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.data 1623195 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::total 2426296 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.dtb.walker 0.000136 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.itb.walker 0.001496 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.016807 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.024630 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::total 0.021572 # miss rate for ReadReq accesses
+system.cpu.l2cache.UpgradeReq_miss_rate::cpu.data 0.987985 # miss rate for UpgradeReq accesses
+system.cpu.l2cache.UpgradeReq_miss_rate::total 0.987985 # miss rate for UpgradeReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 0.428134 # miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate::total 0.428134 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate::cpu.dtb.walker 0.000136 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.itb.walker 0.001496 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.inst 0.016807 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.data 0.102880 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::total 0.074318 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate::cpu.dtb.walker 0.000136 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::cpu.itb.walker 0.001496 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::cpu.inst 0.016807 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::cpu.data 0.102880 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::total 0.074318 # miss rate for overall accesses
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -516,8 +641,8 @@ system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan
system.cpu.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.l2cache.fast_writes 0 # number of fast writes performed
system.cpu.l2cache.cache_copies 0 # number of cache copies performed
-system.cpu.l2cache.writebacks::writebacks 98154 # number of writebacks
-system.cpu.l2cache.writebacks::total 98154 # number of writebacks
+system.cpu.l2cache.writebacks::writebacks 98213 # number of writebacks
+system.cpu.l2cache.writebacks::total 98213 # number of writebacks
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing/stats.txt b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing/stats.txt
index 015764a13..0fe5602ce 100644
--- a/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing/stats.txt
+++ b/tests/quick/fs/10.linux-boot/ref/x86/linux/pc-simple-timing/stats.txt
@@ -1,121 +1,121 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 5.192526 # Number of seconds simulated
-sim_ticks 5192526233000 # Number of ticks simulated
-final_tick 5192526233000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 5.192511 # Number of seconds simulated
+sim_ticks 5192511044000 # Number of ticks simulated
+final_tick 5192511044000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 1492668 # Simulator instruction rate (inst/s)
-host_op_rate 2877328 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 60393582039 # Simulator tick rate (ticks/s)
-host_mem_usage 592376 # Number of bytes of host memory used
-host_seconds 85.98 # Real time elapsed on the host
-sim_insts 128336778 # Number of instructions simulated
-sim_ops 247387190 # Number of ops (including micro ops) simulated
+host_inst_rate 1018343 # Simulator instruction rate (inst/s)
+host_op_rate 1963050 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 41210458750 # Simulator tick rate (ticks/s)
+host_mem_usage 646888 # Number of bytes of host memory used
+host_seconds 126.00 # Real time elapsed on the host
+sim_insts 128310974 # Number of instructions simulated
+sim_ops 247343919 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
system.physmem.bytes_read::pc.south_bridge.ide 28352 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.dtb.walker 64 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu.dtb.walker 128 # Number of bytes read from this memory
system.physmem.bytes_read::cpu.itb.walker 320 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.inst 829632 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.data 9090688 # Number of bytes read from this memory
-system.physmem.bytes_read::total 9949056 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu.inst 829632 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 829632 # Number of instructions bytes read from this memory
-system.physmem.bytes_written::writebacks 5138240 # Number of bytes written to this memory
+system.physmem.bytes_read::cpu.inst 827456 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu.data 9076288 # Number of bytes read from this memory
+system.physmem.bytes_read::total 9932544 # Number of bytes read from this memory
+system.physmem.bytes_inst_read::cpu.inst 827456 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::total 827456 # Number of instructions bytes read from this memory
+system.physmem.bytes_written::writebacks 5141952 # Number of bytes written to this memory
system.physmem.bytes_written::pc.south_bridge.ide 2990080 # Number of bytes written to this memory
-system.physmem.bytes_written::total 8128320 # Number of bytes written to this memory
+system.physmem.bytes_written::total 8132032 # Number of bytes written to this memory
system.physmem.num_reads::pc.south_bridge.ide 443 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.dtb.walker 1 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu.dtb.walker 2 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu.itb.walker 5 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.inst 12963 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.data 142042 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 155454 # Number of read requests responded to by this memory
-system.physmem.num_writes::writebacks 80285 # Number of write requests responded to by this memory
+system.physmem.num_reads::cpu.inst 12929 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu.data 141817 # Number of read requests responded to by this memory
+system.physmem.num_reads::total 155196 # Number of read requests responded to by this memory
+system.physmem.num_writes::writebacks 80343 # Number of write requests responded to by this memory
system.physmem.num_writes::pc.south_bridge.ide 46720 # Number of write requests responded to by this memory
-system.physmem.num_writes::total 127005 # Number of write requests responded to by this memory
+system.physmem.num_writes::total 127063 # Number of write requests responded to by this memory
system.physmem.bw_read::pc.south_bridge.ide 5460 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.dtb.walker 12 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.dtb.walker 25 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu.itb.walker 62 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.inst 159774 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 1750725 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 1916034 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 159774 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 159774 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_write::writebacks 989545 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::pc.south_bridge.ide 575843 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::total 1565388 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_total::writebacks 989545 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::pc.south_bridge.ide 581303 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.dtb.walker 12 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_read::cpu.inst 159356 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.data 1747957 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 1912859 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu.inst 159356 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 159356 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_write::writebacks 990263 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::pc.south_bridge.ide 575845 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::total 1566108 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total::writebacks 990263 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::pc.south_bridge.ide 581305 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.dtb.walker 25 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.itb.walker 62 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 159774 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 1750725 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 3481422 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.readReqs 155454 # Number of read requests accepted
-system.physmem.writeReqs 127005 # Number of write requests accepted
-system.physmem.readBursts 155454 # Number of DRAM read bursts, including those serviced by the write queue
-system.physmem.writeBursts 127005 # Number of DRAM write bursts, including those merged in the write queue
-system.physmem.bytesReadDRAM 9932928 # Total number of bytes read from DRAM
-system.physmem.bytesReadWrQ 16128 # Total number of bytes read from write queue
-system.physmem.bytesWritten 8126720 # Total number of bytes written to DRAM
-system.physmem.bytesReadSys 9949056 # Total read bytes from the system interface side
-system.physmem.bytesWrittenSys 8128320 # Total written bytes from the system interface side
-system.physmem.servicedByWrQ 252 # Number of DRAM read bursts serviced by the write queue
+system.physmem.bw_total::cpu.inst 159356 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.data 1747957 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 3478967 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.readReqs 155196 # Number of read requests accepted
+system.physmem.writeReqs 127063 # Number of write requests accepted
+system.physmem.readBursts 155196 # Number of DRAM read bursts, including those serviced by the write queue
+system.physmem.writeBursts 127063 # Number of DRAM write bursts, including those merged in the write queue
+system.physmem.bytesReadDRAM 9914944 # Total number of bytes read from DRAM
+system.physmem.bytesReadWrQ 17600 # Total number of bytes read from write queue
+system.physmem.bytesWritten 8130496 # Total number of bytes written to DRAM
+system.physmem.bytesReadSys 9932544 # Total read bytes from the system interface side
+system.physmem.bytesWrittenSys 8132032 # Total written bytes from the system interface side
+system.physmem.servicedByWrQ 275 # Number of DRAM read bursts serviced by the write queue
system.physmem.mergedWrBursts 0 # Number of DRAM write bursts merged with an existing one
-system.physmem.neitherReadNorWriteReqs 1602 # Number of requests that are neither read nor write
-system.physmem.perBankRdBursts::0 10234 # Per bank write bursts
-system.physmem.perBankRdBursts::1 9830 # Per bank write bursts
-system.physmem.perBankRdBursts::2 10412 # Per bank write bursts
-system.physmem.perBankRdBursts::3 9937 # Per bank write bursts
-system.physmem.perBankRdBursts::4 9788 # Per bank write bursts
-system.physmem.perBankRdBursts::5 9348 # Per bank write bursts
-system.physmem.perBankRdBursts::6 9238 # Per bank write bursts
-system.physmem.perBankRdBursts::7 9473 # Per bank write bursts
-system.physmem.perBankRdBursts::8 9270 # Per bank write bursts
-system.physmem.perBankRdBursts::9 9085 # Per bank write bursts
-system.physmem.perBankRdBursts::10 9528 # Per bank write bursts
-system.physmem.perBankRdBursts::11 9619 # Per bank write bursts
-system.physmem.perBankRdBursts::12 9707 # Per bank write bursts
-system.physmem.perBankRdBursts::13 10058 # Per bank write bursts
-system.physmem.perBankRdBursts::14 9877 # Per bank write bursts
-system.physmem.perBankRdBursts::15 9798 # Per bank write bursts
-system.physmem.perBankWrBursts::0 8316 # Per bank write bursts
-system.physmem.perBankWrBursts::1 7729 # Per bank write bursts
-system.physmem.perBankWrBursts::2 8212 # Per bank write bursts
-system.physmem.perBankWrBursts::3 7860 # Per bank write bursts
-system.physmem.perBankWrBursts::4 8063 # Per bank write bursts
-system.physmem.perBankWrBursts::5 7657 # Per bank write bursts
-system.physmem.perBankWrBursts::6 7184 # Per bank write bursts
-system.physmem.perBankWrBursts::7 7824 # Per bank write bursts
-system.physmem.perBankWrBursts::8 7616 # Per bank write bursts
-system.physmem.perBankWrBursts::9 7570 # Per bank write bursts
-system.physmem.perBankWrBursts::10 7824 # Per bank write bursts
-system.physmem.perBankWrBursts::11 7928 # Per bank write bursts
-system.physmem.perBankWrBursts::12 8040 # Per bank write bursts
-system.physmem.perBankWrBursts::13 8642 # Per bank write bursts
-system.physmem.perBankWrBursts::14 8420 # Per bank write bursts
-system.physmem.perBankWrBursts::15 8095 # Per bank write bursts
+system.physmem.neitherReadNorWriteReqs 1594 # Number of requests that are neither read nor write
+system.physmem.perBankRdBursts::0 10479 # Per bank write bursts
+system.physmem.perBankRdBursts::1 9637 # Per bank write bursts
+system.physmem.perBankRdBursts::2 10137 # Per bank write bursts
+system.physmem.perBankRdBursts::3 9789 # Per bank write bursts
+system.physmem.perBankRdBursts::4 9555 # Per bank write bursts
+system.physmem.perBankRdBursts::5 9513 # Per bank write bursts
+system.physmem.perBankRdBursts::6 9351 # Per bank write bursts
+system.physmem.perBankRdBursts::7 9512 # Per bank write bursts
+system.physmem.perBankRdBursts::8 9073 # Per bank write bursts
+system.physmem.perBankRdBursts::9 8991 # Per bank write bursts
+system.physmem.perBankRdBursts::10 9630 # Per bank write bursts
+system.physmem.perBankRdBursts::11 9438 # Per bank write bursts
+system.physmem.perBankRdBursts::12 9550 # Per bank write bursts
+system.physmem.perBankRdBursts::13 10095 # Per bank write bursts
+system.physmem.perBankRdBursts::14 10146 # Per bank write bursts
+system.physmem.perBankRdBursts::15 10025 # Per bank write bursts
+system.physmem.perBankWrBursts::0 8301 # Per bank write bursts
+system.physmem.perBankWrBursts::1 8002 # Per bank write bursts
+system.physmem.perBankWrBursts::2 8301 # Per bank write bursts
+system.physmem.perBankWrBursts::3 8212 # Per bank write bursts
+system.physmem.perBankWrBursts::4 7990 # Per bank write bursts
+system.physmem.perBankWrBursts::5 7535 # Per bank write bursts
+system.physmem.perBankWrBursts::6 7392 # Per bank write bursts
+system.physmem.perBankWrBursts::7 7734 # Per bank write bursts
+system.physmem.perBankWrBursts::8 7444 # Per bank write bursts
+system.physmem.perBankWrBursts::9 7612 # Per bank write bursts
+system.physmem.perBankWrBursts::10 7970 # Per bank write bursts
+system.physmem.perBankWrBursts::11 7896 # Per bank write bursts
+system.physmem.perBankWrBursts::12 8102 # Per bank write bursts
+system.physmem.perBankWrBursts::13 8416 # Per bank write bursts
+system.physmem.perBankWrBursts::14 8297 # Per bank write bursts
+system.physmem.perBankWrBursts::15 7835 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
-system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 5192526169500 # Total gap between requests
+system.physmem.numWrRetry 1 # Number of times write queue was full causing retry
+system.physmem.totGap 5192510980500 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 0 # Read request sizes (log2)
system.physmem.readPktSize::3 0 # Read request sizes (log2)
system.physmem.readPktSize::4 0 # Read request sizes (log2)
system.physmem.readPktSize::5 0 # Read request sizes (log2)
-system.physmem.readPktSize::6 155454 # Read request sizes (log2)
+system.physmem.readPktSize::6 155196 # Read request sizes (log2)
system.physmem.writePktSize::0 0 # Write request sizes (log2)
system.physmem.writePktSize::1 0 # Write request sizes (log2)
system.physmem.writePktSize::2 0 # Write request sizes (log2)
system.physmem.writePktSize::3 0 # Write request sizes (log2)
system.physmem.writePktSize::4 0 # Write request sizes (log2)
system.physmem.writePktSize::5 0 # Write request sizes (log2)
-system.physmem.writePktSize::6 127005 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 151750 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 3023 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::2 59 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::3 54 # What read queue length does an incoming req see
+system.physmem.writePktSize::6 127063 # Write request sizes (log2)
+system.physmem.rdQLenPdf::0 151525 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::1 2962 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::2 63 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::3 55 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::4 34 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::5 39 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::6 35 # What read queue length does an incoming req see
@@ -159,232 +159,241 @@ system.physmem.wrQLenPdf::11 1 # Wh
system.physmem.wrQLenPdf::12 1 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::13 1 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::14 1 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::15 2439 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::16 3242 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::17 6145 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::18 6383 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::19 6406 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::20 7175 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::21 7465 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::22 8169 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::23 8822 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::24 9909 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::25 9129 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::26 8509 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::27 7675 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::28 7337 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::29 6397 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::30 6159 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::31 6188 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::32 6092 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::33 206 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::34 180 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::35 188 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::36 164 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::37 176 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::38 179 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::39 203 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::40 191 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::41 183 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::42 179 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::43 171 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::44 181 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::45 170 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::46 148 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::47 146 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::48 140 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::49 110 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::50 88 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::51 62 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::52 55 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::53 43 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::54 37 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::55 30 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::56 31 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::57 28 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::58 21 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::59 14 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::60 9 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::61 8 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::62 6 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::63 2 # What write queue length does an incoming req see
-system.physmem.bytesPerActivate::samples 56259 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::mean 321.007910 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 189.347718 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 335.337897 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 20082 35.70% 35.70% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 13652 24.27% 59.96% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::256-383 5681 10.10% 70.06% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::384-511 3443 6.12% 76.18% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::512-639 2317 4.12% 80.30% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::640-767 1632 2.90% 83.20% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::768-895 1101 1.96% 85.16% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::896-1023 1008 1.79% 86.95% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::1024-1151 7343 13.05% 100.00% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::total 56259 # Bytes accessed per row activation
-system.physmem.rdPerTurnAround::samples 5896 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::mean 26.315638 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::stdev 622.349689 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::0-2047 5895 99.98% 99.98% # Reads before turning the bus around for writes
+system.physmem.wrQLenPdf::15 2384 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::16 3176 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::17 6154 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::18 6388 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::19 6392 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::20 7149 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::21 7445 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::22 8129 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::23 8789 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::24 9861 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::25 9041 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::26 8343 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::27 7645 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::28 7364 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::29 6417 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::30 6189 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::31 6244 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::32 6141 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::33 237 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::34 221 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::35 254 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::36 206 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::37 203 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::38 199 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::39 233 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::40 205 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::41 193 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::42 182 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::43 177 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::44 173 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::45 179 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::46 150 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::47 152 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::48 129 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::49 126 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::50 111 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::51 66 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::52 64 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::53 57 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::54 49 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::55 47 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::56 41 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::57 37 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::58 30 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::59 27 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::60 19 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::61 15 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::62 11 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::63 4 # What write queue length does an incoming req see
+system.physmem.bytesPerActivate::samples 57292 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::mean 314.972003 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::gmean 184.928814 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::stdev 333.427002 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::0-127 21127 36.88% 36.88% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::128-255 13732 23.97% 60.84% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::256-383 5729 10.00% 70.84% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::384-511 3461 6.04% 76.89% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::512-639 2250 3.93% 80.81% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::640-767 1575 2.75% 83.56% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::768-895 1105 1.93% 85.49% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::896-1023 1008 1.76% 87.25% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::1024-1151 7305 12.75% 100.00% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::total 57292 # Bytes accessed per row activation
+system.physmem.rdPerTurnAround::samples 5905 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::mean 26.232854 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::stdev 621.882480 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::0-2047 5904 99.98% 99.98% # Reads before turning the bus around for writes
system.physmem.rdPerTurnAround::47104-49151 1 0.02% 100.00% # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::total 5896 # Reads before turning the bus around for writes
-system.physmem.wrPerTurnAround::samples 5896 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::mean 21.536635 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::gmean 19.431893 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::stdev 14.049302 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::16-19 4860 82.43% 82.43% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::20-23 44 0.75% 83.18% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::24-27 38 0.64% 83.82% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::28-31 287 4.87% 88.69% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::32-35 272 4.61% 93.30% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::36-39 20 0.34% 93.64% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::40-43 25 0.42% 94.06% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::44-47 11 0.19% 94.25% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::48-51 27 0.46% 94.71% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::52-55 4 0.07% 94.78% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::56-59 3 0.05% 94.83% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::60-63 1 0.02% 94.84% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::64-67 223 3.78% 98.63% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::68-71 4 0.07% 98.69% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::72-75 6 0.10% 98.80% # Writes before turning the bus around for reads
+system.physmem.rdPerTurnAround::total 5905 # Reads before turning the bus around for writes
+system.physmem.wrPerTurnAround::samples 5905 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::mean 21.513802 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::gmean 19.393687 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::stdev 14.130484 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::16-19 4886 82.74% 82.74% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::20-23 42 0.71% 83.45% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::24-27 41 0.69% 84.15% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::28-31 275 4.66% 88.81% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::32-35 267 4.52% 93.33% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::36-39 20 0.34% 93.67% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::40-43 10 0.17% 93.84% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::44-47 19 0.32% 94.16% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::48-51 22 0.37% 94.53% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::52-55 8 0.14% 94.67% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::56-59 6 0.10% 94.77% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::60-63 2 0.03% 94.80% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::64-67 226 3.83% 98.63% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::68-71 6 0.10% 98.73% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::72-75 4 0.07% 98.80% # Writes before turning the bus around for reads
system.physmem.wrPerTurnAround::76-79 3 0.05% 98.85% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::80-83 20 0.34% 99.19% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::84-87 1 0.02% 99.20% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::92-95 1 0.02% 99.22% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::96-99 10 0.17% 99.39% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::100-103 2 0.03% 99.42% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::104-107 2 0.03% 99.46% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::108-111 2 0.03% 99.49% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::112-115 8 0.14% 99.63% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::116-119 2 0.03% 99.66% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::120-123 4 0.07% 99.73% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::128-131 13 0.22% 99.95% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::80-83 15 0.25% 99.10% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::88-91 1 0.02% 99.12% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::92-95 1 0.02% 99.14% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::96-99 13 0.22% 99.36% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::100-103 1 0.02% 99.37% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::104-107 6 0.10% 99.48% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::108-111 3 0.05% 99.53% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::112-115 8 0.14% 99.66% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::116-119 2 0.03% 99.70% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::120-123 3 0.05% 99.75% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::128-131 12 0.20% 99.95% # Writes before turning the bus around for reads
system.physmem.wrPerTurnAround::132-135 1 0.02% 99.97% # Writes before turning the bus around for reads
system.physmem.wrPerTurnAround::140-143 2 0.03% 100.00% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::total 5896 # Writes before turning the bus around for reads
-system.physmem.totQLat 1473683250 # Total ticks spent queuing
-system.physmem.totMemAccLat 4383720750 # Total ticks spent from burst creation until serviced by the DRAM
-system.physmem.totBusLat 776010000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 9495.26 # Average queueing delay per DRAM burst
+system.physmem.wrPerTurnAround::total 5905 # Writes before turning the bus around for reads
+system.physmem.totQLat 1558594500 # Total ticks spent queuing
+system.physmem.totMemAccLat 4463363250 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totBusLat 774605000 # Total ticks spent in databus transfers
+system.physmem.avgQLat 10060.58 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 28245.26 # Average memory access latency per DRAM burst
+system.physmem.avgMemAccLat 28810.58 # Average memory access latency per DRAM burst
system.physmem.avgRdBW 1.91 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 1.57 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 1.92 # Average system read bandwidth in MiByte/s
+system.physmem.avgRdBWSys 1.91 # Average system read bandwidth in MiByte/s
system.physmem.avgWrBWSys 1.57 # Average system write bandwidth in MiByte/s
system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
system.physmem.busUtil 0.03 # Data bus utilization in percentage
system.physmem.busUtilRead 0.01 # Data bus utilization in percentage for reads
system.physmem.busUtilWrite 0.01 # Data bus utilization in percentage for writes
system.physmem.avgRdQLen 1.00 # Average read queue length when enqueuing
-system.physmem.avgWrQLen 22.52 # Average write queue length when enqueuing
-system.physmem.readRowHits 127189 # Number of row buffer hits during reads
-system.physmem.writeRowHits 98733 # Number of row buffer hits during writes
-system.physmem.readRowHitRate 81.95 # Row buffer hit rate for reads
-system.physmem.writeRowHitRate 77.74 # Row buffer hit rate for writes
-system.physmem.avgGap 18383291.63 # Average gap between requests
-system.physmem.pageHitRate 80.06 # Row buffer hit rate, read and write combined
-system.physmem.memoryStateTime::IDLE 4971157882750 # Time in different power states
-system.physmem.memoryStateTime::REF 173389840000 # Time in different power states
+system.physmem.avgWrQLen 22.05 # Average write queue length when enqueuing
+system.physmem.readRowHits 125976 # Number of row buffer hits during reads
+system.physmem.writeRowHits 98691 # Number of row buffer hits during writes
+system.physmem.readRowHitRate 81.32 # Row buffer hit rate for reads
+system.physmem.writeRowHitRate 77.67 # Row buffer hit rate for writes
+system.physmem.avgGap 18396263.65 # Average gap between requests
+system.physmem.pageHitRate 79.67 # Row buffer hit rate, read and write combined
+system.physmem.memoryStateTime::IDLE 4970934831000 # Time in different power states
+system.physmem.memoryStateTime::REF 173389320000 # Time in different power states
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem.memoryStateTime::ACT 47978395250 # Time in different power states
+system.physmem.memoryStateTime::ACT 48186778000 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 3808612 # Throughput (bytes/s)
-system.membus.trans_dist::ReadReq 623901 # Transaction distribution
-system.membus.trans_dist::ReadResp 623901 # Transaction distribution
+system.membus.trans_dist::ReadReq 623858 # Transaction distribution
+system.membus.trans_dist::ReadResp 623858 # Transaction distribution
system.membus.trans_dist::WriteReq 13773 # Transaction distribution
system.membus.trans_dist::WriteResp 13773 # Transaction distribution
-system.membus.trans_dist::Writeback 80285 # Transaction distribution
+system.membus.trans_dist::Writeback 80343 # Transaction distribution
system.membus.trans_dist::WriteInvalidateReq 46720 # Transaction distribution
system.membus.trans_dist::WriteInvalidateResp 46720 # Transaction distribution
system.membus.trans_dist::UpgradeReq 2146 # Transaction distribution
-system.membus.trans_dist::UpgradeResp 1602 # Transaction distribution
-system.membus.trans_dist::ReadExReq 113400 # Transaction distribution
-system.membus.trans_dist::ReadExResp 113400 # Transaction distribution
+system.membus.trans_dist::UpgradeResp 1594 # Transaction distribution
+system.membus.trans_dist::ReadExReq 113180 # Transaction distribution
+system.membus.trans_dist::ReadExResp 113180 # Transaction distribution
system.membus.trans_dist::MessageReq 1654 # Transaction distribution
system.membus.trans_dist::MessageResp 1654 # Transaction distribution
system.membus.pkt_count_system.apicbridge.master::system.cpu.interrupts.int_slave 3308 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.apicbridge.master::total 3308 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.bridge.slave 480328 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.cpu.interrupts.pio 710110 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 394055 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.cpu.l2cache.mem_side::total 1584493 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 94727 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count_system.iocache.mem_side::total 94727 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 1682528 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.apicbridge.master::system.cpu.interrupts.int_slave 6616 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.apicbridge.master::total 6616 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.bridge.slave 246444 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.cpu.interrupts.pio 1420217 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 15058944 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::total 16725605 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.iocache.mem_side::system.physmem.port 3018432 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.iocache.mem_side::total 3018432 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 19750653 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 19750653 # Total data (bytes)
-system.membus.snoop_data_through_bus 25664 # Total snoop data (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 393589 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.l2cache.mem_side::total 1584027 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::system.physmem.port 94722 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.iocache.mem_side::total 94722 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 1682057 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.apicbridge.master::system.cpu.interrupts.int_slave 6616 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.apicbridge.master::total 6616 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.bridge.slave 246444 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.cpu.interrupts.pio 1420217 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 15046144 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::total 16712805 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::system.physmem.port 3018432 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.iocache.mem_side::total 3018432 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 19737853 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 948 # Total snoops (count)
+system.membus.snoop_fanout::samples 284802 # Request fanout histogram
+system.membus.snoop_fanout::mean 1 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 284802 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 1 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 284802 # Request fanout histogram
system.membus.reqLayer0.occupancy 256795500 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 0.0 # Layer utilization (%)
-system.membus.reqLayer1.occupancy 359321500 # Layer occupancy (ticks)
+system.membus.reqLayer1.occupancy 358101500 # Layer occupancy (ticks)
system.membus.reqLayer1.utilization 0.0 # Layer utilization (%)
system.membus.reqLayer2.occupancy 3308000 # Layer occupancy (ticks)
system.membus.reqLayer2.utilization 0.0 # Layer utilization (%)
-system.membus.reqLayer3.occupancy 1309717000 # Layer occupancy (ticks)
+system.membus.reqLayer3.occupancy 1310597750 # Layer occupancy (ticks)
system.membus.reqLayer3.utilization 0.0 # Layer utilization (%)
system.membus.respLayer0.occupancy 1654000 # Layer occupancy (ticks)
system.membus.respLayer0.utilization 0.0 # Layer utilization (%)
-system.membus.respLayer2.occupancy 2621518398 # Layer occupancy (ticks)
+system.membus.respLayer2.occupancy 2618526656 # Layer occupancy (ticks)
system.membus.respLayer2.utilization 0.1 # Layer utilization (%)
-system.membus.respLayer4.occupancy 54330498 # Layer occupancy (ticks)
+system.membus.respLayer4.occupancy 54286498 # Layer occupancy (ticks)
system.membus.respLayer4.utilization 0.0 # Layer utilization (%)
-system.iocache.tags.replacements 47509 # number of replacements
-system.iocache.tags.tagsinuse 0.112613 # Cycle average of tags in use
+system.iocache.tags.replacements 47504 # number of replacements
+system.iocache.tags.tagsinuse 0.112573 # Cycle average of tags in use
system.iocache.tags.total_refs 0 # Total number of references to valid blocks.
-system.iocache.tags.sampled_refs 47525 # Sample count of references to valid blocks.
+system.iocache.tags.sampled_refs 47520 # Sample count of references to valid blocks.
system.iocache.tags.avg_refs 0 # Average number of references to valid blocks.
-system.iocache.tags.warmup_cycle 5045777659000 # Cycle when the warmup percentage was hit.
-system.iocache.tags.occ_blocks::pc.south_bridge.ide 0.112613 # Average occupied blocks per requestor
-system.iocache.tags.occ_percent::pc.south_bridge.ide 0.007038 # Average percentage of cache occupancy
-system.iocache.tags.occ_percent::total 0.007038 # Average percentage of cache occupancy
+system.iocache.tags.warmup_cycle 5045778761000 # Cycle when the warmup percentage was hit.
+system.iocache.tags.occ_blocks::pc.south_bridge.ide 0.112573 # Average occupied blocks per requestor
+system.iocache.tags.occ_percent::pc.south_bridge.ide 0.007036 # Average percentage of cache occupancy
+system.iocache.tags.occ_percent::total 0.007036 # Average percentage of cache occupancy
system.iocache.tags.occ_task_id_blocks::1023 16 # Occupied blocks per task id
system.iocache.tags.age_task_id_blocks_1023::2 16 # Occupied blocks per task id
system.iocache.tags.occ_task_id_percent::1023 1 # Percentage of cache occupancy per task id
-system.iocache.tags.tag_accesses 428076 # Number of tag accesses
-system.iocache.tags.data_accesses 428076 # Number of data accesses
+system.iocache.tags.tag_accesses 428031 # Number of tag accesses
+system.iocache.tags.data_accesses 428031 # Number of data accesses
system.iocache.WriteInvalidateReq_hits::pc.south_bridge.ide 46720 # number of WriteInvalidateReq hits
system.iocache.WriteInvalidateReq_hits::total 46720 # number of WriteInvalidateReq hits
-system.iocache.ReadReq_misses::pc.south_bridge.ide 844 # number of ReadReq misses
-system.iocache.ReadReq_misses::total 844 # number of ReadReq misses
-system.iocache.demand_misses::pc.south_bridge.ide 844 # number of demand (read+write) misses
-system.iocache.demand_misses::total 844 # number of demand (read+write) misses
-system.iocache.overall_misses::pc.south_bridge.ide 844 # number of overall misses
-system.iocache.overall_misses::total 844 # number of overall misses
-system.iocache.ReadReq_miss_latency::pc.south_bridge.ide 141199186 # number of ReadReq miss cycles
-system.iocache.ReadReq_miss_latency::total 141199186 # number of ReadReq miss cycles
-system.iocache.demand_miss_latency::pc.south_bridge.ide 141199186 # number of demand (read+write) miss cycles
-system.iocache.demand_miss_latency::total 141199186 # number of demand (read+write) miss cycles
-system.iocache.overall_miss_latency::pc.south_bridge.ide 141199186 # number of overall miss cycles
-system.iocache.overall_miss_latency::total 141199186 # number of overall miss cycles
-system.iocache.ReadReq_accesses::pc.south_bridge.ide 844 # number of ReadReq accesses(hits+misses)
-system.iocache.ReadReq_accesses::total 844 # number of ReadReq accesses(hits+misses)
+system.iocache.ReadReq_misses::pc.south_bridge.ide 839 # number of ReadReq misses
+system.iocache.ReadReq_misses::total 839 # number of ReadReq misses
+system.iocache.demand_misses::pc.south_bridge.ide 839 # number of demand (read+write) misses
+system.iocache.demand_misses::total 839 # number of demand (read+write) misses
+system.iocache.overall_misses::pc.south_bridge.ide 839 # number of overall misses
+system.iocache.overall_misses::total 839 # number of overall misses
+system.iocache.ReadReq_miss_latency::pc.south_bridge.ide 140842436 # number of ReadReq miss cycles
+system.iocache.ReadReq_miss_latency::total 140842436 # number of ReadReq miss cycles
+system.iocache.demand_miss_latency::pc.south_bridge.ide 140842436 # number of demand (read+write) miss cycles
+system.iocache.demand_miss_latency::total 140842436 # number of demand (read+write) miss cycles
+system.iocache.overall_miss_latency::pc.south_bridge.ide 140842436 # number of overall miss cycles
+system.iocache.overall_miss_latency::total 140842436 # number of overall miss cycles
+system.iocache.ReadReq_accesses::pc.south_bridge.ide 839 # number of ReadReq accesses(hits+misses)
+system.iocache.ReadReq_accesses::total 839 # number of ReadReq accesses(hits+misses)
system.iocache.WriteInvalidateReq_accesses::pc.south_bridge.ide 46720 # number of WriteInvalidateReq accesses(hits+misses)
system.iocache.WriteInvalidateReq_accesses::total 46720 # number of WriteInvalidateReq accesses(hits+misses)
-system.iocache.demand_accesses::pc.south_bridge.ide 844 # number of demand (read+write) accesses
-system.iocache.demand_accesses::total 844 # number of demand (read+write) accesses
-system.iocache.overall_accesses::pc.south_bridge.ide 844 # number of overall (read+write) accesses
-system.iocache.overall_accesses::total 844 # number of overall (read+write) accesses
+system.iocache.demand_accesses::pc.south_bridge.ide 839 # number of demand (read+write) accesses
+system.iocache.demand_accesses::total 839 # number of demand (read+write) accesses
+system.iocache.overall_accesses::pc.south_bridge.ide 839 # number of overall (read+write) accesses
+system.iocache.overall_accesses::total 839 # number of overall (read+write) accesses
system.iocache.ReadReq_miss_rate::pc.south_bridge.ide 1 # miss rate for ReadReq accesses
system.iocache.ReadReq_miss_rate::total 1 # miss rate for ReadReq accesses
system.iocache.demand_miss_rate::pc.south_bridge.ide 1 # miss rate for demand accesses
system.iocache.demand_miss_rate::total 1 # miss rate for demand accesses
system.iocache.overall_miss_rate::pc.south_bridge.ide 1 # miss rate for overall accesses
system.iocache.overall_miss_rate::total 1 # miss rate for overall accesses
-system.iocache.ReadReq_avg_miss_latency::pc.south_bridge.ide 167297.613744 # average ReadReq miss latency
-system.iocache.ReadReq_avg_miss_latency::total 167297.613744 # average ReadReq miss latency
-system.iocache.demand_avg_miss_latency::pc.south_bridge.ide 167297.613744 # average overall miss latency
-system.iocache.demand_avg_miss_latency::total 167297.613744 # average overall miss latency
-system.iocache.overall_avg_miss_latency::pc.south_bridge.ide 167297.613744 # average overall miss latency
-system.iocache.overall_avg_miss_latency::total 167297.613744 # average overall miss latency
+system.iocache.ReadReq_avg_miss_latency::pc.south_bridge.ide 167869.411204 # average ReadReq miss latency
+system.iocache.ReadReq_avg_miss_latency::total 167869.411204 # average ReadReq miss latency
+system.iocache.demand_avg_miss_latency::pc.south_bridge.ide 167869.411204 # average overall miss latency
+system.iocache.demand_avg_miss_latency::total 167869.411204 # average overall miss latency
+system.iocache.overall_avg_miss_latency::pc.south_bridge.ide 167869.411204 # average overall miss latency
+system.iocache.overall_avg_miss_latency::total 167869.411204 # average overall miss latency
system.iocache.blocked_cycles::no_mshrs 471 # number of cycles access was blocked
system.iocache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.iocache.blocked::no_mshrs 39 # number of cycles access was blocked
@@ -393,22 +402,22 @@ system.iocache.avg_blocked_cycles::no_mshrs 12.076923 #
system.iocache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.iocache.fast_writes 46720 # number of fast writes performed
system.iocache.cache_copies 0 # number of cache copies performed
-system.iocache.ReadReq_mshr_misses::pc.south_bridge.ide 844 # number of ReadReq MSHR misses
-system.iocache.ReadReq_mshr_misses::total 844 # number of ReadReq MSHR misses
+system.iocache.ReadReq_mshr_misses::pc.south_bridge.ide 839 # number of ReadReq MSHR misses
+system.iocache.ReadReq_mshr_misses::total 839 # number of ReadReq MSHR misses
system.iocache.WriteInvalidateReq_mshr_misses::pc.south_bridge.ide 46720 # number of WriteInvalidateReq MSHR misses
system.iocache.WriteInvalidateReq_mshr_misses::total 46720 # number of WriteInvalidateReq MSHR misses
-system.iocache.demand_mshr_misses::pc.south_bridge.ide 844 # number of demand (read+write) MSHR misses
-system.iocache.demand_mshr_misses::total 844 # number of demand (read+write) MSHR misses
-system.iocache.overall_mshr_misses::pc.south_bridge.ide 844 # number of overall MSHR misses
-system.iocache.overall_mshr_misses::total 844 # number of overall MSHR misses
-system.iocache.ReadReq_mshr_miss_latency::pc.south_bridge.ide 97286186 # number of ReadReq MSHR miss cycles
-system.iocache.ReadReq_mshr_miss_latency::total 97286186 # number of ReadReq MSHR miss cycles
-system.iocache.WriteInvalidateReq_mshr_miss_latency::pc.south_bridge.ide 2834928162 # number of WriteInvalidateReq MSHR miss cycles
-system.iocache.WriteInvalidateReq_mshr_miss_latency::total 2834928162 # number of WriteInvalidateReq MSHR miss cycles
-system.iocache.demand_mshr_miss_latency::pc.south_bridge.ide 97286186 # number of demand (read+write) MSHR miss cycles
-system.iocache.demand_mshr_miss_latency::total 97286186 # number of demand (read+write) MSHR miss cycles
-system.iocache.overall_mshr_miss_latency::pc.south_bridge.ide 97286186 # number of overall MSHR miss cycles
-system.iocache.overall_mshr_miss_latency::total 97286186 # number of overall MSHR miss cycles
+system.iocache.demand_mshr_misses::pc.south_bridge.ide 839 # number of demand (read+write) MSHR misses
+system.iocache.demand_mshr_misses::total 839 # number of demand (read+write) MSHR misses
+system.iocache.overall_mshr_misses::pc.south_bridge.ide 839 # number of overall MSHR misses
+system.iocache.overall_mshr_misses::total 839 # number of overall MSHR misses
+system.iocache.ReadReq_mshr_miss_latency::pc.south_bridge.ide 97188936 # number of ReadReq MSHR miss cycles
+system.iocache.ReadReq_mshr_miss_latency::total 97188936 # number of ReadReq MSHR miss cycles
+system.iocache.WriteInvalidateReq_mshr_miss_latency::pc.south_bridge.ide 2836981412 # number of WriteInvalidateReq MSHR miss cycles
+system.iocache.WriteInvalidateReq_mshr_miss_latency::total 2836981412 # number of WriteInvalidateReq MSHR miss cycles
+system.iocache.demand_mshr_miss_latency::pc.south_bridge.ide 97188936 # number of demand (read+write) MSHR miss cycles
+system.iocache.demand_mshr_miss_latency::total 97188936 # number of demand (read+write) MSHR miss cycles
+system.iocache.overall_mshr_miss_latency::pc.south_bridge.ide 97188936 # number of overall MSHR miss cycles
+system.iocache.overall_mshr_miss_latency::total 97188936 # number of overall MSHR miss cycles
system.iocache.ReadReq_mshr_miss_rate::pc.south_bridge.ide 1 # mshr miss rate for ReadReq accesses
system.iocache.ReadReq_mshr_miss_rate::total 1 # mshr miss rate for ReadReq accesses
system.iocache.WriteInvalidateReq_mshr_miss_rate::pc.south_bridge.ide 1 # mshr miss rate for WriteInvalidateReq accesses
@@ -417,14 +426,14 @@ system.iocache.demand_mshr_miss_rate::pc.south_bridge.ide 1
system.iocache.demand_mshr_miss_rate::total 1 # mshr miss rate for demand accesses
system.iocache.overall_mshr_miss_rate::pc.south_bridge.ide 1 # mshr miss rate for overall accesses
system.iocache.overall_mshr_miss_rate::total 1 # mshr miss rate for overall accesses
-system.iocache.ReadReq_avg_mshr_miss_latency::pc.south_bridge.ide 115267.992891 # average ReadReq mshr miss latency
-system.iocache.ReadReq_avg_mshr_miss_latency::total 115267.992891 # average ReadReq mshr miss latency
-system.iocache.WriteInvalidateReq_avg_mshr_miss_latency::pc.south_bridge.ide 60679.113057 # average WriteInvalidateReq mshr miss latency
-system.iocache.WriteInvalidateReq_avg_mshr_miss_latency::total 60679.113057 # average WriteInvalidateReq mshr miss latency
-system.iocache.demand_avg_mshr_miss_latency::pc.south_bridge.ide 115267.992891 # average overall mshr miss latency
-system.iocache.demand_avg_mshr_miss_latency::total 115267.992891 # average overall mshr miss latency
-system.iocache.overall_avg_mshr_miss_latency::pc.south_bridge.ide 115267.992891 # average overall mshr miss latency
-system.iocache.overall_avg_mshr_miss_latency::total 115267.992891 # average overall mshr miss latency
+system.iocache.ReadReq_avg_mshr_miss_latency::pc.south_bridge.ide 115839.017878 # average ReadReq mshr miss latency
+system.iocache.ReadReq_avg_mshr_miss_latency::total 115839.017878 # average ReadReq mshr miss latency
+system.iocache.WriteInvalidateReq_avg_mshr_miss_latency::pc.south_bridge.ide 60723.061045 # average WriteInvalidateReq mshr miss latency
+system.iocache.WriteInvalidateReq_avg_mshr_miss_latency::total 60723.061045 # average WriteInvalidateReq mshr miss latency
+system.iocache.demand_avg_mshr_miss_latency::pc.south_bridge.ide 115839.017878 # average overall mshr miss latency
+system.iocache.demand_avg_mshr_miss_latency::total 115839.017878 # average overall mshr miss latency
+system.iocache.overall_avg_mshr_miss_latency::pc.south_bridge.ide 115839.017878 # average overall mshr miss latency
+system.iocache.overall_avg_mshr_miss_latency::total 115839.017878 # average overall mshr miss latency
system.iocache.no_allocate_misses 0 # Number of misses that were no-allocate
system.pc.south_bridge.ide.disks0.dma_read_full_pages 0 # Number of full page size DMA reads (not PRD).
system.pc.south_bridge.ide.disks0.dma_read_bytes 34816 # Number of bytes transfered via DMA reads (not PRD).
@@ -438,9 +447,8 @@ system.pc.south_bridge.ide.disks1.dma_read_txs 0
system.pc.south_bridge.ide.disks1.dma_write_full_pages 1 # Number of full page size DMA writes.
system.pc.south_bridge.ide.disks1.dma_write_bytes 4096 # Number of bytes transfered via DMA writes.
system.pc.south_bridge.ide.disks1.dma_write_txs 1 # Number of DMA write transactions.
-system.iobus.throughput 631746 # Throughput (bytes/s)
-system.iobus.trans_dist::ReadReq 230149 # Transaction distribution
-system.iobus.trans_dist::ReadResp 230149 # Transaction distribution
+system.iobus.trans_dist::ReadReq 230144 # Transaction distribution
+system.iobus.trans_dist::ReadResp 230144 # Transaction distribution
system.iobus.trans_dist::WriteReq 57579 # Transaction distribution
system.iobus.trans_dist::WriteResp 57579 # Transaction distribution
system.iobus.trans_dist::MessageReq 1654 # Transaction distribution
@@ -464,36 +472,35 @@ system.iobus.pkt_count_system.bridge.master::system.pc.fake_com_4.pio
system.iobus.pkt_count_system.bridge.master::system.pc.fake_floppy.pio 10 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::system.pc.pciconfig.pio 2128 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.bridge.master::total 480328 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count_system.pc.south_bridge.ide.dma::system.iocache.cpu_side 95128 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count_system.pc.south_bridge.ide.dma::total 95128 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.pc.south_bridge.ide.dma::system.iocache.cpu_side 95118 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_count_system.pc.south_bridge.ide.dma::total 95118 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.pc.south_bridge.io_apic.int_master::system.apicbridge.slave 3308 # Packet count per connected master and slave (bytes)
system.iobus.pkt_count_system.pc.south_bridge.io_apic.int_master::total 3308 # Packet count per connected master and slave (bytes)
-system.iobus.pkt_count::total 578764 # Packet count per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.south_bridge.cmos.pio 22 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.south_bridge.dma1.pio 3 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.south_bridge.ide.pio 6686 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.south_bridge.ide-pciconf 221 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.south_bridge.keyboard.pio 682 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.south_bridge.pic1.pio 43 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.south_bridge.pic2.pio 27 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.south_bridge.pit.pio 15 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.south_bridge.speaker.pio 218342 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.south_bridge.io_apic.pio 2420 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.i_dont_exist.pio 85 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.behind_pci.pio 1 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.com_1.pio 13618 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.fake_com_2.pio 6 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.fake_com_3.pio 6 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.fake_com_4.pio 6 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.fake_floppy.pio 5 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::system.pc.pciconfig.pio 4256 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.bridge.master::total 246444 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.pc.south_bridge.ide.dma::system.iocache.cpu_side 3027296 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.pc.south_bridge.ide.dma::total 3027296 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.pc.south_bridge.io_apic.int_master::system.apicbridge.slave 6616 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size_system.pc.south_bridge.io_apic.int_master::total 6616 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.tot_pkt_size::total 3280356 # Cumulative packet size per connected master and slave (bytes)
-system.iobus.data_through_bus 3280356 # Total data (bytes)
+system.iobus.pkt_count::total 578754 # Packet count per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.cmos.pio 22 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.dma1.pio 3 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.ide.pio 6686 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.ide-pciconf 221 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.keyboard.pio 682 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.pic1.pio 43 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.pic2.pio 27 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.pit.pio 15 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.speaker.pio 218342 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.south_bridge.io_apic.pio 2420 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.i_dont_exist.pio 85 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.behind_pci.pio 1 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.com_1.pio 13618 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.fake_com_2.pio 6 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.fake_com_3.pio 6 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.fake_com_4.pio 6 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.fake_floppy.pio 5 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::system.pc.pciconfig.pio 4256 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.bridge.master::total 246444 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.pc.south_bridge.ide.dma::system.iocache.cpu_side 3027256 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.pc.south_bridge.ide.dma::total 3027256 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.pc.south_bridge.io_apic.int_master::system.apicbridge.slave 6616 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size_system.pc.south_bridge.io_apic.int_master::total 6616 # Cumulative packet size per connected master and slave (bytes)
+system.iobus.pkt_size::total 3280316 # Cumulative packet size per connected master and slave (bytes)
system.iobus.reqLayer0.occupancy 3944816 # Layer occupancy (ticks)
system.iobus.reqLayer0.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer1.occupancy 34000 # Layer occupancy (ticks)
@@ -530,47 +537,47 @@ system.iobus.reqLayer16.occupancy 9000 # La
system.iobus.reqLayer16.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer17.occupancy 10000 # Layer occupancy (ticks)
system.iobus.reqLayer17.utilization 0.0 # Layer utilization (%)
-system.iobus.reqLayer18.occupancy 421898846 # Layer occupancy (ticks)
+system.iobus.reqLayer18.occupancy 421888846 # Layer occupancy (ticks)
system.iobus.reqLayer18.utilization 0.0 # Layer utilization (%)
system.iobus.reqLayer19.occupancy 1064000 # Layer occupancy (ticks)
system.iobus.reqLayer19.utilization 0.0 # Layer utilization (%)
system.iobus.respLayer0.occupancy 469469000 # Layer occupancy (ticks)
system.iobus.respLayer0.utilization 0.0 # Layer utilization (%)
-system.iobus.respLayer1.occupancy 52228502 # Layer occupancy (ticks)
+system.iobus.respLayer1.occupancy 52218502 # Layer occupancy (ticks)
system.iobus.respLayer1.utilization 0.0 # Layer utilization (%)
system.iobus.respLayer2.occupancy 1654000 # Layer occupancy (ticks)
system.iobus.respLayer2.utilization 0.0 # Layer utilization (%)
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.apic_clk_domain.clock 8000 # Clock period in ticks
-system.cpu.numCycles 10385052466 # number of cpu cycles simulated
+system.cpu.numCycles 10385022088 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.committedInsts 128336778 # Number of instructions committed
-system.cpu.committedOps 247387190 # Number of ops (including micro ops) committed
-system.cpu.num_int_alu_accesses 231979854 # Number of integer alu accesses
+system.cpu.committedInsts 128310974 # Number of instructions committed
+system.cpu.committedOps 247343919 # Number of ops (including micro ops) committed
+system.cpu.num_int_alu_accesses 231936467 # Number of integer alu accesses
system.cpu.num_fp_alu_accesses 0 # Number of float alu accesses
-system.cpu.num_func_calls 2299861 # number of times a function call or return occured
-system.cpu.num_conditional_control_insts 23168822 # number of instructions that are conditional controls
-system.cpu.num_int_insts 231979854 # number of integer instructions
+system.cpu.num_func_calls 2299885 # number of times a function call or return occured
+system.cpu.num_conditional_control_insts 23161985 # number of instructions that are conditional controls
+system.cpu.num_int_insts 231936467 # number of integer instructions
system.cpu.num_fp_insts 0 # number of float instructions
-system.cpu.num_int_register_reads 434516750 # number of times the integer registers were read
-system.cpu.num_int_register_writes 197854064 # number of times the integer registers were written
+system.cpu.num_int_register_reads 434450917 # number of times the integer registers were read
+system.cpu.num_int_register_writes 197819265 # number of times the integer registers were written
system.cpu.num_fp_register_reads 0 # number of times the floating registers were read
system.cpu.num_fp_register_writes 0 # number of times the floating registers were written
-system.cpu.num_cc_register_reads 132811657 # number of times the CC registers were read
-system.cpu.num_cc_register_writes 95534544 # number of times the CC registers were written
-system.cpu.num_mem_refs 22246380 # number of memory refs
-system.cpu.num_load_insts 13880618 # Number of load instructions
-system.cpu.num_store_insts 8365762 # Number of store instructions
-system.cpu.num_idle_cycles 9788359567.998116 # Number of idle cycles
-system.cpu.num_busy_cycles 596692898.001885 # Number of busy cycles
-system.cpu.not_idle_fraction 0.057457 # Percentage of non-idle cycles
-system.cpu.idle_fraction 0.942543 # Percentage of idle cycles
-system.cpu.Branches 26306776 # Number of branches fetched
-system.cpu.op_class::No_OpClass 174693 0.07% 0.07% # Class of executed instruction
-system.cpu.op_class::IntAlu 224704760 90.83% 90.90% # Class of executed instruction
-system.cpu.op_class::IntMult 139946 0.06% 90.96% # Class of executed instruction
-system.cpu.op_class::IntDiv 122983 0.05% 91.01% # Class of executed instruction
+system.cpu.num_cc_register_reads 132769519 # number of times the CC registers were read
+system.cpu.num_cc_register_writes 95505601 # number of times the CC registers were written
+system.cpu.num_mem_refs 22243286 # number of memory refs
+system.cpu.num_load_insts 13879256 # Number of load instructions
+system.cpu.num_store_insts 8364030 # Number of store instructions
+system.cpu.num_idle_cycles 9788400874.998116 # Number of idle cycles
+system.cpu.num_busy_cycles 596621213.001885 # Number of busy cycles
+system.cpu.not_idle_fraction 0.057450 # Percentage of non-idle cycles
+system.cpu.idle_fraction 0.942550 # Percentage of idle cycles
+system.cpu.Branches 26299942 # Number of branches fetched
+system.cpu.op_class::No_OpClass 174748 0.07% 0.07% # Class of executed instruction
+system.cpu.op_class::IntAlu 224664535 90.83% 90.90% # Class of executed instruction
+system.cpu.op_class::IntMult 139903 0.06% 90.96% # Class of executed instruction
+system.cpu.op_class::IntDiv 122942 0.05% 91.01% # Class of executed instruction
system.cpu.op_class::FloatAdd 0 0.00% 91.01% # Class of executed instruction
system.cpu.op_class::FloatCmp 0 0.00% 91.01% # Class of executed instruction
system.cpu.op_class::FloatCvt 0 0.00% 91.01% # Class of executed instruction
@@ -597,66 +604,66 @@ system.cpu.op_class::SimdFloatMisc 0 0.00% 91.01% # Cl
system.cpu.op_class::SimdFloatMult 0 0.00% 91.01% # Class of executed instruction
system.cpu.op_class::SimdFloatMultAcc 0 0.00% 91.01% # Class of executed instruction
system.cpu.op_class::SimdFloatSqrt 0 0.00% 91.01% # Class of executed instruction
-system.cpu.op_class::MemRead 13880618 5.61% 96.62% # Class of executed instruction
-system.cpu.op_class::MemWrite 8365762 3.38% 100.00% # Class of executed instruction
+system.cpu.op_class::MemRead 13879256 5.61% 96.62% # Class of executed instruction
+system.cpu.op_class::MemWrite 8364030 3.38% 100.00% # Class of executed instruction
system.cpu.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
system.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu.op_class::total 247388762 # Class of executed instruction
+system.cpu.op_class::total 247345414 # Class of executed instruction
system.cpu.kern.inst.arm 0 # number of arm instructions executed
system.cpu.kern.inst.quiesce 0 # number of quiesce instructions executed
-system.cpu.icache.tags.replacements 794564 # number of replacements
-system.cpu.icache.tags.tagsinuse 510.353610 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 144580687 # Total number of references to valid blocks.
-system.cpu.icache.tags.sampled_refs 795076 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 181.845115 # Average number of references to valid blocks.
-system.cpu.icache.tags.warmup_cycle 161037642250 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 510.353610 # Average occupied blocks per requestor
+system.cpu.icache.tags.replacements 790109 # number of replacements
+system.cpu.icache.tags.tagsinuse 510.353605 # Cycle average of tags in use
+system.cpu.icache.tags.total_refs 144545821 # Total number of references to valid blocks.
+system.cpu.icache.tags.sampled_refs 790621 # Sample count of references to valid blocks.
+system.cpu.icache.tags.avg_refs 182.825679 # Average number of references to valid blocks.
+system.cpu.icache.tags.warmup_cycle 161037022250 # Cycle when the warmup percentage was hit.
+system.cpu.icache.tags.occ_blocks::cpu.inst 510.353605 # Average occupied blocks per requestor
system.cpu.icache.tags.occ_percent::cpu.inst 0.996784 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_percent::total 0.996784 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::0 53 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::1 159 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::2 295 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::0 56 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::1 154 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::2 297 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::3 5 # Occupied blocks per task id
system.cpu.icache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 146170853 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 146170853 # Number of data accesses
-system.cpu.icache.ReadReq_hits::cpu.inst 144580687 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 144580687 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 144580687 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 144580687 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 144580687 # number of overall hits
-system.cpu.icache.overall_hits::total 144580687 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 795083 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 795083 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 795083 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 795083 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 795083 # number of overall misses
-system.cpu.icache.overall_misses::total 795083 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 11158319369 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 11158319369 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 11158319369 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 11158319369 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 11158319369 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 11158319369 # number of overall miss cycles
-system.cpu.icache.ReadReq_accesses::cpu.inst 145375770 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.ReadReq_accesses::total 145375770 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.demand_accesses::cpu.inst 145375770 # number of demand (read+write) accesses
-system.cpu.icache.demand_accesses::total 145375770 # number of demand (read+write) accesses
-system.cpu.icache.overall_accesses::cpu.inst 145375770 # number of overall (read+write) accesses
-system.cpu.icache.overall_accesses::total 145375770 # number of overall (read+write) accesses
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.005469 # miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_miss_rate::total 0.005469 # miss rate for ReadReq accesses
-system.cpu.icache.demand_miss_rate::cpu.inst 0.005469 # miss rate for demand accesses
-system.cpu.icache.demand_miss_rate::total 0.005469 # miss rate for demand accesses
-system.cpu.icache.overall_miss_rate::cpu.inst 0.005469 # miss rate for overall accesses
-system.cpu.icache.overall_miss_rate::total 0.005469 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 14034.156647 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 14034.156647 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 14034.156647 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 14034.156647 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 14034.156647 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 14034.156647 # average overall miss latency
+system.cpu.icache.tags.tag_accesses 146127077 # Number of tag accesses
+system.cpu.icache.tags.data_accesses 146127077 # Number of data accesses
+system.cpu.icache.ReadReq_hits::cpu.inst 144545821 # number of ReadReq hits
+system.cpu.icache.ReadReq_hits::total 144545821 # number of ReadReq hits
+system.cpu.icache.demand_hits::cpu.inst 144545821 # number of demand (read+write) hits
+system.cpu.icache.demand_hits::total 144545821 # number of demand (read+write) hits
+system.cpu.icache.overall_hits::cpu.inst 144545821 # number of overall hits
+system.cpu.icache.overall_hits::total 144545821 # number of overall hits
+system.cpu.icache.ReadReq_misses::cpu.inst 790628 # number of ReadReq misses
+system.cpu.icache.ReadReq_misses::total 790628 # number of ReadReq misses
+system.cpu.icache.demand_misses::cpu.inst 790628 # number of demand (read+write) misses
+system.cpu.icache.demand_misses::total 790628 # number of demand (read+write) misses
+system.cpu.icache.overall_misses::cpu.inst 790628 # number of overall misses
+system.cpu.icache.overall_misses::total 790628 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 11108318120 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 11108318120 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 11108318120 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 11108318120 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 11108318120 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 11108318120 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses::cpu.inst 145336449 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.ReadReq_accesses::total 145336449 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses::cpu.inst 145336449 # number of demand (read+write) accesses
+system.cpu.icache.demand_accesses::total 145336449 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses::cpu.inst 145336449 # number of overall (read+write) accesses
+system.cpu.icache.overall_accesses::total 145336449 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.005440 # miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_miss_rate::total 0.005440 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate::cpu.inst 0.005440 # miss rate for demand accesses
+system.cpu.icache.demand_miss_rate::total 0.005440 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate::cpu.inst 0.005440 # miss rate for overall accesses
+system.cpu.icache.overall_miss_rate::total 0.005440 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 14049.993322 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 14049.993322 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 14049.993322 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 14049.993322 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 14049.993322 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 14049.993322 # average overall miss latency
system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -665,87 +672,87 @@ system.cpu.icache.avg_blocked_cycles::no_mshrs nan
system.cpu.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.icache.fast_writes 0 # number of fast writes performed
system.cpu.icache.cache_copies 0 # number of cache copies performed
-system.cpu.icache.ReadReq_mshr_misses::cpu.inst 795083 # number of ReadReq MSHR misses
-system.cpu.icache.ReadReq_mshr_misses::total 795083 # number of ReadReq MSHR misses
-system.cpu.icache.demand_mshr_misses::cpu.inst 795083 # number of demand (read+write) MSHR misses
-system.cpu.icache.demand_mshr_misses::total 795083 # number of demand (read+write) MSHR misses
-system.cpu.icache.overall_mshr_misses::cpu.inst 795083 # number of overall MSHR misses
-system.cpu.icache.overall_mshr_misses::total 795083 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 9563233631 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 9563233631 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 9563233631 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 9563233631 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 9563233631 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 9563233631 # number of overall MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.005469 # mshr miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.005469 # mshr miss rate for ReadReq accesses
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.005469 # mshr miss rate for demand accesses
-system.cpu.icache.demand_mshr_miss_rate::total 0.005469 # mshr miss rate for demand accesses
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.005469 # mshr miss rate for overall accesses
-system.cpu.icache.overall_mshr_miss_rate::total 0.005469 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 12027.968943 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 12027.968943 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 12027.968943 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 12027.968943 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 12027.968943 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 12027.968943 # average overall mshr miss latency
+system.cpu.icache.ReadReq_mshr_misses::cpu.inst 790628 # number of ReadReq MSHR misses
+system.cpu.icache.ReadReq_mshr_misses::total 790628 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses::cpu.inst 790628 # number of demand (read+write) MSHR misses
+system.cpu.icache.demand_mshr_misses::total 790628 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses::cpu.inst 790628 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_misses::total 790628 # number of overall MSHR misses
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 9522182380 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 9522182380 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 9522182380 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 9522182380 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 9522182380 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 9522182380 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.005440 # mshr miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_mshr_miss_rate::total 0.005440 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.005440 # mshr miss rate for demand accesses
+system.cpu.icache.demand_mshr_miss_rate::total 0.005440 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.005440 # mshr miss rate for overall accesses
+system.cpu.icache.overall_mshr_miss_rate::total 0.005440 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 12043.821342 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 12043.821342 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 12043.821342 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 12043.821342 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 12043.821342 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 12043.821342 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.itb_walker_cache.tags.replacements 3511 # number of replacements
-system.cpu.itb_walker_cache.tags.tagsinuse 3.067889 # Cycle average of tags in use
-system.cpu.itb_walker_cache.tags.total_refs 7844 # Total number of references to valid blocks.
-system.cpu.itb_walker_cache.tags.sampled_refs 3523 # Sample count of references to valid blocks.
-system.cpu.itb_walker_cache.tags.avg_refs 2.226511 # Average number of references to valid blocks.
-system.cpu.itb_walker_cache.tags.warmup_cycle 5164932679000 # Cycle when the warmup percentage was hit.
-system.cpu.itb_walker_cache.tags.occ_blocks::cpu.itb.walker 3.067889 # Average occupied blocks per requestor
-system.cpu.itb_walker_cache.tags.occ_percent::cpu.itb.walker 0.191743 # Average percentage of cache occupancy
-system.cpu.itb_walker_cache.tags.occ_percent::total 0.191743 # Average percentage of cache occupancy
-system.cpu.itb_walker_cache.tags.occ_task_id_blocks::1024 12 # Occupied blocks per task id
-system.cpu.itb_walker_cache.tags.age_task_id_blocks_1024::0 4 # Occupied blocks per task id
-system.cpu.itb_walker_cache.tags.age_task_id_blocks_1024::1 3 # Occupied blocks per task id
-system.cpu.itb_walker_cache.tags.age_task_id_blocks_1024::2 5 # Occupied blocks per task id
-system.cpu.itb_walker_cache.tags.occ_task_id_percent::1024 0.750000 # Percentage of cache occupancy per task id
-system.cpu.itb_walker_cache.tags.tag_accesses 28837 # Number of tag accesses
-system.cpu.itb_walker_cache.tags.data_accesses 28837 # Number of data accesses
-system.cpu.itb_walker_cache.ReadReq_hits::cpu.itb.walker 7845 # number of ReadReq hits
-system.cpu.itb_walker_cache.ReadReq_hits::total 7845 # number of ReadReq hits
+system.cpu.itb_walker_cache.tags.replacements 3485 # number of replacements
+system.cpu.itb_walker_cache.tags.tagsinuse 3.066895 # Cycle average of tags in use
+system.cpu.itb_walker_cache.tags.total_refs 7845 # Total number of references to valid blocks.
+system.cpu.itb_walker_cache.tags.sampled_refs 3494 # Sample count of references to valid blocks.
+system.cpu.itb_walker_cache.tags.avg_refs 2.245278 # Average number of references to valid blocks.
+system.cpu.itb_walker_cache.tags.warmup_cycle 5167508806000 # Cycle when the warmup percentage was hit.
+system.cpu.itb_walker_cache.tags.occ_blocks::cpu.itb.walker 3.066895 # Average occupied blocks per requestor
+system.cpu.itb_walker_cache.tags.occ_percent::cpu.itb.walker 0.191681 # Average percentage of cache occupancy
+system.cpu.itb_walker_cache.tags.occ_percent::total 0.191681 # Average percentage of cache occupancy
+system.cpu.itb_walker_cache.tags.occ_task_id_blocks::1024 9 # Occupied blocks per task id
+system.cpu.itb_walker_cache.tags.age_task_id_blocks_1024::0 3 # Occupied blocks per task id
+system.cpu.itb_walker_cache.tags.age_task_id_blocks_1024::1 2 # Occupied blocks per task id
+system.cpu.itb_walker_cache.tags.age_task_id_blocks_1024::2 4 # Occupied blocks per task id
+system.cpu.itb_walker_cache.tags.occ_task_id_percent::1024 0.562500 # Percentage of cache occupancy per task id
+system.cpu.itb_walker_cache.tags.tag_accesses 28811 # Number of tag accesses
+system.cpu.itb_walker_cache.tags.data_accesses 28811 # Number of data accesses
+system.cpu.itb_walker_cache.ReadReq_hits::cpu.itb.walker 7868 # number of ReadReq hits
+system.cpu.itb_walker_cache.ReadReq_hits::total 7868 # number of ReadReq hits
system.cpu.itb_walker_cache.WriteReq_hits::cpu.itb.walker 2 # number of WriteReq hits
system.cpu.itb_walker_cache.WriteReq_hits::total 2 # number of WriteReq hits
-system.cpu.itb_walker_cache.demand_hits::cpu.itb.walker 7847 # number of demand (read+write) hits
-system.cpu.itb_walker_cache.demand_hits::total 7847 # number of demand (read+write) hits
-system.cpu.itb_walker_cache.overall_hits::cpu.itb.walker 7847 # number of overall hits
-system.cpu.itb_walker_cache.overall_hits::total 7847 # number of overall hits
-system.cpu.itb_walker_cache.ReadReq_misses::cpu.itb.walker 4381 # number of ReadReq misses
-system.cpu.itb_walker_cache.ReadReq_misses::total 4381 # number of ReadReq misses
-system.cpu.itb_walker_cache.demand_misses::cpu.itb.walker 4381 # number of demand (read+write) misses
-system.cpu.itb_walker_cache.demand_misses::total 4381 # number of demand (read+write) misses
-system.cpu.itb_walker_cache.overall_misses::cpu.itb.walker 4381 # number of overall misses
-system.cpu.itb_walker_cache.overall_misses::total 4381 # number of overall misses
-system.cpu.itb_walker_cache.ReadReq_miss_latency::cpu.itb.walker 43773750 # number of ReadReq miss cycles
-system.cpu.itb_walker_cache.ReadReq_miss_latency::total 43773750 # number of ReadReq miss cycles
-system.cpu.itb_walker_cache.demand_miss_latency::cpu.itb.walker 43773750 # number of demand (read+write) miss cycles
-system.cpu.itb_walker_cache.demand_miss_latency::total 43773750 # number of demand (read+write) miss cycles
-system.cpu.itb_walker_cache.overall_miss_latency::cpu.itb.walker 43773750 # number of overall miss cycles
-system.cpu.itb_walker_cache.overall_miss_latency::total 43773750 # number of overall miss cycles
-system.cpu.itb_walker_cache.ReadReq_accesses::cpu.itb.walker 12226 # number of ReadReq accesses(hits+misses)
-system.cpu.itb_walker_cache.ReadReq_accesses::total 12226 # number of ReadReq accesses(hits+misses)
+system.cpu.itb_walker_cache.demand_hits::cpu.itb.walker 7870 # number of demand (read+write) hits
+system.cpu.itb_walker_cache.demand_hits::total 7870 # number of demand (read+write) hits
+system.cpu.itb_walker_cache.overall_hits::cpu.itb.walker 7870 # number of overall hits
+system.cpu.itb_walker_cache.overall_hits::total 7870 # number of overall hits
+system.cpu.itb_walker_cache.ReadReq_misses::cpu.itb.walker 4357 # number of ReadReq misses
+system.cpu.itb_walker_cache.ReadReq_misses::total 4357 # number of ReadReq misses
+system.cpu.itb_walker_cache.demand_misses::cpu.itb.walker 4357 # number of demand (read+write) misses
+system.cpu.itb_walker_cache.demand_misses::total 4357 # number of demand (read+write) misses
+system.cpu.itb_walker_cache.overall_misses::cpu.itb.walker 4357 # number of overall misses
+system.cpu.itb_walker_cache.overall_misses::total 4357 # number of overall misses
+system.cpu.itb_walker_cache.ReadReq_miss_latency::cpu.itb.walker 43584500 # number of ReadReq miss cycles
+system.cpu.itb_walker_cache.ReadReq_miss_latency::total 43584500 # number of ReadReq miss cycles
+system.cpu.itb_walker_cache.demand_miss_latency::cpu.itb.walker 43584500 # number of demand (read+write) miss cycles
+system.cpu.itb_walker_cache.demand_miss_latency::total 43584500 # number of demand (read+write) miss cycles
+system.cpu.itb_walker_cache.overall_miss_latency::cpu.itb.walker 43584500 # number of overall miss cycles
+system.cpu.itb_walker_cache.overall_miss_latency::total 43584500 # number of overall miss cycles
+system.cpu.itb_walker_cache.ReadReq_accesses::cpu.itb.walker 12225 # number of ReadReq accesses(hits+misses)
+system.cpu.itb_walker_cache.ReadReq_accesses::total 12225 # number of ReadReq accesses(hits+misses)
system.cpu.itb_walker_cache.WriteReq_accesses::cpu.itb.walker 2 # number of WriteReq accesses(hits+misses)
system.cpu.itb_walker_cache.WriteReq_accesses::total 2 # number of WriteReq accesses(hits+misses)
-system.cpu.itb_walker_cache.demand_accesses::cpu.itb.walker 12228 # number of demand (read+write) accesses
-system.cpu.itb_walker_cache.demand_accesses::total 12228 # number of demand (read+write) accesses
-system.cpu.itb_walker_cache.overall_accesses::cpu.itb.walker 12228 # number of overall (read+write) accesses
-system.cpu.itb_walker_cache.overall_accesses::total 12228 # number of overall (read+write) accesses
-system.cpu.itb_walker_cache.ReadReq_miss_rate::cpu.itb.walker 0.358335 # miss rate for ReadReq accesses
-system.cpu.itb_walker_cache.ReadReq_miss_rate::total 0.358335 # miss rate for ReadReq accesses
-system.cpu.itb_walker_cache.demand_miss_rate::cpu.itb.walker 0.358276 # miss rate for demand accesses
-system.cpu.itb_walker_cache.demand_miss_rate::total 0.358276 # miss rate for demand accesses
-system.cpu.itb_walker_cache.overall_miss_rate::cpu.itb.walker 0.358276 # miss rate for overall accesses
-system.cpu.itb_walker_cache.overall_miss_rate::total 0.358276 # miss rate for overall accesses
-system.cpu.itb_walker_cache.ReadReq_avg_miss_latency::cpu.itb.walker 9991.725633 # average ReadReq miss latency
-system.cpu.itb_walker_cache.ReadReq_avg_miss_latency::total 9991.725633 # average ReadReq miss latency
-system.cpu.itb_walker_cache.demand_avg_miss_latency::cpu.itb.walker 9991.725633 # average overall miss latency
-system.cpu.itb_walker_cache.demand_avg_miss_latency::total 9991.725633 # average overall miss latency
-system.cpu.itb_walker_cache.overall_avg_miss_latency::cpu.itb.walker 9991.725633 # average overall miss latency
-system.cpu.itb_walker_cache.overall_avg_miss_latency::total 9991.725633 # average overall miss latency
+system.cpu.itb_walker_cache.demand_accesses::cpu.itb.walker 12227 # number of demand (read+write) accesses
+system.cpu.itb_walker_cache.demand_accesses::total 12227 # number of demand (read+write) accesses
+system.cpu.itb_walker_cache.overall_accesses::cpu.itb.walker 12227 # number of overall (read+write) accesses
+system.cpu.itb_walker_cache.overall_accesses::total 12227 # number of overall (read+write) accesses
+system.cpu.itb_walker_cache.ReadReq_miss_rate::cpu.itb.walker 0.356401 # miss rate for ReadReq accesses
+system.cpu.itb_walker_cache.ReadReq_miss_rate::total 0.356401 # miss rate for ReadReq accesses
+system.cpu.itb_walker_cache.demand_miss_rate::cpu.itb.walker 0.356343 # miss rate for demand accesses
+system.cpu.itb_walker_cache.demand_miss_rate::total 0.356343 # miss rate for demand accesses
+system.cpu.itb_walker_cache.overall_miss_rate::cpu.itb.walker 0.356343 # miss rate for overall accesses
+system.cpu.itb_walker_cache.overall_miss_rate::total 0.356343 # miss rate for overall accesses
+system.cpu.itb_walker_cache.ReadReq_avg_miss_latency::cpu.itb.walker 10003.327978 # average ReadReq miss latency
+system.cpu.itb_walker_cache.ReadReq_avg_miss_latency::total 10003.327978 # average ReadReq miss latency
+system.cpu.itb_walker_cache.demand_avg_miss_latency::cpu.itb.walker 10003.327978 # average overall miss latency
+system.cpu.itb_walker_cache.demand_avg_miss_latency::total 10003.327978 # average overall miss latency
+system.cpu.itb_walker_cache.overall_avg_miss_latency::cpu.itb.walker 10003.327978 # average overall miss latency
+system.cpu.itb_walker_cache.overall_avg_miss_latency::total 10003.327978 # average overall miss latency
system.cpu.itb_walker_cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.itb_walker_cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.itb_walker_cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -754,86 +761,86 @@ system.cpu.itb_walker_cache.avg_blocked_cycles::no_mshrs nan
system.cpu.itb_walker_cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.itb_walker_cache.fast_writes 0 # number of fast writes performed
system.cpu.itb_walker_cache.cache_copies 0 # number of cache copies performed
-system.cpu.itb_walker_cache.writebacks::writebacks 771 # number of writebacks
-system.cpu.itb_walker_cache.writebacks::total 771 # number of writebacks
-system.cpu.itb_walker_cache.ReadReq_mshr_misses::cpu.itb.walker 4381 # number of ReadReq MSHR misses
-system.cpu.itb_walker_cache.ReadReq_mshr_misses::total 4381 # number of ReadReq MSHR misses
-system.cpu.itb_walker_cache.demand_mshr_misses::cpu.itb.walker 4381 # number of demand (read+write) MSHR misses
-system.cpu.itb_walker_cache.demand_mshr_misses::total 4381 # number of demand (read+write) MSHR misses
-system.cpu.itb_walker_cache.overall_mshr_misses::cpu.itb.walker 4381 # number of overall MSHR misses
-system.cpu.itb_walker_cache.overall_mshr_misses::total 4381 # number of overall MSHR misses
-system.cpu.itb_walker_cache.ReadReq_mshr_miss_latency::cpu.itb.walker 35010250 # number of ReadReq MSHR miss cycles
-system.cpu.itb_walker_cache.ReadReq_mshr_miss_latency::total 35010250 # number of ReadReq MSHR miss cycles
-system.cpu.itb_walker_cache.demand_mshr_miss_latency::cpu.itb.walker 35010250 # number of demand (read+write) MSHR miss cycles
-system.cpu.itb_walker_cache.demand_mshr_miss_latency::total 35010250 # number of demand (read+write) MSHR miss cycles
-system.cpu.itb_walker_cache.overall_mshr_miss_latency::cpu.itb.walker 35010250 # number of overall MSHR miss cycles
-system.cpu.itb_walker_cache.overall_mshr_miss_latency::total 35010250 # number of overall MSHR miss cycles
-system.cpu.itb_walker_cache.ReadReq_mshr_miss_rate::cpu.itb.walker 0.358335 # mshr miss rate for ReadReq accesses
-system.cpu.itb_walker_cache.ReadReq_mshr_miss_rate::total 0.358335 # mshr miss rate for ReadReq accesses
-system.cpu.itb_walker_cache.demand_mshr_miss_rate::cpu.itb.walker 0.358276 # mshr miss rate for demand accesses
-system.cpu.itb_walker_cache.demand_mshr_miss_rate::total 0.358276 # mshr miss rate for demand accesses
-system.cpu.itb_walker_cache.overall_mshr_miss_rate::cpu.itb.walker 0.358276 # mshr miss rate for overall accesses
-system.cpu.itb_walker_cache.overall_mshr_miss_rate::total 0.358276 # mshr miss rate for overall accesses
-system.cpu.itb_walker_cache.ReadReq_avg_mshr_miss_latency::cpu.itb.walker 7991.383246 # average ReadReq mshr miss latency
-system.cpu.itb_walker_cache.ReadReq_avg_mshr_miss_latency::total 7991.383246 # average ReadReq mshr miss latency
-system.cpu.itb_walker_cache.demand_avg_mshr_miss_latency::cpu.itb.walker 7991.383246 # average overall mshr miss latency
-system.cpu.itb_walker_cache.demand_avg_mshr_miss_latency::total 7991.383246 # average overall mshr miss latency
-system.cpu.itb_walker_cache.overall_avg_mshr_miss_latency::cpu.itb.walker 7991.383246 # average overall mshr miss latency
-system.cpu.itb_walker_cache.overall_avg_mshr_miss_latency::total 7991.383246 # average overall mshr miss latency
+system.cpu.itb_walker_cache.writebacks::writebacks 747 # number of writebacks
+system.cpu.itb_walker_cache.writebacks::total 747 # number of writebacks
+system.cpu.itb_walker_cache.ReadReq_mshr_misses::cpu.itb.walker 4357 # number of ReadReq MSHR misses
+system.cpu.itb_walker_cache.ReadReq_mshr_misses::total 4357 # number of ReadReq MSHR misses
+system.cpu.itb_walker_cache.demand_mshr_misses::cpu.itb.walker 4357 # number of demand (read+write) MSHR misses
+system.cpu.itb_walker_cache.demand_mshr_misses::total 4357 # number of demand (read+write) MSHR misses
+system.cpu.itb_walker_cache.overall_mshr_misses::cpu.itb.walker 4357 # number of overall MSHR misses
+system.cpu.itb_walker_cache.overall_mshr_misses::total 4357 # number of overall MSHR misses
+system.cpu.itb_walker_cache.ReadReq_mshr_miss_latency::cpu.itb.walker 34868500 # number of ReadReq MSHR miss cycles
+system.cpu.itb_walker_cache.ReadReq_mshr_miss_latency::total 34868500 # number of ReadReq MSHR miss cycles
+system.cpu.itb_walker_cache.demand_mshr_miss_latency::cpu.itb.walker 34868500 # number of demand (read+write) MSHR miss cycles
+system.cpu.itb_walker_cache.demand_mshr_miss_latency::total 34868500 # number of demand (read+write) MSHR miss cycles
+system.cpu.itb_walker_cache.overall_mshr_miss_latency::cpu.itb.walker 34868500 # number of overall MSHR miss cycles
+system.cpu.itb_walker_cache.overall_mshr_miss_latency::total 34868500 # number of overall MSHR miss cycles
+system.cpu.itb_walker_cache.ReadReq_mshr_miss_rate::cpu.itb.walker 0.356401 # mshr miss rate for ReadReq accesses
+system.cpu.itb_walker_cache.ReadReq_mshr_miss_rate::total 0.356401 # mshr miss rate for ReadReq accesses
+system.cpu.itb_walker_cache.demand_mshr_miss_rate::cpu.itb.walker 0.356343 # mshr miss rate for demand accesses
+system.cpu.itb_walker_cache.demand_mshr_miss_rate::total 0.356343 # mshr miss rate for demand accesses
+system.cpu.itb_walker_cache.overall_mshr_miss_rate::cpu.itb.walker 0.356343 # mshr miss rate for overall accesses
+system.cpu.itb_walker_cache.overall_mshr_miss_rate::total 0.356343 # mshr miss rate for overall accesses
+system.cpu.itb_walker_cache.ReadReq_avg_mshr_miss_latency::cpu.itb.walker 8002.868947 # average ReadReq mshr miss latency
+system.cpu.itb_walker_cache.ReadReq_avg_mshr_miss_latency::total 8002.868947 # average ReadReq mshr miss latency
+system.cpu.itb_walker_cache.demand_avg_mshr_miss_latency::cpu.itb.walker 8002.868947 # average overall mshr miss latency
+system.cpu.itb_walker_cache.demand_avg_mshr_miss_latency::total 8002.868947 # average overall mshr miss latency
+system.cpu.itb_walker_cache.overall_avg_mshr_miss_latency::cpu.itb.walker 8002.868947 # average overall mshr miss latency
+system.cpu.itb_walker_cache.overall_avg_mshr_miss_latency::total 8002.868947 # average overall mshr miss latency
system.cpu.itb_walker_cache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.dtb_walker_cache.tags.replacements 7447 # number of replacements
-system.cpu.dtb_walker_cache.tags.tagsinuse 5.051866 # Cycle average of tags in use
-system.cpu.dtb_walker_cache.tags.total_refs 13273 # Total number of references to valid blocks.
-system.cpu.dtb_walker_cache.tags.sampled_refs 7461 # Sample count of references to valid blocks.
-system.cpu.dtb_walker_cache.tags.avg_refs 1.778984 # Average number of references to valid blocks.
-system.cpu.dtb_walker_cache.tags.warmup_cycle 5163481853000 # Cycle when the warmup percentage was hit.
-system.cpu.dtb_walker_cache.tags.occ_blocks::cpu.dtb.walker 5.051866 # Average occupied blocks per requestor
+system.cpu.dtb_walker_cache.tags.replacements 7826 # number of replacements
+system.cpu.dtb_walker_cache.tags.tagsinuse 5.051872 # Cycle average of tags in use
+system.cpu.dtb_walker_cache.tags.total_refs 12792 # Total number of references to valid blocks.
+system.cpu.dtb_walker_cache.tags.sampled_refs 7842 # Sample count of references to valid blocks.
+system.cpu.dtb_walker_cache.tags.avg_refs 1.631217 # Average number of references to valid blocks.
+system.cpu.dtb_walker_cache.tags.warmup_cycle 5165211267000 # Cycle when the warmup percentage was hit.
+system.cpu.dtb_walker_cache.tags.occ_blocks::cpu.dtb.walker 5.051872 # Average occupied blocks per requestor
system.cpu.dtb_walker_cache.tags.occ_percent::cpu.dtb.walker 0.315742 # Average percentage of cache occupancy
system.cpu.dtb_walker_cache.tags.occ_percent::total 0.315742 # Average percentage of cache occupancy
-system.cpu.dtb_walker_cache.tags.occ_task_id_blocks::1024 14 # Occupied blocks per task id
+system.cpu.dtb_walker_cache.tags.occ_task_id_blocks::1024 16 # Occupied blocks per task id
system.cpu.dtb_walker_cache.tags.age_task_id_blocks_1024::0 3 # Occupied blocks per task id
-system.cpu.dtb_walker_cache.tags.age_task_id_blocks_1024::1 5 # Occupied blocks per task id
-system.cpu.dtb_walker_cache.tags.age_task_id_blocks_1024::2 4 # Occupied blocks per task id
-system.cpu.dtb_walker_cache.tags.age_task_id_blocks_1024::3 2 # Occupied blocks per task id
-system.cpu.dtb_walker_cache.tags.occ_task_id_percent::1024 0.875000 # Percentage of cache occupancy per task id
-system.cpu.dtb_walker_cache.tags.tag_accesses 52546 # Number of tag accesses
-system.cpu.dtb_walker_cache.tags.data_accesses 52546 # Number of data accesses
-system.cpu.dtb_walker_cache.ReadReq_hits::cpu.dtb.walker 13289 # number of ReadReq hits
-system.cpu.dtb_walker_cache.ReadReq_hits::total 13289 # number of ReadReq hits
-system.cpu.dtb_walker_cache.demand_hits::cpu.dtb.walker 13289 # number of demand (read+write) hits
-system.cpu.dtb_walker_cache.demand_hits::total 13289 # number of demand (read+write) hits
-system.cpu.dtb_walker_cache.overall_hits::cpu.dtb.walker 13289 # number of overall hits
-system.cpu.dtb_walker_cache.overall_hits::total 13289 # number of overall hits
-system.cpu.dtb_walker_cache.ReadReq_misses::cpu.dtb.walker 8656 # number of ReadReq misses
-system.cpu.dtb_walker_cache.ReadReq_misses::total 8656 # number of ReadReq misses
-system.cpu.dtb_walker_cache.demand_misses::cpu.dtb.walker 8656 # number of demand (read+write) misses
-system.cpu.dtb_walker_cache.demand_misses::total 8656 # number of demand (read+write) misses
-system.cpu.dtb_walker_cache.overall_misses::cpu.dtb.walker 8656 # number of overall misses
-system.cpu.dtb_walker_cache.overall_misses::total 8656 # number of overall misses
-system.cpu.dtb_walker_cache.ReadReq_miss_latency::cpu.dtb.walker 91979000 # number of ReadReq miss cycles
-system.cpu.dtb_walker_cache.ReadReq_miss_latency::total 91979000 # number of ReadReq miss cycles
-system.cpu.dtb_walker_cache.demand_miss_latency::cpu.dtb.walker 91979000 # number of demand (read+write) miss cycles
-system.cpu.dtb_walker_cache.demand_miss_latency::total 91979000 # number of demand (read+write) miss cycles
-system.cpu.dtb_walker_cache.overall_miss_latency::cpu.dtb.walker 91979000 # number of overall miss cycles
-system.cpu.dtb_walker_cache.overall_miss_latency::total 91979000 # number of overall miss cycles
-system.cpu.dtb_walker_cache.ReadReq_accesses::cpu.dtb.walker 21945 # number of ReadReq accesses(hits+misses)
-system.cpu.dtb_walker_cache.ReadReq_accesses::total 21945 # number of ReadReq accesses(hits+misses)
-system.cpu.dtb_walker_cache.demand_accesses::cpu.dtb.walker 21945 # number of demand (read+write) accesses
-system.cpu.dtb_walker_cache.demand_accesses::total 21945 # number of demand (read+write) accesses
-system.cpu.dtb_walker_cache.overall_accesses::cpu.dtb.walker 21945 # number of overall (read+write) accesses
-system.cpu.dtb_walker_cache.overall_accesses::total 21945 # number of overall (read+write) accesses
-system.cpu.dtb_walker_cache.ReadReq_miss_rate::cpu.dtb.walker 0.394441 # miss rate for ReadReq accesses
-system.cpu.dtb_walker_cache.ReadReq_miss_rate::total 0.394441 # miss rate for ReadReq accesses
-system.cpu.dtb_walker_cache.demand_miss_rate::cpu.dtb.walker 0.394441 # miss rate for demand accesses
-system.cpu.dtb_walker_cache.demand_miss_rate::total 0.394441 # miss rate for demand accesses
-system.cpu.dtb_walker_cache.overall_miss_rate::cpu.dtb.walker 0.394441 # miss rate for overall accesses
-system.cpu.dtb_walker_cache.overall_miss_rate::total 0.394441 # miss rate for overall accesses
-system.cpu.dtb_walker_cache.ReadReq_avg_miss_latency::cpu.dtb.walker 10626.039741 # average ReadReq miss latency
-system.cpu.dtb_walker_cache.ReadReq_avg_miss_latency::total 10626.039741 # average ReadReq miss latency
-system.cpu.dtb_walker_cache.demand_avg_miss_latency::cpu.dtb.walker 10626.039741 # average overall miss latency
-system.cpu.dtb_walker_cache.demand_avg_miss_latency::total 10626.039741 # average overall miss latency
-system.cpu.dtb_walker_cache.overall_avg_miss_latency::cpu.dtb.walker 10626.039741 # average overall miss latency
-system.cpu.dtb_walker_cache.overall_avg_miss_latency::total 10626.039741 # average overall miss latency
+system.cpu.dtb_walker_cache.tags.age_task_id_blocks_1024::1 4 # Occupied blocks per task id
+system.cpu.dtb_walker_cache.tags.age_task_id_blocks_1024::2 6 # Occupied blocks per task id
+system.cpu.dtb_walker_cache.tags.age_task_id_blocks_1024::3 3 # Occupied blocks per task id
+system.cpu.dtb_walker_cache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
+system.cpu.dtb_walker_cache.tags.tag_accesses 52641 # Number of tag accesses
+system.cpu.dtb_walker_cache.tags.data_accesses 52641 # Number of data accesses
+system.cpu.dtb_walker_cache.ReadReq_hits::cpu.dtb.walker 12792 # number of ReadReq hits
+system.cpu.dtb_walker_cache.ReadReq_hits::total 12792 # number of ReadReq hits
+system.cpu.dtb_walker_cache.demand_hits::cpu.dtb.walker 12792 # number of demand (read+write) hits
+system.cpu.dtb_walker_cache.demand_hits::total 12792 # number of demand (read+write) hits
+system.cpu.dtb_walker_cache.overall_hits::cpu.dtb.walker 12792 # number of overall hits
+system.cpu.dtb_walker_cache.overall_hits::total 12792 # number of overall hits
+system.cpu.dtb_walker_cache.ReadReq_misses::cpu.dtb.walker 9019 # number of ReadReq misses
+system.cpu.dtb_walker_cache.ReadReq_misses::total 9019 # number of ReadReq misses
+system.cpu.dtb_walker_cache.demand_misses::cpu.dtb.walker 9019 # number of demand (read+write) misses
+system.cpu.dtb_walker_cache.demand_misses::total 9019 # number of demand (read+write) misses
+system.cpu.dtb_walker_cache.overall_misses::cpu.dtb.walker 9019 # number of overall misses
+system.cpu.dtb_walker_cache.overall_misses::total 9019 # number of overall misses
+system.cpu.dtb_walker_cache.ReadReq_miss_latency::cpu.dtb.walker 95783000 # number of ReadReq miss cycles
+system.cpu.dtb_walker_cache.ReadReq_miss_latency::total 95783000 # number of ReadReq miss cycles
+system.cpu.dtb_walker_cache.demand_miss_latency::cpu.dtb.walker 95783000 # number of demand (read+write) miss cycles
+system.cpu.dtb_walker_cache.demand_miss_latency::total 95783000 # number of demand (read+write) miss cycles
+system.cpu.dtb_walker_cache.overall_miss_latency::cpu.dtb.walker 95783000 # number of overall miss cycles
+system.cpu.dtb_walker_cache.overall_miss_latency::total 95783000 # number of overall miss cycles
+system.cpu.dtb_walker_cache.ReadReq_accesses::cpu.dtb.walker 21811 # number of ReadReq accesses(hits+misses)
+system.cpu.dtb_walker_cache.ReadReq_accesses::total 21811 # number of ReadReq accesses(hits+misses)
+system.cpu.dtb_walker_cache.demand_accesses::cpu.dtb.walker 21811 # number of demand (read+write) accesses
+system.cpu.dtb_walker_cache.demand_accesses::total 21811 # number of demand (read+write) accesses
+system.cpu.dtb_walker_cache.overall_accesses::cpu.dtb.walker 21811 # number of overall (read+write) accesses
+system.cpu.dtb_walker_cache.overall_accesses::total 21811 # number of overall (read+write) accesses
+system.cpu.dtb_walker_cache.ReadReq_miss_rate::cpu.dtb.walker 0.413507 # miss rate for ReadReq accesses
+system.cpu.dtb_walker_cache.ReadReq_miss_rate::total 0.413507 # miss rate for ReadReq accesses
+system.cpu.dtb_walker_cache.demand_miss_rate::cpu.dtb.walker 0.413507 # miss rate for demand accesses
+system.cpu.dtb_walker_cache.demand_miss_rate::total 0.413507 # miss rate for demand accesses
+system.cpu.dtb_walker_cache.overall_miss_rate::cpu.dtb.walker 0.413507 # miss rate for overall accesses
+system.cpu.dtb_walker_cache.overall_miss_rate::total 0.413507 # miss rate for overall accesses
+system.cpu.dtb_walker_cache.ReadReq_avg_miss_latency::cpu.dtb.walker 10620.135270 # average ReadReq miss latency
+system.cpu.dtb_walker_cache.ReadReq_avg_miss_latency::total 10620.135270 # average ReadReq miss latency
+system.cpu.dtb_walker_cache.demand_avg_miss_latency::cpu.dtb.walker 10620.135270 # average overall miss latency
+system.cpu.dtb_walker_cache.demand_avg_miss_latency::total 10620.135270 # average overall miss latency
+system.cpu.dtb_walker_cache.overall_avg_miss_latency::cpu.dtb.walker 10620.135270 # average overall miss latency
+system.cpu.dtb_walker_cache.overall_avg_miss_latency::total 10620.135270 # average overall miss latency
system.cpu.dtb_walker_cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.dtb_walker_cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dtb_walker_cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -842,170 +849,169 @@ system.cpu.dtb_walker_cache.avg_blocked_cycles::no_mshrs nan
system.cpu.dtb_walker_cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.dtb_walker_cache.fast_writes 0 # number of fast writes performed
system.cpu.dtb_walker_cache.cache_copies 0 # number of cache copies performed
-system.cpu.dtb_walker_cache.writebacks::writebacks 2980 # number of writebacks
-system.cpu.dtb_walker_cache.writebacks::total 2980 # number of writebacks
-system.cpu.dtb_walker_cache.ReadReq_mshr_misses::cpu.dtb.walker 8656 # number of ReadReq MSHR misses
-system.cpu.dtb_walker_cache.ReadReq_mshr_misses::total 8656 # number of ReadReq MSHR misses
-system.cpu.dtb_walker_cache.demand_mshr_misses::cpu.dtb.walker 8656 # number of demand (read+write) MSHR misses
-system.cpu.dtb_walker_cache.demand_mshr_misses::total 8656 # number of demand (read+write) MSHR misses
-system.cpu.dtb_walker_cache.overall_mshr_misses::cpu.dtb.walker 8656 # number of overall MSHR misses
-system.cpu.dtb_walker_cache.overall_mshr_misses::total 8656 # number of overall MSHR misses
-system.cpu.dtb_walker_cache.ReadReq_mshr_miss_latency::cpu.dtb.walker 74666500 # number of ReadReq MSHR miss cycles
-system.cpu.dtb_walker_cache.ReadReq_mshr_miss_latency::total 74666500 # number of ReadReq MSHR miss cycles
-system.cpu.dtb_walker_cache.demand_mshr_miss_latency::cpu.dtb.walker 74666500 # number of demand (read+write) MSHR miss cycles
-system.cpu.dtb_walker_cache.demand_mshr_miss_latency::total 74666500 # number of demand (read+write) MSHR miss cycles
-system.cpu.dtb_walker_cache.overall_mshr_miss_latency::cpu.dtb.walker 74666500 # number of overall MSHR miss cycles
-system.cpu.dtb_walker_cache.overall_mshr_miss_latency::total 74666500 # number of overall MSHR miss cycles
-system.cpu.dtb_walker_cache.ReadReq_mshr_miss_rate::cpu.dtb.walker 0.394441 # mshr miss rate for ReadReq accesses
-system.cpu.dtb_walker_cache.ReadReq_mshr_miss_rate::total 0.394441 # mshr miss rate for ReadReq accesses
-system.cpu.dtb_walker_cache.demand_mshr_miss_rate::cpu.dtb.walker 0.394441 # mshr miss rate for demand accesses
-system.cpu.dtb_walker_cache.demand_mshr_miss_rate::total 0.394441 # mshr miss rate for demand accesses
-system.cpu.dtb_walker_cache.overall_mshr_miss_rate::cpu.dtb.walker 0.394441 # mshr miss rate for overall accesses
-system.cpu.dtb_walker_cache.overall_mshr_miss_rate::total 0.394441 # mshr miss rate for overall accesses
-system.cpu.dtb_walker_cache.ReadReq_avg_mshr_miss_latency::cpu.dtb.walker 8625.981978 # average ReadReq mshr miss latency
-system.cpu.dtb_walker_cache.ReadReq_avg_mshr_miss_latency::total 8625.981978 # average ReadReq mshr miss latency
-system.cpu.dtb_walker_cache.demand_avg_mshr_miss_latency::cpu.dtb.walker 8625.981978 # average overall mshr miss latency
-system.cpu.dtb_walker_cache.demand_avg_mshr_miss_latency::total 8625.981978 # average overall mshr miss latency
-system.cpu.dtb_walker_cache.overall_avg_mshr_miss_latency::cpu.dtb.walker 8625.981978 # average overall mshr miss latency
-system.cpu.dtb_walker_cache.overall_avg_mshr_miss_latency::total 8625.981978 # average overall mshr miss latency
+system.cpu.dtb_walker_cache.writebacks::writebacks 2842 # number of writebacks
+system.cpu.dtb_walker_cache.writebacks::total 2842 # number of writebacks
+system.cpu.dtb_walker_cache.ReadReq_mshr_misses::cpu.dtb.walker 9019 # number of ReadReq MSHR misses
+system.cpu.dtb_walker_cache.ReadReq_mshr_misses::total 9019 # number of ReadReq MSHR misses
+system.cpu.dtb_walker_cache.demand_mshr_misses::cpu.dtb.walker 9019 # number of demand (read+write) MSHR misses
+system.cpu.dtb_walker_cache.demand_mshr_misses::total 9019 # number of demand (read+write) MSHR misses
+system.cpu.dtb_walker_cache.overall_mshr_misses::cpu.dtb.walker 9019 # number of overall MSHR misses
+system.cpu.dtb_walker_cache.overall_mshr_misses::total 9019 # number of overall MSHR misses
+system.cpu.dtb_walker_cache.ReadReq_mshr_miss_latency::cpu.dtb.walker 77744500 # number of ReadReq MSHR miss cycles
+system.cpu.dtb_walker_cache.ReadReq_mshr_miss_latency::total 77744500 # number of ReadReq MSHR miss cycles
+system.cpu.dtb_walker_cache.demand_mshr_miss_latency::cpu.dtb.walker 77744500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dtb_walker_cache.demand_mshr_miss_latency::total 77744500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dtb_walker_cache.overall_mshr_miss_latency::cpu.dtb.walker 77744500 # number of overall MSHR miss cycles
+system.cpu.dtb_walker_cache.overall_mshr_miss_latency::total 77744500 # number of overall MSHR miss cycles
+system.cpu.dtb_walker_cache.ReadReq_mshr_miss_rate::cpu.dtb.walker 0.413507 # mshr miss rate for ReadReq accesses
+system.cpu.dtb_walker_cache.ReadReq_mshr_miss_rate::total 0.413507 # mshr miss rate for ReadReq accesses
+system.cpu.dtb_walker_cache.demand_mshr_miss_rate::cpu.dtb.walker 0.413507 # mshr miss rate for demand accesses
+system.cpu.dtb_walker_cache.demand_mshr_miss_rate::total 0.413507 # mshr miss rate for demand accesses
+system.cpu.dtb_walker_cache.overall_mshr_miss_rate::cpu.dtb.walker 0.413507 # mshr miss rate for overall accesses
+system.cpu.dtb_walker_cache.overall_mshr_miss_rate::total 0.413507 # mshr miss rate for overall accesses
+system.cpu.dtb_walker_cache.ReadReq_avg_mshr_miss_latency::cpu.dtb.walker 8620.079831 # average ReadReq mshr miss latency
+system.cpu.dtb_walker_cache.ReadReq_avg_mshr_miss_latency::total 8620.079831 # average ReadReq mshr miss latency
+system.cpu.dtb_walker_cache.demand_avg_mshr_miss_latency::cpu.dtb.walker 8620.079831 # average overall mshr miss latency
+system.cpu.dtb_walker_cache.demand_avg_mshr_miss_latency::total 8620.079831 # average overall mshr miss latency
+system.cpu.dtb_walker_cache.overall_avg_mshr_miss_latency::cpu.dtb.walker 8620.079831 # average overall mshr miss latency
+system.cpu.dtb_walker_cache.overall_avg_mshr_miss_latency::total 8620.079831 # average overall mshr miss latency
system.cpu.dtb_walker_cache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.dcache.tags.replacements 1620883 # number of replacements
-system.cpu.dcache.tags.tagsinuse 511.997130 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 20027756 # Total number of references to valid blocks.
-system.cpu.dcache.tags.sampled_refs 1621395 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 12.352176 # Average number of references to valid blocks.
+system.cpu.dcache.tags.replacements 1621218 # number of replacements
+system.cpu.dcache.tags.tagsinuse 511.996934 # Cycle average of tags in use
+system.cpu.dcache.tags.total_refs 20024389 # Total number of references to valid blocks.
+system.cpu.dcache.tags.sampled_refs 1621730 # Sample count of references to valid blocks.
+system.cpu.dcache.tags.avg_refs 12.347548 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 51171250 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 511.997130 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_blocks::cpu.data 511.996934 # Average occupied blocks per requestor
system.cpu.dcache.tags.occ_percent::cpu.data 0.999994 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_percent::total 0.999994 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 512 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::0 97 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 312 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::2 102 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::3 1 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::0 105 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::1 324 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::2 83 # Occupied blocks per task id
system.cpu.dcache.tags.occ_task_id_percent::1024 1 # Percentage of cache occupancy per task id
-system.cpu.dcache.tags.tag_accesses 88256675 # Number of tag accesses
-system.cpu.dcache.tags.data_accesses 88256675 # Number of data accesses
-system.cpu.dcache.ReadReq_hits::cpu.data 11935486 # number of ReadReq hits
-system.cpu.dcache.ReadReq_hits::total 11935486 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 8030839 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 8030839 # number of WriteReq hits
-system.cpu.dcache.SoftPFReq_hits::cpu.data 59261 # number of SoftPFReq hits
-system.cpu.dcache.SoftPFReq_hits::total 59261 # number of SoftPFReq hits
-system.cpu.dcache.demand_hits::cpu.data 19966325 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 19966325 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 20025586 # number of overall hits
-system.cpu.dcache.overall_hits::total 20025586 # number of overall hits
-system.cpu.dcache.ReadReq_misses::cpu.data 906294 # number of ReadReq misses
-system.cpu.dcache.ReadReq_misses::total 906294 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 324617 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 324617 # number of WriteReq misses
-system.cpu.dcache.SoftPFReq_misses::cpu.data 402313 # number of SoftPFReq misses
-system.cpu.dcache.SoftPFReq_misses::total 402313 # number of SoftPFReq misses
-system.cpu.dcache.demand_misses::cpu.data 1230911 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 1230911 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 1633224 # number of overall misses
-system.cpu.dcache.overall_misses::total 1633224 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 12712957750 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 12712957750 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 11341720828 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 11341720828 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 24054678578 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 24054678578 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 24054678578 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 24054678578 # number of overall miss cycles
-system.cpu.dcache.ReadReq_accesses::cpu.data 12841780 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.ReadReq_accesses::total 12841780 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::cpu.data 8355456 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.WriteReq_accesses::total 8355456 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.SoftPFReq_accesses::cpu.data 461574 # number of SoftPFReq accesses(hits+misses)
-system.cpu.dcache.SoftPFReq_accesses::total 461574 # number of SoftPFReq accesses(hits+misses)
-system.cpu.dcache.demand_accesses::cpu.data 21197236 # number of demand (read+write) accesses
-system.cpu.dcache.demand_accesses::total 21197236 # number of demand (read+write) accesses
-system.cpu.dcache.overall_accesses::cpu.data 21658810 # number of overall (read+write) accesses
-system.cpu.dcache.overall_accesses::total 21658810 # number of overall (read+write) accesses
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.070574 # miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_miss_rate::total 0.070574 # miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.038851 # miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_miss_rate::total 0.038851 # miss rate for WriteReq accesses
-system.cpu.dcache.SoftPFReq_miss_rate::cpu.data 0.871611 # miss rate for SoftPFReq accesses
-system.cpu.dcache.SoftPFReq_miss_rate::total 0.871611 # miss rate for SoftPFReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.058069 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.058069 # miss rate for demand accesses
-system.cpu.dcache.overall_miss_rate::cpu.data 0.075407 # miss rate for overall accesses
-system.cpu.dcache.overall_miss_rate::total 0.075407 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 14027.410255 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 14027.410255 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 34938.776552 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 34938.776552 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 19542.175330 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 19542.175330 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 14728.340128 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 14728.340128 # average overall miss latency
-system.cpu.dcache.blocked_cycles::no_mshrs 7655 # number of cycles access was blocked
+system.cpu.dcache.tags.tag_accesses 88244906 # Number of tag accesses
+system.cpu.dcache.tags.data_accesses 88244906 # Number of data accesses
+system.cpu.dcache.ReadReq_hits::cpu.data 11933720 # number of ReadReq hits
+system.cpu.dcache.ReadReq_hits::total 11933720 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits::cpu.data 8029176 # number of WriteReq hits
+system.cpu.dcache.WriteReq_hits::total 8029176 # number of WriteReq hits
+system.cpu.dcache.SoftPFReq_hits::cpu.data 59323 # number of SoftPFReq hits
+system.cpu.dcache.SoftPFReq_hits::total 59323 # number of SoftPFReq hits
+system.cpu.dcache.demand_hits::cpu.data 19962896 # number of demand (read+write) hits
+system.cpu.dcache.demand_hits::total 19962896 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits::cpu.data 20022219 # number of overall hits
+system.cpu.dcache.overall_hits::total 20022219 # number of overall hits
+system.cpu.dcache.ReadReq_misses::cpu.data 906567 # number of ReadReq misses
+system.cpu.dcache.ReadReq_misses::total 906567 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses::cpu.data 324536 # number of WriteReq misses
+system.cpu.dcache.WriteReq_misses::total 324536 # number of WriteReq misses
+system.cpu.dcache.SoftPFReq_misses::cpu.data 402460 # number of SoftPFReq misses
+system.cpu.dcache.SoftPFReq_misses::total 402460 # number of SoftPFReq misses
+system.cpu.dcache.demand_misses::cpu.data 1231103 # number of demand (read+write) misses
+system.cpu.dcache.demand_misses::total 1231103 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses::cpu.data 1633563 # number of overall misses
+system.cpu.dcache.overall_misses::total 1633563 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency::cpu.data 12726532750 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 12726532750 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.data 11379509067 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 11379509067 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 24106041817 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 24106041817 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 24106041817 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 24106041817 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses::cpu.data 12840287 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.ReadReq_accesses::total 12840287 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses::cpu.data 8353712 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.WriteReq_accesses::total 8353712 # number of WriteReq accesses(hits+misses)
+system.cpu.dcache.SoftPFReq_accesses::cpu.data 461783 # number of SoftPFReq accesses(hits+misses)
+system.cpu.dcache.SoftPFReq_accesses::total 461783 # number of SoftPFReq accesses(hits+misses)
+system.cpu.dcache.demand_accesses::cpu.data 21193999 # number of demand (read+write) accesses
+system.cpu.dcache.demand_accesses::total 21193999 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses::cpu.data 21655782 # number of overall (read+write) accesses
+system.cpu.dcache.overall_accesses::total 21655782 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.070603 # miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_miss_rate::total 0.070603 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.038849 # miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_miss_rate::total 0.038849 # miss rate for WriteReq accesses
+system.cpu.dcache.SoftPFReq_miss_rate::cpu.data 0.871535 # miss rate for SoftPFReq accesses
+system.cpu.dcache.SoftPFReq_miss_rate::total 0.871535 # miss rate for SoftPFReq accesses
+system.cpu.dcache.demand_miss_rate::cpu.data 0.058087 # miss rate for demand accesses
+system.cpu.dcache.demand_miss_rate::total 0.058087 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate::cpu.data 0.075433 # miss rate for overall accesses
+system.cpu.dcache.overall_miss_rate::total 0.075433 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 14038.160169 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 14038.160169 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 35063.934562 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 35063.934562 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 19580.848895 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 19580.848895 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 14756.726136 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 14756.726136 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 8324 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.blocked::no_mshrs 73 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 80 # number of cycles access was blocked
system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_mshrs 104.863014 # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs 104.050000 # average number of cycles each access was blocked
system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.dcache.fast_writes 0 # number of fast writes performed
system.cpu.dcache.cache_copies 0 # number of cache copies performed
-system.cpu.dcache.writebacks::writebacks 1537682 # number of writebacks
-system.cpu.dcache.writebacks::total 1537682 # number of writebacks
+system.cpu.dcache.writebacks::writebacks 1537872 # number of writebacks
+system.cpu.dcache.writebacks::total 1537872 # number of writebacks
system.cpu.dcache.ReadReq_mshr_hits::cpu.data 287 # number of ReadReq MSHR hits
system.cpu.dcache.ReadReq_mshr_hits::total 287 # number of ReadReq MSHR hits
-system.cpu.dcache.WriteReq_mshr_hits::cpu.data 9297 # number of WriteReq MSHR hits
-system.cpu.dcache.WriteReq_mshr_hits::total 9297 # number of WriteReq MSHR hits
-system.cpu.dcache.demand_mshr_hits::cpu.data 9584 # number of demand (read+write) MSHR hits
-system.cpu.dcache.demand_mshr_hits::total 9584 # number of demand (read+write) MSHR hits
-system.cpu.dcache.overall_mshr_hits::cpu.data 9584 # number of overall MSHR hits
-system.cpu.dcache.overall_mshr_hits::total 9584 # number of overall MSHR hits
-system.cpu.dcache.ReadReq_mshr_misses::cpu.data 906007 # number of ReadReq MSHR misses
-system.cpu.dcache.ReadReq_mshr_misses::total 906007 # number of ReadReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::cpu.data 315320 # number of WriteReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::total 315320 # number of WriteReq MSHR misses
-system.cpu.dcache.SoftPFReq_mshr_misses::cpu.data 402278 # number of SoftPFReq MSHR misses
-system.cpu.dcache.SoftPFReq_mshr_misses::total 402278 # number of SoftPFReq MSHR misses
-system.cpu.dcache.demand_mshr_misses::cpu.data 1221327 # number of demand (read+write) MSHR misses
-system.cpu.dcache.demand_mshr_misses::total 1221327 # number of demand (read+write) MSHR misses
-system.cpu.dcache.overall_mshr_misses::cpu.data 1623605 # number of overall MSHR misses
-system.cpu.dcache.overall_mshr_misses::total 1623605 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 10893569500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 10893569500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 10209797624 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 10209797624 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.SoftPFReq_mshr_miss_latency::cpu.data 5351981750 # number of SoftPFReq MSHR miss cycles
-system.cpu.dcache.SoftPFReq_mshr_miss_latency::total 5351981750 # number of SoftPFReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 21103367124 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 21103367124 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 26455348874 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 26455348874 # number of overall MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_hits::cpu.data 9293 # number of WriteReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits::total 9293 # number of WriteReq MSHR hits
+system.cpu.dcache.demand_mshr_hits::cpu.data 9580 # number of demand (read+write) MSHR hits
+system.cpu.dcache.demand_mshr_hits::total 9580 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits::cpu.data 9580 # number of overall MSHR hits
+system.cpu.dcache.overall_mshr_hits::total 9580 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses::cpu.data 906280 # number of ReadReq MSHR misses
+system.cpu.dcache.ReadReq_mshr_misses::total 906280 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses::cpu.data 315243 # number of WriteReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses::total 315243 # number of WriteReq MSHR misses
+system.cpu.dcache.SoftPFReq_mshr_misses::cpu.data 402425 # number of SoftPFReq MSHR misses
+system.cpu.dcache.SoftPFReq_mshr_misses::total 402425 # number of SoftPFReq MSHR misses
+system.cpu.dcache.demand_mshr_misses::cpu.data 1221523 # number of demand (read+write) MSHR misses
+system.cpu.dcache.demand_mshr_misses::total 1221523 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses::cpu.data 1623948 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_misses::total 1623948 # number of overall MSHR misses
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 10906302000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 10906302000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 10245705379 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 10245705379 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.SoftPFReq_mshr_miss_latency::cpu.data 5368514000 # number of SoftPFReq MSHR miss cycles
+system.cpu.dcache.SoftPFReq_mshr_miss_latency::total 5368514000 # number of SoftPFReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 21152007379 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 21152007379 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 26520521379 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 26520521379 # number of overall MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_uncacheable_latency::cpu.data 94214672000 # number of ReadReq MSHR uncacheable cycles
system.cpu.dcache.ReadReq_mshr_uncacheable_latency::total 94214672000 # number of ReadReq MSHR uncacheable cycles
-system.cpu.dcache.WriteReq_mshr_uncacheable_latency::cpu.data 2537257000 # number of WriteReq MSHR uncacheable cycles
-system.cpu.dcache.WriteReq_mshr_uncacheable_latency::total 2537257000 # number of WriteReq MSHR uncacheable cycles
-system.cpu.dcache.overall_mshr_uncacheable_latency::cpu.data 96751929000 # number of overall MSHR uncacheable cycles
-system.cpu.dcache.overall_mshr_uncacheable_latency::total 96751929000 # number of overall MSHR uncacheable cycles
-system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.070552 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.070552 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.037738 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.037738 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.SoftPFReq_mshr_miss_rate::cpu.data 0.871535 # mshr miss rate for SoftPFReq accesses
-system.cpu.dcache.SoftPFReq_mshr_miss_rate::total 0.871535 # mshr miss rate for SoftPFReq accesses
-system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.057617 # mshr miss rate for demand accesses
-system.cpu.dcache.demand_mshr_miss_rate::total 0.057617 # mshr miss rate for demand accesses
-system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.074963 # mshr miss rate for overall accesses
-system.cpu.dcache.overall_mshr_miss_rate::total 0.074963 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 12023.714497 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 12023.714497 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 32379.162831 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 32379.162831 # average WriteReq mshr miss latency
-system.cpu.dcache.SoftPFReq_avg_mshr_miss_latency::cpu.data 13304.187030 # average SoftPFReq mshr miss latency
-system.cpu.dcache.SoftPFReq_avg_mshr_miss_latency::total 13304.187030 # average SoftPFReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 17279.047400 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 17279.047400 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 16294.202638 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 16294.202638 # average overall mshr miss latency
+system.cpu.dcache.WriteReq_mshr_uncacheable_latency::cpu.data 2536037000 # number of WriteReq MSHR uncacheable cycles
+system.cpu.dcache.WriteReq_mshr_uncacheable_latency::total 2536037000 # number of WriteReq MSHR uncacheable cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency::cpu.data 96750709000 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.overall_mshr_uncacheable_latency::total 96750709000 # number of overall MSHR uncacheable cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.070581 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.070581 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.037737 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.037737 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.SoftPFReq_mshr_miss_rate::cpu.data 0.871459 # mshr miss rate for SoftPFReq accesses
+system.cpu.dcache.SoftPFReq_mshr_miss_rate::total 0.871459 # mshr miss rate for SoftPFReq accesses
+system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.057635 # mshr miss rate for demand accesses
+system.cpu.dcache.demand_mshr_miss_rate::total 0.057635 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.074989 # mshr miss rate for overall accesses
+system.cpu.dcache.overall_mshr_miss_rate::total 0.074989 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 12034.141766 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 12034.141766 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 32500.976640 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 32500.976640 # average WriteReq mshr miss latency
+system.cpu.dcache.SoftPFReq_avg_mshr_miss_latency::cpu.data 13340.408772 # average SoftPFReq mshr miss latency
+system.cpu.dcache.SoftPFReq_avg_mshr_miss_latency::total 13340.408772 # average SoftPFReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 17316.094236 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 17316.094236 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 16330.893218 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 16330.893218 # average overall mshr miss latency
system.cpu.dcache.ReadReq_avg_mshr_uncacheable_latency::cpu.data inf # average ReadReq mshr uncacheable latency
system.cpu.dcache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu.dcache.WriteReq_avg_mshr_uncacheable_latency::cpu.data inf # average WriteReq mshr uncacheable latency
@@ -1013,185 +1019,196 @@ system.cpu.dcache.WriteReq_avg_mshr_uncacheable_latency::total inf
system.cpu.dcache.overall_avg_mshr_uncacheable_latency::cpu.data inf # average overall mshr uncacheable latency
system.cpu.dcache.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 49844829 # Throughput (bytes/s)
-system.cpu.toL2Bus.trans_dist::ReadReq 2698695 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadResp 2698173 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadReq 2694994 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadResp 2694474 # Transaction distribution
system.cpu.toL2Bus.trans_dist::WriteReq 13773 # Transaction distribution
system.cpu.toL2Bus.trans_dist::WriteResp 13773 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::Writeback 1541433 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::WriteInvalidateReq 46720 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::Writeback 1541461 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::WriteInvalidateReq 46721 # Transaction distribution
system.cpu.toL2Bus.trans_dist::UpgradeReq 2183 # Transaction distribution
system.cpu.toL2Bus.trans_dist::UpgradeResp 2183 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExReq 313150 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExResp 313150 # Transaction distribution
-system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 1590153 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 5974271 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count_system.cpu.itb_walker_cache.mem_side::system.cpu.l2cache.cpu_side 8035 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count_system.cpu.dtb_walker_cache.mem_side::system.cpu.l2cache.cpu_side 18003 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count::total 7590462 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 50884480 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 203815525 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.itb_walker_cache.mem_side::system.cpu.l2cache.cpu_side 233856 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dtb_walker_cache.mem_side::system.cpu.l2cache.cpu_side 598208 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 255532069 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 255511461 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 3309120 # Total snoop data (bytes)
-system.cpu.toL2Bus.reqLayer0.occupancy 3832514500 # Layer occupancy (ticks)
+system.cpu.toL2Bus.trans_dist::ReadExReq 313073 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadExResp 313073 # Transaction distribution
+system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 1581243 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 5975195 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.itb_walker_cache.mem_side::system.cpu.l2cache.cpu_side 7975 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.dtb_walker_cache.mem_side::system.cpu.l2cache.cpu_side 18479 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count::total 7582892 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 50599360 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 203853221 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.itb_walker_cache.mem_side::system.cpu.l2cache.cpu_side 231552 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dtb_walker_cache.mem_side::system.cpu.l2cache.cpu_side 605440 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 255289573 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 53135 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 4016986 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 3.011840 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0.108164 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::3 3969426 98.82% 98.82% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::4 47560 1.18% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 3 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 4 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 4016986 # Request fanout histogram
+system.cpu.toL2Bus.reqLayer0.occupancy 3830670000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.1 # Layer utilization (%)
-system.cpu.toL2Bus.snoopLayer0.occupancy 483000 # Layer occupancy (ticks)
+system.cpu.toL2Bus.snoopLayer0.occupancy 478500 # Layer occupancy (ticks)
system.cpu.toL2Bus.snoopLayer0.utilization 0.0 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer0.occupancy 1195084369 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer0.occupancy 1188381870 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer0.utilization 0.0 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer1.occupancy 3051993102 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer1.occupancy 3052447844 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 0.1 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer2.occupancy 6572250 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer2.occupancy 6536500 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer2.utilization 0.0 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer3.occupancy 12984250 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer3.occupancy 13528750 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer3.utilization 0.0 # Layer utilization (%)
-system.cpu.l2cache.tags.replacements 87211 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 64746.136544 # Cycle average of tags in use
-system.cpu.l2cache.tags.total_refs 3491181 # Total number of references to valid blocks.
-system.cpu.l2cache.tags.sampled_refs 151954 # Sample count of references to valid blocks.
-system.cpu.l2cache.tags.avg_refs 22.975249 # Average number of references to valid blocks.
+system.cpu.l2cache.tags.replacements 87289 # number of replacements
+system.cpu.l2cache.tags.tagsinuse 64708.241819 # Cycle average of tags in use
+system.cpu.l2cache.tags.total_refs 3488268 # Total number of references to valid blocks.
+system.cpu.l2cache.tags.sampled_refs 151942 # Sample count of references to valid blocks.
+system.cpu.l2cache.tags.avg_refs 22.957892 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::writebacks 50332.685507 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.dtb.walker 0.006414 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.itb.walker 0.141265 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 3220.709839 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 11192.593518 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::writebacks 0.768016 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_blocks::writebacks 50201.970335 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.dtb.walker 0.012829 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.itb.walker 0.141259 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 3236.502324 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 11269.615072 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::writebacks 0.766021 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.dtb.walker 0.000000 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.itb.walker 0.000002 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.049144 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.170785 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.987948 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_task_id_blocks::1024 64743 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 51 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 88 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::2 2897 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::3 4651 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::4 57056 # Occupied blocks per task id
-system.cpu.l2cache.tags.occ_task_id_percent::1024 0.987900 # Percentage of cache occupancy per task id
-system.cpu.l2cache.tags.tag_accesses 32212608 # Number of tag accesses
-system.cpu.l2cache.tags.data_accesses 32212608 # Number of data accesses
-system.cpu.l2cache.ReadReq_hits::cpu.dtb.walker 6366 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.itb.walker 2878 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.inst 782107 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.data 1278785 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::total 2070136 # number of ReadReq hits
-system.cpu.l2cache.Writeback_hits::writebacks 1541433 # number of Writeback hits
-system.cpu.l2cache.Writeback_hits::total 1541433 # number of Writeback hits
-system.cpu.l2cache.UpgradeReq_hits::cpu.data 314 # number of UpgradeReq hits
-system.cpu.l2cache.UpgradeReq_hits::total 314 # number of UpgradeReq hits
-system.cpu.l2cache.ReadExReq_hits::cpu.data 199468 # number of ReadExReq hits
-system.cpu.l2cache.ReadExReq_hits::total 199468 # number of ReadExReq hits
-system.cpu.l2cache.demand_hits::cpu.dtb.walker 6366 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.itb.walker 2878 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.inst 782107 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.data 1478253 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::total 2269604 # number of demand (read+write) hits
-system.cpu.l2cache.overall_hits::cpu.dtb.walker 6366 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.itb.walker 2878 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.inst 782107 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.data 1478253 # number of overall hits
-system.cpu.l2cache.overall_hits::total 2269604 # number of overall hits
-system.cpu.l2cache.ReadReq_misses::cpu.dtb.walker 1 # number of ReadReq misses
+system.cpu.l2cache.tags.occ_percent::cpu.inst 0.049385 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::cpu.data 0.171961 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::total 0.987369 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_task_id_blocks::1024 64653 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::0 41 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::1 73 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::2 2860 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::3 4737 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::4 56942 # Occupied blocks per task id
+system.cpu.l2cache.tags.occ_task_id_percent::1024 0.986526 # Percentage of cache occupancy per task id
+system.cpu.l2cache.tags.tag_accesses 32181921 # Number of tag accesses
+system.cpu.l2cache.tags.data_accesses 32181921 # Number of data accesses
+system.cpu.l2cache.ReadReq_hits::cpu.dtb.walker 6616 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::cpu.itb.walker 2866 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::cpu.inst 777686 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::cpu.data 1279269 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::total 2066437 # number of ReadReq hits
+system.cpu.l2cache.Writeback_hits::writebacks 1541461 # number of Writeback hits
+system.cpu.l2cache.Writeback_hits::total 1541461 # number of Writeback hits
+system.cpu.l2cache.UpgradeReq_hits::cpu.data 312 # number of UpgradeReq hits
+system.cpu.l2cache.UpgradeReq_hits::total 312 # number of UpgradeReq hits
+system.cpu.l2cache.ReadExReq_hits::cpu.data 199613 # number of ReadExReq hits
+system.cpu.l2cache.ReadExReq_hits::total 199613 # number of ReadExReq hits
+system.cpu.l2cache.demand_hits::cpu.dtb.walker 6616 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::cpu.itb.walker 2866 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::cpu.inst 777686 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::cpu.data 1478882 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::total 2266050 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits::cpu.dtb.walker 6616 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.itb.walker 2866 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.inst 777686 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.data 1478882 # number of overall hits
+system.cpu.l2cache.overall_hits::total 2266050 # number of overall hits
+system.cpu.l2cache.ReadReq_misses::cpu.dtb.walker 2 # number of ReadReq misses
system.cpu.l2cache.ReadReq_misses::cpu.itb.walker 5 # number of ReadReq misses
-system.cpu.l2cache.ReadReq_misses::cpu.inst 12963 # number of ReadReq misses
-system.cpu.l2cache.ReadReq_misses::cpu.data 28642 # number of ReadReq misses
-system.cpu.l2cache.ReadReq_misses::total 41611 # number of ReadReq misses
-system.cpu.l2cache.UpgradeReq_misses::cpu.data 1325 # number of UpgradeReq misses
-system.cpu.l2cache.UpgradeReq_misses::total 1325 # number of UpgradeReq misses
-system.cpu.l2cache.ReadExReq_misses::cpu.data 113677 # number of ReadExReq misses
-system.cpu.l2cache.ReadExReq_misses::total 113677 # number of ReadExReq misses
-system.cpu.l2cache.demand_misses::cpu.dtb.walker 1 # number of demand (read+write) misses
+system.cpu.l2cache.ReadReq_misses::cpu.inst 12929 # number of ReadReq misses
+system.cpu.l2cache.ReadReq_misses::cpu.data 28637 # number of ReadReq misses
+system.cpu.l2cache.ReadReq_misses::total 41573 # number of ReadReq misses
+system.cpu.l2cache.UpgradeReq_misses::cpu.data 1319 # number of UpgradeReq misses
+system.cpu.l2cache.UpgradeReq_misses::total 1319 # number of UpgradeReq misses
+system.cpu.l2cache.ReadExReq_misses::cpu.data 113455 # number of ReadExReq misses
+system.cpu.l2cache.ReadExReq_misses::total 113455 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses::cpu.dtb.walker 2 # number of demand (read+write) misses
system.cpu.l2cache.demand_misses::cpu.itb.walker 5 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.inst 12963 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.data 142319 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::total 155288 # number of demand (read+write) misses
-system.cpu.l2cache.overall_misses::cpu.dtb.walker 1 # number of overall misses
+system.cpu.l2cache.demand_misses::cpu.inst 12929 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::cpu.data 142092 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::total 155028 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses::cpu.dtb.walker 2 # number of overall misses
system.cpu.l2cache.overall_misses::cpu.itb.walker 5 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.inst 12963 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.data 142319 # number of overall misses
-system.cpu.l2cache.overall_misses::total 155288 # number of overall misses
-system.cpu.l2cache.ReadReq_miss_latency::cpu.dtb.walker 61250 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::cpu.itb.walker 350750 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 946969000 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::cpu.data 2148496250 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::total 3095877250 # number of ReadReq miss cycles
-system.cpu.l2cache.UpgradeReq_miss_latency::cpu.data 14947864 # number of UpgradeReq miss cycles
-system.cpu.l2cache.UpgradeReq_miss_latency::total 14947864 # number of UpgradeReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 7865192973 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 7865192973 # number of ReadExReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.dtb.walker 61250 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.itb.walker 350750 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 946969000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 10013689223 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 10961070223 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.dtb.walker 61250 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.itb.walker 350750 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 946969000 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 10013689223 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 10961070223 # number of overall miss cycles
-system.cpu.l2cache.ReadReq_accesses::cpu.dtb.walker 6367 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.itb.walker 2883 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.inst 795070 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.data 1307427 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::total 2111747 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.Writeback_accesses::writebacks 1541433 # number of Writeback accesses(hits+misses)
-system.cpu.l2cache.Writeback_accesses::total 1541433 # number of Writeback accesses(hits+misses)
-system.cpu.l2cache.UpgradeReq_accesses::cpu.data 1639 # number of UpgradeReq accesses(hits+misses)
-system.cpu.l2cache.UpgradeReq_accesses::total 1639 # number of UpgradeReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 313145 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::total 313145 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.demand_accesses::cpu.dtb.walker 6367 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.itb.walker 2883 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.inst 795070 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.data 1620572 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::total 2424892 # number of demand (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.dtb.walker 6367 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.itb.walker 2883 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.inst 795070 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.data 1620572 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::total 2424892 # number of overall (read+write) accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.dtb.walker 0.000157 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.itb.walker 0.001734 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.016304 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.021907 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::total 0.019705 # miss rate for ReadReq accesses
-system.cpu.l2cache.UpgradeReq_miss_rate::cpu.data 0.808420 # miss rate for UpgradeReq accesses
-system.cpu.l2cache.UpgradeReq_miss_rate::total 0.808420 # miss rate for UpgradeReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 0.363017 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::total 0.363017 # miss rate for ReadExReq accesses
-system.cpu.l2cache.demand_miss_rate::cpu.dtb.walker 0.000157 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.itb.walker 0.001734 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.016304 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.data 0.087820 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::total 0.064039 # miss rate for demand accesses
-system.cpu.l2cache.overall_miss_rate::cpu.dtb.walker 0.000157 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.itb.walker 0.001734 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.016304 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.data 0.087820 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::total 0.064039 # miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.dtb.walker 61250 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.itb.walker 70150 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 73051.685567 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 75012.088890 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::total 74400.453005 # average ReadReq miss latency
-system.cpu.l2cache.UpgradeReq_avg_miss_latency::cpu.data 11281.406792 # average UpgradeReq miss latency
-system.cpu.l2cache.UpgradeReq_avg_miss_latency::total 11281.406792 # average UpgradeReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 69188.956192 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 69188.956192 # average ReadExReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.dtb.walker 61250 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.itb.walker 70150 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 73051.685567 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 70360.873973 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 70585.429801 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.dtb.walker 61250 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.itb.walker 70150 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 73051.685567 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 70360.873973 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 70585.429801 # average overall miss latency
+system.cpu.l2cache.overall_misses::cpu.inst 12929 # number of overall misses
+system.cpu.l2cache.overall_misses::cpu.data 142092 # number of overall misses
+system.cpu.l2cache.overall_misses::total 155028 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency::cpu.dtb.walker 164250 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.itb.walker 365000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 954586500 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.data 2172547250 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::total 3127663000 # number of ReadReq miss cycles
+system.cpu.l2cache.UpgradeReq_miss_latency::cpu.data 15242851 # number of UpgradeReq miss cycles
+system.cpu.l2cache.UpgradeReq_miss_latency::total 15242851 # number of UpgradeReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 7899568975 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 7899568975 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.dtb.walker 164250 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.itb.walker 365000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 954586500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 10072116225 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 11027231975 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.dtb.walker 164250 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.itb.walker 365000 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 954586500 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 10072116225 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 11027231975 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses::cpu.dtb.walker 6618 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.itb.walker 2871 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.inst 790615 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.data 1307906 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::total 2108010 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.Writeback_accesses::writebacks 1541461 # number of Writeback accesses(hits+misses)
+system.cpu.l2cache.Writeback_accesses::total 1541461 # number of Writeback accesses(hits+misses)
+system.cpu.l2cache.UpgradeReq_accesses::cpu.data 1631 # number of UpgradeReq accesses(hits+misses)
+system.cpu.l2cache.UpgradeReq_accesses::total 1631 # number of UpgradeReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses::cpu.data 313068 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses::total 313068 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses::cpu.dtb.walker 6618 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.itb.walker 2871 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.inst 790615 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.data 1620974 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::total 2421078 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.dtb.walker 6618 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.itb.walker 2871 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.inst 790615 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.data 1620974 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::total 2421078 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.dtb.walker 0.000302 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.itb.walker 0.001742 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.016353 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.021895 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::total 0.019721 # miss rate for ReadReq accesses
+system.cpu.l2cache.UpgradeReq_miss_rate::cpu.data 0.808706 # miss rate for UpgradeReq accesses
+system.cpu.l2cache.UpgradeReq_miss_rate::total 0.808706 # miss rate for UpgradeReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 0.362397 # miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate::total 0.362397 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate::cpu.dtb.walker 0.000302 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.itb.walker 0.001742 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.inst 0.016353 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.data 0.087658 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::total 0.064033 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate::cpu.dtb.walker 0.000302 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::cpu.itb.walker 0.001742 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::cpu.inst 0.016353 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::cpu.data 0.087658 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::total 0.064033 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.dtb.walker 82125 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.itb.walker 73000 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 73832.972388 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 75865.043475 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::total 75233.035865 # average ReadReq miss latency
+system.cpu.l2cache.UpgradeReq_avg_miss_latency::cpu.data 11556.369219 # average UpgradeReq miss latency
+system.cpu.l2cache.UpgradeReq_avg_miss_latency::total 11556.369219 # average UpgradeReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 69627.332202 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 69627.332202 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.dtb.walker 82125 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.itb.walker 73000 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 73832.972388 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 70884.470801 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 71130.582701 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.dtb.walker 82125 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.itb.walker 73000 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 73832.972388 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 70884.470801 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 71130.582701 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -1200,90 +1217,90 @@ system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan
system.cpu.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.l2cache.fast_writes 0 # number of fast writes performed
system.cpu.l2cache.cache_copies 0 # number of cache copies performed
-system.cpu.l2cache.writebacks::writebacks 80285 # number of writebacks
-system.cpu.l2cache.writebacks::total 80285 # number of writebacks
-system.cpu.l2cache.ReadReq_mshr_misses::cpu.dtb.walker 1 # number of ReadReq MSHR misses
+system.cpu.l2cache.writebacks::writebacks 80343 # number of writebacks
+system.cpu.l2cache.writebacks::total 80343 # number of writebacks
+system.cpu.l2cache.ReadReq_mshr_misses::cpu.dtb.walker 2 # number of ReadReq MSHR misses
system.cpu.l2cache.ReadReq_mshr_misses::cpu.itb.walker 5 # number of ReadReq MSHR misses
-system.cpu.l2cache.ReadReq_mshr_misses::cpu.inst 12963 # number of ReadReq MSHR misses
-system.cpu.l2cache.ReadReq_mshr_misses::cpu.data 28642 # number of ReadReq MSHR misses
-system.cpu.l2cache.ReadReq_mshr_misses::total 41611 # number of ReadReq MSHR misses
-system.cpu.l2cache.UpgradeReq_mshr_misses::cpu.data 1325 # number of UpgradeReq MSHR misses
-system.cpu.l2cache.UpgradeReq_mshr_misses::total 1325 # number of UpgradeReq MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 113677 # number of ReadExReq MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_misses::total 113677 # number of ReadExReq MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.dtb.walker 1 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.ReadReq_mshr_misses::cpu.inst 12929 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadReq_mshr_misses::cpu.data 28637 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadReq_mshr_misses::total 41573 # number of ReadReq MSHR misses
+system.cpu.l2cache.UpgradeReq_mshr_misses::cpu.data 1319 # number of UpgradeReq MSHR misses
+system.cpu.l2cache.UpgradeReq_mshr_misses::total 1319 # number of UpgradeReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 113455 # number of ReadExReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses::total 113455 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses::cpu.dtb.walker 2 # number of demand (read+write) MSHR misses
system.cpu.l2cache.demand_mshr_misses::cpu.itb.walker 5 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.inst 12963 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.data 142319 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::total 155288 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.dtb.walker 1 # number of overall MSHR misses
+system.cpu.l2cache.demand_mshr_misses::cpu.inst 12929 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.demand_mshr_misses::cpu.data 142092 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.demand_mshr_misses::total 155028 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses::cpu.dtb.walker 2 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.itb.walker 5 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.inst 12963 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.data 142319 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::total 155288 # number of overall MSHR misses
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.dtb.walker 48750 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.itb.walker 287750 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 784590000 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 1789530750 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::total 2574457250 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.UpgradeReq_mshr_miss_latency::cpu.data 13262825 # number of UpgradeReq MSHR miss cycles
-system.cpu.l2cache.UpgradeReq_mshr_miss_latency::total 13262825 # number of UpgradeReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 6444689027 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 6444689027 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.dtb.walker 48750 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.itb.walker 287750 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 784590000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 8234219777 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 9019146277 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.dtb.walker 48750 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.itb.walker 287750 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 784590000 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 8234219777 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 9019146277 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_misses::cpu.inst 12929 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_misses::cpu.data 142092 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_misses::total 155028 # number of overall MSHR misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.dtb.walker 138750 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.itb.walker 301500 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 792612500 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 1813424750 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::total 2606477500 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.UpgradeReq_mshr_miss_latency::cpu.data 13194319 # number of UpgradeReq MSHR miss cycles
+system.cpu.l2cache.UpgradeReq_mshr_miss_latency::total 13194319 # number of UpgradeReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 6481465525 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 6481465525 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.dtb.walker 138750 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.itb.walker 301500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 792612500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 8294890275 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 9087943025 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.dtb.walker 138750 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.itb.walker 301500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 792612500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 8294890275 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 9087943025 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_uncacheable_latency::cpu.data 86655868500 # number of ReadReq MSHR uncacheable cycles
system.cpu.l2cache.ReadReq_mshr_uncacheable_latency::total 86655868500 # number of ReadReq MSHR uncacheable cycles
system.cpu.l2cache.WriteReq_mshr_uncacheable_latency::cpu.data 2370634000 # number of WriteReq MSHR uncacheable cycles
system.cpu.l2cache.WriteReq_mshr_uncacheable_latency::total 2370634000 # number of WriteReq MSHR uncacheable cycles
system.cpu.l2cache.overall_mshr_uncacheable_latency::cpu.data 89026502500 # number of overall MSHR uncacheable cycles
system.cpu.l2cache.overall_mshr_uncacheable_latency::total 89026502500 # number of overall MSHR uncacheable cycles
-system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.dtb.walker 0.000157 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.itb.walker 0.001734 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.016304 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 0.021907 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.019705 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.UpgradeReq_mshr_miss_rate::cpu.data 0.808420 # mshr miss rate for UpgradeReq accesses
-system.cpu.l2cache.UpgradeReq_mshr_miss_rate::total 0.808420 # mshr miss rate for UpgradeReq accesses
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 0.363017 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 0.363017 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.dtb.walker 0.000157 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.itb.walker 0.001734 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.016304 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 0.087820 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::total 0.064039 # mshr miss rate for demand accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.dtb.walker 0.000157 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.itb.walker 0.001734 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.016304 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 0.087820 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::total 0.064039 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.dtb.walker 48750 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.itb.walker 57550 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 60525.341356 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 62479.252496 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 61869.631828 # average ReadReq mshr miss latency
-system.cpu.l2cache.UpgradeReq_avg_mshr_miss_latency::cpu.data 10009.679245 # average UpgradeReq mshr miss latency
-system.cpu.l2cache.UpgradeReq_avg_mshr_miss_latency::total 10009.679245 # average UpgradeReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 56692.990024 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 56692.990024 # average ReadExReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.dtb.walker 48750 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.itb.walker 57550 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 60525.341356 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 57857.487595 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 58080.123880 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.dtb.walker 48750 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.itb.walker 57550 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 60525.341356 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 57857.487595 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 58080.123880 # average overall mshr miss latency
+system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.dtb.walker 0.000302 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.itb.walker 0.001742 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.016353 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 0.021895 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.019721 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.UpgradeReq_mshr_miss_rate::cpu.data 0.808706 # mshr miss rate for UpgradeReq accesses
+system.cpu.l2cache.UpgradeReq_mshr_miss_rate::total 0.808706 # mshr miss rate for UpgradeReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 0.362397 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 0.362397 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate::cpu.dtb.walker 0.000302 # mshr miss rate for demand accesses
+system.cpu.l2cache.demand_mshr_miss_rate::cpu.itb.walker 0.001742 # mshr miss rate for demand accesses
+system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.016353 # mshr miss rate for demand accesses
+system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 0.087658 # mshr miss rate for demand accesses
+system.cpu.l2cache.demand_mshr_miss_rate::total 0.064033 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate::cpu.dtb.walker 0.000302 # mshr miss rate for overall accesses
+system.cpu.l2cache.overall_mshr_miss_rate::cpu.itb.walker 0.001742 # mshr miss rate for overall accesses
+system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.016353 # mshr miss rate for overall accesses
+system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 0.087658 # mshr miss rate for overall accesses
+system.cpu.l2cache.overall_mshr_miss_rate::total 0.064033 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.dtb.walker 69375 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.itb.walker 60300 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 61305.011989 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 63324.536439 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 62696.401511 # average ReadReq mshr miss latency
+system.cpu.l2cache.UpgradeReq_avg_mshr_miss_latency::cpu.data 10003.274450 # average UpgradeReq mshr miss latency
+system.cpu.l2cache.UpgradeReq_avg_mshr_miss_latency::total 10003.274450 # average UpgradeReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 57128.073025 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 57128.073025 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.dtb.walker 69375 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.itb.walker 60300 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 61305.011989 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 58376.898594 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 58621.300830 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.dtb.walker 69375 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.itb.walker 60300 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 61305.011989 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 58376.898594 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 58621.300830 # average overall mshr miss latency
system.cpu.l2cache.ReadReq_avg_mshr_uncacheable_latency::cpu.data inf # average ReadReq mshr uncacheable latency
system.cpu.l2cache.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu.l2cache.WriteReq_avg_mshr_uncacheable_latency::cpu.data inf # average WriteReq mshr uncacheable latency
diff --git a/tests/quick/fs/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/stats.txt b/tests/quick/fs/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/stats.txt
index 403e6b21a..3b5938eca 100644
--- a/tests/quick/fs/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/stats.txt
+++ b/tests/quick/fs/80.netperf-stream/ref/alpha/linux/twosys-tsunami-simple-atomic/stats.txt
@@ -1,50 +1,81 @@
---------- Begin Simulation Statistics ----------
sim_seconds 0.200409 # Number of seconds simulated
-sim_ticks 200409284500 # Number of ticks simulated
-final_tick 4321214250500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_ticks 200409271000 # Number of ticks simulated
+final_tick 4321213476000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 23274047 # Simulator instruction rate (inst/s)
-host_op_rate 23274036 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 8904961694 # Simulator tick rate (ticks/s)
-host_mem_usage 483300 # Number of bytes of host memory used
-host_seconds 22.51 # Real time elapsed on the host
-sim_insts 523790075 # Number of instructions simulated
-sim_ops 523790075 # Number of ops (including micro ops) simulated
+host_inst_rate 15445218 # Simulator instruction rate (inst/s)
+host_op_rate 15445213 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 5909651303 # Simulator tick rate (ticks/s)
+host_mem_usage 534848 # Number of bytes of host memory used
+host_seconds 33.91 # Real time elapsed on the host
+sim_insts 523780905 # Number of instructions simulated
+sim_ops 523780905 # Number of ops (including micro ops) simulated
testsys.voltage_domain.voltage 1 # Voltage in Volts
testsys.clk_domain.clock 1000 # Clock period in ticks
-testsys.physmem.bytes_read::cpu.inst 81046720 # Number of bytes read from this memory
-testsys.physmem.bytes_read::cpu.data 27826276 # Number of bytes read from this memory
+testsys.physmem.bytes_read::cpu.inst 81044080 # Number of bytes read from this memory
+testsys.physmem.bytes_read::cpu.data 27825116 # Number of bytes read from this memory
testsys.physmem.bytes_read::tsunami.ethernet 57260496 # Number of bytes read from this memory
-testsys.physmem.bytes_read::total 166133492 # Number of bytes read from this memory
-testsys.physmem.bytes_inst_read::cpu.inst 81046720 # Number of instructions bytes read from this memory
-testsys.physmem.bytes_inst_read::total 81046720 # Number of instructions bytes read from this memory
-testsys.physmem.bytes_written::cpu.data 16606680 # Number of bytes written to this memory
+testsys.physmem.bytes_read::total 166129692 # Number of bytes read from this memory
+testsys.physmem.bytes_inst_read::cpu.inst 81044080 # Number of instructions bytes read from this memory
+testsys.physmem.bytes_inst_read::total 81044080 # Number of instructions bytes read from this memory
+testsys.physmem.bytes_written::cpu.data 16605404 # Number of bytes written to this memory
testsys.physmem.bytes_written::tsunami.ethernet 902 # Number of bytes written to this memory
-testsys.physmem.bytes_written::total 16607582 # Number of bytes written to this memory
-testsys.physmem.num_reads::cpu.inst 20261680 # Number of read requests responded to by this memory
-testsys.physmem.num_reads::cpu.data 3842559 # Number of read requests responded to by this memory
+testsys.physmem.bytes_written::total 16606306 # Number of bytes written to this memory
+testsys.physmem.num_reads::cpu.inst 20261020 # Number of read requests responded to by this memory
+testsys.physmem.num_reads::cpu.data 3842409 # Number of read requests responded to by this memory
testsys.physmem.num_reads::tsunami.ethernet 2385836 # Number of read requests responded to by this memory
-testsys.physmem.num_reads::total 26490075 # Number of read requests responded to by this memory
-testsys.physmem.num_writes::cpu.data 2258392 # Number of write requests responded to by this memory
+testsys.physmem.num_reads::total 26489265 # Number of read requests responded to by this memory
+testsys.physmem.num_writes::cpu.data 2258228 # Number of write requests responded to by this memory
testsys.physmem.num_writes::tsunami.ethernet 31 # Number of write requests responded to by this memory
-testsys.physmem.num_writes::total 2258423 # Number of write requests responded to by this memory
-testsys.physmem.bw_read::cpu.inst 404406014 # Total read bandwidth from this memory (bytes/s)
-testsys.physmem.bw_read::cpu.data 138847240 # Total read bandwidth from this memory (bytes/s)
-testsys.physmem.bw_read::tsunami.ethernet 285717781 # Total read bandwidth from this memory (bytes/s)
-testsys.physmem.bw_read::total 828971035 # Total read bandwidth from this memory (bytes/s)
-testsys.physmem.bw_inst_read::cpu.inst 404406014 # Instruction read bandwidth from this memory (bytes/s)
-testsys.physmem.bw_inst_read::total 404406014 # Instruction read bandwidth from this memory (bytes/s)
-testsys.physmem.bw_write::cpu.data 82863826 # Write bandwidth from this memory (bytes/s)
+testsys.physmem.num_writes::total 2258259 # Number of write requests responded to by this memory
+testsys.physmem.bw_read::cpu.inst 404392869 # Total read bandwidth from this memory (bytes/s)
+testsys.physmem.bw_read::cpu.data 138841461 # Total read bandwidth from this memory (bytes/s)
+testsys.physmem.bw_read::tsunami.ethernet 285717800 # Total read bandwidth from this memory (bytes/s)
+testsys.physmem.bw_read::total 828952130 # Total read bandwidth from this memory (bytes/s)
+testsys.physmem.bw_inst_read::cpu.inst 404392869 # Instruction read bandwidth from this memory (bytes/s)
+testsys.physmem.bw_inst_read::total 404392869 # Instruction read bandwidth from this memory (bytes/s)
+testsys.physmem.bw_write::cpu.data 82857464 # Write bandwidth from this memory (bytes/s)
testsys.physmem.bw_write::tsunami.ethernet 4501 # Write bandwidth from this memory (bytes/s)
-testsys.physmem.bw_write::total 82868326 # Write bandwidth from this memory (bytes/s)
-testsys.physmem.bw_total::cpu.inst 404406014 # Total bandwidth to/from this memory (bytes/s)
-testsys.physmem.bw_total::cpu.data 221711065 # Total bandwidth to/from this memory (bytes/s)
-testsys.physmem.bw_total::tsunami.ethernet 285722281 # Total bandwidth to/from this memory (bytes/s)
-testsys.physmem.bw_total::total 911839361 # Total bandwidth to/from this memory (bytes/s)
-testsys.membus.throughput 916540501 # Throughput (bytes/s)
-testsys.membus.data_through_bus 183683226 # Total data (bytes)
-testsys.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+testsys.physmem.bw_write::total 82861965 # Write bandwidth from this memory (bytes/s)
+testsys.physmem.bw_total::cpu.inst 404392869 # Total bandwidth to/from this memory (bytes/s)
+testsys.physmem.bw_total::cpu.data 221698925 # Total bandwidth to/from this memory (bytes/s)
+testsys.physmem.bw_total::tsunami.ethernet 285722301 # Total bandwidth to/from this memory (bytes/s)
+testsys.physmem.bw_total::total 911814095 # Total bandwidth to/from this memory (bytes/s)
+testsys.membus.trans_dist::ReadReq 26478762 # Transaction distribution
+testsys.membus.trans_dist::ReadResp 26587372 # Transaction distribution
+testsys.membus.trans_dist::WriteReq 2189273 # Transaction distribution
+testsys.membus.trans_dist::WriteResp 2189273 # Transaction distribution
+testsys.membus.trans_dist::LoadLockedReq 108610 # Transaction distribution
+testsys.membus.trans_dist::StoreCondReq 108528 # Transaction distribution
+testsys.membus.trans_dist::StoreCondResp 108528 # Transaction distribution
+testsys.membus.pkt_count_testsys.cpu.icache_port::testsys.physmem.port 40522040 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count_testsys.cpu.icache_port::total 40522040 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count_testsys.cpu.dcache_port::testsys.bridge.slave 275298 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count_testsys.cpu.dcache_port::testsys.physmem.port 12201274 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count_testsys.cpu.dcache_port::total 12476572 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count_testsys.iobridge.master::testsys.physmem.port 4771734 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count_testsys.iobridge.master::total 4771734 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count::total 57770346 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.cpu.icache_port::testsys.physmem.port 81044080 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.cpu.icache_port::total 81044080 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.cpu.dcache_port::testsys.bridge.slave 942152 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.cpu.dcache_port::testsys.physmem.port 44430520 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.cpu.dcache_port::total 45372672 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.iobridge.master::testsys.physmem.port 57261398 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.iobridge.master::total 57261398 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size::total 183678150 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.snoops 0 # Total snoops (count)
+testsys.membus.snoop_fanout::samples 28747524 # Request fanout histogram
+testsys.membus.snoop_fanout::mean 0.787786 # Request fanout histogram
+testsys.membus.snoop_fanout::stdev 0.408876 # Request fanout histogram
+testsys.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+testsys.membus.snoop_fanout::0 6100637 21.22% 21.22% # Request fanout histogram
+testsys.membus.snoop_fanout::1 22646887 78.78% 100.00% # Request fanout histogram
+testsys.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+testsys.membus.snoop_fanout::min_value 0 # Request fanout histogram
+testsys.membus.snoop_fanout::max_value 1 # Request fanout histogram
+testsys.membus.snoop_fanout::total 28747524 # Request fanout histogram
testsys.disk0.dma_read_full_pages 0 # Number of full page size DMA reads (not PRD).
testsys.disk0.dma_read_bytes 0 # Number of bytes transfered via DMA reads (not PRD).
testsys.disk0.dma_read_txs 0 # Number of DMA read transactions (not PRD).
@@ -62,22 +93,22 @@ testsys.cpu.dtb.fetch_hits 0 # IT
testsys.cpu.dtb.fetch_misses 0 # ITB misses
testsys.cpu.dtb.fetch_acv 0 # ITB acv
testsys.cpu.dtb.fetch_accesses 0 # ITB accesses
-testsys.cpu.dtb.read_hits 3916918 # DTB read hits
+testsys.cpu.dtb.read_hits 3916768 # DTB read hits
testsys.cpu.dtb.read_misses 3287 # DTB read misses
testsys.cpu.dtb.read_acv 80 # DTB read access violations
testsys.cpu.dtb.read_accesses 225414 # DTB read accesses
-testsys.cpu.dtb.write_hits 2316885 # DTB write hits
+testsys.cpu.dtb.write_hits 2316721 # DTB write hits
testsys.cpu.dtb.write_misses 528 # DTB write misses
testsys.cpu.dtb.write_acv 81 # DTB write access violations
testsys.cpu.dtb.write_accesses 109988 # DTB write accesses
-testsys.cpu.dtb.data_hits 6233803 # DTB hits
+testsys.cpu.dtb.data_hits 6233489 # DTB hits
testsys.cpu.dtb.data_misses 3815 # DTB misses
testsys.cpu.dtb.data_acv 161 # DTB access violations
testsys.cpu.dtb.data_accesses 335402 # DTB accesses
-testsys.cpu.itb.fetch_hits 4052211 # ITB hits
+testsys.cpu.itb.fetch_hits 4052237 # ITB hits
testsys.cpu.itb.fetch_misses 1497 # ITB misses
testsys.cpu.itb.fetch_acv 69 # ITB acv
-testsys.cpu.itb.fetch_accesses 4053708 # ITB accesses
+testsys.cpu.itb.fetch_accesses 4053734 # ITB accesses
testsys.cpu.itb.read_hits 0 # DTB read hits
testsys.cpu.itb.read_misses 0 # DTB read misses
testsys.cpu.itb.read_acv 0 # DTB read access violations
@@ -90,31 +121,31 @@ testsys.cpu.itb.data_hits 0 # DT
testsys.cpu.itb.data_misses 0 # DTB misses
testsys.cpu.itb.data_acv 0 # DTB access violations
testsys.cpu.itb.data_accesses 0 # DTB accesses
-testsys.cpu.numCycles 400804755 # number of cpu cycles simulated
+testsys.cpu.numCycles 400825859 # number of cpu cycles simulated
testsys.cpu.numWorkItemsStarted 0 # number of work items this cpu started
testsys.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-testsys.cpu.committedInsts 20257704 # Number of instructions committed
-testsys.cpu.committedOps 20257704 # Number of ops (including micro ops) committed
-testsys.cpu.num_int_alu_accesses 18837017 # Number of integer alu accesses
+testsys.cpu.committedInsts 20257044 # Number of instructions committed
+testsys.cpu.committedOps 20257044 # Number of ops (including micro ops) committed
+testsys.cpu.num_int_alu_accesses 18836392 # Number of integer alu accesses
testsys.cpu.num_fp_alu_accesses 17380 # Number of float alu accesses
-testsys.cpu.num_func_calls 1221180 # number of times a function call or return occured
-testsys.cpu.num_conditional_control_insts 1442148 # number of instructions that are conditional controls
-testsys.cpu.num_int_insts 18837017 # number of integer instructions
+testsys.cpu.num_func_calls 1221158 # number of times a function call or return occured
+testsys.cpu.num_conditional_control_insts 1442105 # number of instructions that are conditional controls
+testsys.cpu.num_int_insts 18836392 # number of integer instructions
testsys.cpu.num_fp_insts 17380 # number of float instructions
-testsys.cpu.num_int_register_reads 24787248 # number of times the integer registers were read
-testsys.cpu.num_int_register_writes 14693875 # number of times the integer registers were written
+testsys.cpu.num_int_register_reads 24786330 # number of times the integer registers were read
+testsys.cpu.num_int_register_writes 14693469 # number of times the integer registers were written
testsys.cpu.num_fp_register_reads 11166 # number of times the floating registers were read
testsys.cpu.num_fp_register_writes 10823 # number of times the floating registers were written
-testsys.cpu.num_mem_refs 6263046 # number of memory refs
-testsys.cpu.num_load_insts 3944033 # Number of load instructions
-testsys.cpu.num_store_insts 2319013 # Number of store instructions
-testsys.cpu.num_idle_cycles 380542207.362158 # Number of idle cycles
-testsys.cpu.num_busy_cycles 20262547.637842 # Number of busy cycles
-testsys.cpu.not_idle_fraction 0.050555 # Percentage of non-idle cycles
-testsys.cpu.idle_fraction 0.949445 # Percentage of idle cycles
-testsys.cpu.Branches 2929848 # Number of branches fetched
-testsys.cpu.op_class::No_OpClass 712819 3.52% 3.52% # Class of executed instruction
-testsys.cpu.op_class::IntAlu 12147338 59.95% 63.47% # Class of executed instruction
+testsys.cpu.num_mem_refs 6262732 # number of memory refs
+testsys.cpu.num_load_insts 3943883 # Number of load instructions
+testsys.cpu.num_store_insts 2318849 # Number of store instructions
+testsys.cpu.num_idle_cycles 380582482.461103 # Number of idle cycles
+testsys.cpu.num_busy_cycles 20243376.538897 # Number of busy cycles
+testsys.cpu.not_idle_fraction 0.050504 # Percentage of non-idle cycles
+testsys.cpu.idle_fraction 0.949496 # Percentage of idle cycles
+testsys.cpu.Branches 2929782 # Number of branches fetched
+testsys.cpu.op_class::No_OpClass 712785 3.52% 3.52% # Class of executed instruction
+testsys.cpu.op_class::IntAlu 12147004 59.95% 63.47% # Class of executed instruction
testsys.cpu.op_class::IntMult 21654 0.11% 63.58% # Class of executed instruction
testsys.cpu.op_class::IntDiv 0 0.00% 63.58% # Class of executed instruction
testsys.cpu.op_class::FloatAdd 4655 0.02% 63.60% # Class of executed instruction
@@ -143,34 +174,34 @@ testsys.cpu.op_class::SimdFloatMisc 0 0.00% 63.60% # Cl
testsys.cpu.op_class::SimdFloatMult 0 0.00% 63.60% # Class of executed instruction
testsys.cpu.op_class::SimdFloatMultAcc 0 0.00% 63.60% # Class of executed instruction
testsys.cpu.op_class::SimdFloatSqrt 0 0.00% 63.60% # Class of executed instruction
-testsys.cpu.op_class::MemRead 4230637 20.88% 84.48% # Class of executed instruction
-testsys.cpu.op_class::MemWrite 2319552 11.45% 95.93% # Class of executed instruction
-testsys.cpu.op_class::IprAccess 824102 4.07% 100.00% # Class of executed instruction
+testsys.cpu.op_class::MemRead 4230485 20.88% 84.48% # Class of executed instruction
+testsys.cpu.op_class::MemWrite 2319388 11.45% 95.93% # Class of executed instruction
+testsys.cpu.op_class::IprAccess 824126 4.07% 100.00% # Class of executed instruction
testsys.cpu.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-testsys.cpu.op_class::total 20261680 # Class of executed instruction
+testsys.cpu.op_class::total 20261020 # Class of executed instruction
testsys.cpu.kern.inst.arm 0 # number of arm instructions executed
testsys.cpu.kern.inst.quiesce 19580 # number of quiesce instructions executed
-testsys.cpu.kern.inst.hwrei 153667 # number of hwrei instructions executed
+testsys.cpu.kern.inst.hwrei 153669 # number of hwrei instructions executed
testsys.cpu.kern.ipl_count::0 62779 42.67% 42.67% # number of times we switched to this ipl
testsys.cpu.kern.ipl_count::21 19625 13.34% 56.01% # number of times we switched to this ipl
testsys.cpu.kern.ipl_count::22 205 0.14% 56.15% # number of times we switched to this ipl
-testsys.cpu.kern.ipl_count::31 64509 43.85% 100.00% # number of times we switched to this ipl
-testsys.cpu.kern.ipl_count::total 147118 # number of times we switched to this ipl
+testsys.cpu.kern.ipl_count::31 64511 43.85% 100.00% # number of times we switched to this ipl
+testsys.cpu.kern.ipl_count::total 147120 # number of times we switched to this ipl
testsys.cpu.kern.ipl_good::0 62773 43.18% 43.18% # number of times we switched to this ipl from a different ipl
testsys.cpu.kern.ipl_good::21 19625 13.50% 56.67% # number of times we switched to this ipl from a different ipl
testsys.cpu.kern.ipl_good::22 205 0.14% 56.82% # number of times we switched to this ipl from a different ipl
testsys.cpu.kern.ipl_good::31 62785 43.18% 100.00% # number of times we switched to this ipl from a different ipl
testsys.cpu.kern.ipl_good::total 145388 # number of times we switched to this ipl from a different ipl
-testsys.cpu.kern.ipl_ticks::0 194346512500 96.98% 96.98% # number of cycles we spent at this ipl
+testsys.cpu.kern.ipl_ticks::0 194347611000 96.98% 96.98% # number of cycles we spent at this ipl
testsys.cpu.kern.ipl_ticks::21 1588986000 0.79% 97.77% # number of cycles we spent at this ipl
testsys.cpu.kern.ipl_ticks::22 8815000 0.00% 97.78% # number of cycles we spent at this ipl
-testsys.cpu.kern.ipl_ticks::31 4458282500 2.22% 100.00% # number of cycles we spent at this ipl
-testsys.cpu.kern.ipl_ticks::total 200402596000 # number of cycles we spent at this ipl
+testsys.cpu.kern.ipl_ticks::31 4457946500 2.22% 100.00% # number of cycles we spent at this ipl
+testsys.cpu.kern.ipl_ticks::total 200403358500 # number of cycles we spent at this ipl
testsys.cpu.kern.ipl_used::0 0.999904 # fraction of swpipl calls that actually changed the ipl
testsys.cpu.kern.ipl_used::21 1 # fraction of swpipl calls that actually changed the ipl
testsys.cpu.kern.ipl_used::22 1 # fraction of swpipl calls that actually changed the ipl
-testsys.cpu.kern.ipl_used::31 0.973275 # fraction of swpipl calls that actually changed the ipl
-testsys.cpu.kern.ipl_used::total 0.988241 # fraction of swpipl calls that actually changed the ipl
+testsys.cpu.kern.ipl_used::31 0.973245 # fraction of swpipl calls that actually changed the ipl
+testsys.cpu.kern.ipl_used::total 0.988227 # fraction of swpipl calls that actually changed the ipl
testsys.cpu.kern.syscall::2 3 3.61% 3.61% # number of syscalls executed
testsys.cpu.kern.syscall::3 7 8.43% 12.05% # number of syscalls executed
testsys.cpu.kern.syscall::4 1 1.20% 13.25% # number of syscalls executed
@@ -195,27 +226,27 @@ testsys.cpu.kern.syscall::118 2 2.41% 100.00% # nu
testsys.cpu.kern.syscall::total 83 # number of syscalls executed
testsys.cpu.kern.callpal::swpctx 438 0.34% 0.34% # number of callpals executed
testsys.cpu.kern.callpal::tbi 20 0.02% 0.36% # number of callpals executed
-testsys.cpu.kern.callpal::swpipl 106830 83.26% 83.62% # number of callpals executed
+testsys.cpu.kern.callpal::swpipl 106832 83.26% 83.62% # number of callpals executed
testsys.cpu.kern.callpal::rdps 359 0.28% 83.90% # number of callpals executed
testsys.cpu.kern.callpal::wrusp 3 0.00% 83.90% # number of callpals executed
testsys.cpu.kern.callpal::rdusp 3 0.00% 83.90% # number of callpals executed
testsys.cpu.kern.callpal::rti 20470 15.95% 99.86% # number of callpals executed
testsys.cpu.kern.callpal::callsys 140 0.11% 99.97% # number of callpals executed
testsys.cpu.kern.callpal::imb 44 0.03% 100.00% # number of callpals executed
-testsys.cpu.kern.callpal::total 128307 # number of callpals executed
+testsys.cpu.kern.callpal::total 128309 # number of callpals executed
testsys.cpu.kern.mode_switch::kernel 1280 # number of protection mode switches
-testsys.cpu.kern.mode_switch::user 702 # number of protection mode switches
+testsys.cpu.kern.mode_switch::user 706 # number of protection mode switches
testsys.cpu.kern.mode_switch::idle 19629 # number of protection mode switches
-testsys.cpu.kern.mode_good::kernel 707
-testsys.cpu.kern.mode_good::user 702
+testsys.cpu.kern.mode_good::kernel 711
+testsys.cpu.kern.mode_good::user 706
testsys.cpu.kern.mode_good::idle 5
-testsys.cpu.kern.mode_switch_good::kernel 0.552344 # fraction of useful protection mode switches
+testsys.cpu.kern.mode_switch_good::kernel 0.555469 # fraction of useful protection mode switches
testsys.cpu.kern.mode_switch_good::user 1 # fraction of useful protection mode switches
testsys.cpu.kern.mode_switch_good::idle 0.000255 # fraction of useful protection mode switches
-testsys.cpu.kern.mode_switch_good::total 0.065430 # fraction of useful protection mode switches
-testsys.cpu.kern.mode_ticks::kernel 994603000 60.01% 60.01% # number of ticks spent at the given mode
-testsys.cpu.kern.mode_ticks::user 533068000 32.16% 92.17% # number of ticks spent at the given mode
-testsys.cpu.kern.mode_ticks::idle 129740500 7.83% 100.00% # number of ticks spent at the given mode
+testsys.cpu.kern.mode_switch_good::total 0.065788 # fraction of useful protection mode switches
+testsys.cpu.kern.mode_ticks::kernel 994253000 59.96% 59.96% # number of ticks spent at the given mode
+testsys.cpu.kern.mode_ticks::user 533088000 32.15% 92.11% # number of ticks spent at the given mode
+testsys.cpu.kern.mode_ticks::idle 130749000 7.89% 100.00% # number of ticks spent at the given mode
testsys.cpu.kern.swap_context 438 # number of times the context was actually changed
testsys.tsunami.ethernet.clk_domain.clock 2000 # Clock period in ticks
testsys.tsunami.ethernet.txBytes 960 # Bytes Transmitted
@@ -267,14 +298,32 @@ testsys.tsunami.ethernet.totalRxOrn 0 # to
testsys.tsunami.ethernet.coalescedTotal 1 # average number of interrupts coalesced into each post
testsys.tsunami.ethernet.postedInterrupts 2385819 # number of posts to CPU
testsys.tsunami.ethernet.droppedPackets 0 # number of packets dropped
-testsys.iobus.throughput 290423421 # Throughput (bytes/s)
-testsys.iobus.data_through_bus 58203550 # Total data (bytes)
+testsys.iobus.trans_dist::ReadReq 2483943 # Transaction distribution
+testsys.iobus.trans_dist::ReadResp 2483943 # Transaction distribution
+testsys.iobus.trans_dist::WriteReq 39573 # Transaction distribution
+testsys.iobus.trans_dist::WriteResp 39573 # Transaction distribution
+testsys.iobus.pkt_count_testsys.bridge.master::testsys.tsunami.cchip.pio 196204 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_count_testsys.bridge.master::testsys.tsunami.io.pio 336 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_count_testsys.bridge.master::testsys.tsunami.uart.pio 428 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_count_testsys.bridge.master::testsys.tsunami.ethernet.pio 78330 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_count_testsys.bridge.master::total 275298 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_count_testsys.tsunami.ethernet.dma::testsys.iobridge.slave 4771734 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_count_testsys.tsunami.ethernet.dma::total 4771734 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_count::total 5047032 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_size_testsys.bridge.master::testsys.tsunami.cchip.pio 784816 # Cumulative packet size per connected master and slave (bytes)
+testsys.iobus.pkt_size_testsys.bridge.master::testsys.tsunami.io.pio 462 # Cumulative packet size per connected master and slave (bytes)
+testsys.iobus.pkt_size_testsys.bridge.master::testsys.tsunami.uart.pio 214 # Cumulative packet size per connected master and slave (bytes)
+testsys.iobus.pkt_size_testsys.bridge.master::testsys.tsunami.ethernet.pio 156660 # Cumulative packet size per connected master and slave (bytes)
+testsys.iobus.pkt_size_testsys.bridge.master::total 942152 # Cumulative packet size per connected master and slave (bytes)
+testsys.iobus.pkt_size_testsys.tsunami.ethernet.dma::testsys.iobridge.slave 57261398 # Cumulative packet size per connected master and slave (bytes)
+testsys.iobus.pkt_size_testsys.tsunami.ethernet.dma::total 57261398 # Cumulative packet size per connected master and slave (bytes)
+testsys.iobus.pkt_size::total 58203550 # Cumulative packet size per connected master and slave (bytes)
drivesys.voltage_domain.voltage 1 # Voltage in Volts
drivesys.clk_domain.clock 1000 # Clock period in ticks
drivesys.physmem.bytes_read::cpu.inst 76205572 # Number of bytes read from this memory
drivesys.physmem.bytes_read::cpu.data 26284292 # Number of bytes read from this memory
-drivesys.physmem.bytes_read::tsunami.ethernet 57260526 # Number of bytes read from this memory
-drivesys.physmem.bytes_read::total 159750390 # Number of bytes read from this memory
+drivesys.physmem.bytes_read::tsunami.ethernet 57260550 # Number of bytes read from this memory
+drivesys.physmem.bytes_read::total 159750414 # Number of bytes read from this memory
drivesys.physmem.bytes_inst_read::cpu.inst 76205572 # Number of instructions bytes read from this memory
drivesys.physmem.bytes_inst_read::total 76205572 # Number of instructions bytes read from this memory
drivesys.physmem.bytes_written::cpu.data 14619632 # Number of bytes written to this memory
@@ -282,27 +331,58 @@ drivesys.physmem.bytes_written::tsunami.ethernet 1064
drivesys.physmem.bytes_written::total 14620696 # Number of bytes written to this memory
drivesys.physmem.num_reads::cpu.inst 19051393 # Number of read requests responded to by this memory
drivesys.physmem.num_reads::cpu.data 3647049 # Number of read requests responded to by this memory
-drivesys.physmem.num_reads::tsunami.ethernet 2385838 # Number of read requests responded to by this memory
-drivesys.physmem.num_reads::total 25084280 # Number of read requests responded to by this memory
+drivesys.physmem.num_reads::tsunami.ethernet 2385839 # Number of read requests responded to by this memory
+drivesys.physmem.num_reads::total 25084281 # Number of read requests responded to by this memory
drivesys.physmem.num_writes::cpu.data 2024776 # Number of write requests responded to by this memory
drivesys.physmem.num_writes::tsunami.ethernet 37 # Number of write requests responded to by this memory
drivesys.physmem.num_writes::total 2024813 # Number of write requests responded to by this memory
-drivesys.physmem.bw_read::cpu.inst 380249708 # Total read bandwidth from this memory (bytes/s)
-drivesys.physmem.bw_read::cpu.data 131153065 # Total read bandwidth from this memory (bytes/s)
-drivesys.physmem.bw_read::tsunami.ethernet 285717930 # Total read bandwidth from this memory (bytes/s)
-drivesys.physmem.bw_read::total 797120704 # Total read bandwidth from this memory (bytes/s)
-drivesys.physmem.bw_inst_read::cpu.inst 380249708 # Instruction read bandwidth from this memory (bytes/s)
-drivesys.physmem.bw_inst_read::total 380249708 # Instruction read bandwidth from this memory (bytes/s)
-drivesys.physmem.bw_write::cpu.data 72948876 # Write bandwidth from this memory (bytes/s)
+drivesys.physmem.bw_read::cpu.inst 380249734 # Total read bandwidth from this memory (bytes/s)
+drivesys.physmem.bw_read::cpu.data 131153074 # Total read bandwidth from this memory (bytes/s)
+drivesys.physmem.bw_read::tsunami.ethernet 285718069 # Total read bandwidth from this memory (bytes/s)
+drivesys.physmem.bw_read::total 797120878 # Total read bandwidth from this memory (bytes/s)
+drivesys.physmem.bw_inst_read::cpu.inst 380249734 # Instruction read bandwidth from this memory (bytes/s)
+drivesys.physmem.bw_inst_read::total 380249734 # Instruction read bandwidth from this memory (bytes/s)
+drivesys.physmem.bw_write::cpu.data 72948881 # Write bandwidth from this memory (bytes/s)
drivesys.physmem.bw_write::tsunami.ethernet 5309 # Write bandwidth from this memory (bytes/s)
-drivesys.physmem.bw_write::total 72954185 # Write bandwidth from this memory (bytes/s)
-drivesys.physmem.bw_total::cpu.inst 380249708 # Total bandwidth to/from this memory (bytes/s)
-drivesys.physmem.bw_total::cpu.data 204101941 # Total bandwidth to/from this memory (bytes/s)
-drivesys.physmem.bw_total::tsunami.ethernet 285723240 # Total bandwidth to/from this memory (bytes/s)
-drivesys.physmem.bw_total::total 870074889 # Total bandwidth to/from this memory (bytes/s)
-drivesys.membus.throughput 874808223 # Throughput (bytes/s)
-drivesys.membus.data_through_bus 175319690 # Total data (bytes)
-drivesys.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+drivesys.physmem.bw_write::total 72954190 # Write bandwidth from this memory (bytes/s)
+drivesys.physmem.bw_total::cpu.inst 380249734 # Total bandwidth to/from this memory (bytes/s)
+drivesys.physmem.bw_total::cpu.data 204101955 # Total bandwidth to/from this memory (bytes/s)
+drivesys.physmem.bw_total::tsunami.ethernet 285723379 # Total bandwidth to/from this memory (bytes/s)
+drivesys.physmem.bw_total::total 870075068 # Total bandwidth to/from this memory (bytes/s)
+drivesys.membus.trans_dist::ReadReq 25081955 # Transaction distribution
+drivesys.membus.trans_dist::ReadResp 25182911 # Transaction distribution
+drivesys.membus.trans_dist::WriteReq 1963575 # Transaction distribution
+drivesys.membus.trans_dist::WriteResp 1963575 # Transaction distribution
+drivesys.membus.trans_dist::LoadLockedReq 100956 # Transaction distribution
+drivesys.membus.trans_dist::StoreCondReq 100924 # Transaction distribution
+drivesys.membus.trans_dist::StoreCondResp 100924 # Transaction distribution
+drivesys.membus.pkt_count_drivesys.cpu.icache_port::drivesys.physmem.port 38102786 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count_drivesys.cpu.icache_port::total 38102786 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count_drivesys.cpu.dcache_port::drivesys.bridge.slave 276632 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count_drivesys.cpu.dcache_port::drivesys.physmem.port 11343650 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count_drivesys.cpu.dcache_port::total 11620282 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count_drivesys.iobridge.master::drivesys.physmem.port 4771752 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count_drivesys.iobridge.master::total 4771752 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count::total 54494820 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.cpu.icache_port::drivesys.physmem.port 76205572 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.cpu.icache_port::total 76205572 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.cpu.dcache_port::drivesys.bridge.slave 948604 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.cpu.dcache_port::drivesys.physmem.port 40903924 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.cpu.dcache_port::total 41852528 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.iobridge.master::drivesys.physmem.port 57261614 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.iobridge.master::total 57261614 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size::total 175319714 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.snoops 0 # Total snoops (count)
+drivesys.membus.snoop_fanout::samples 27109094 # Request fanout histogram
+drivesys.membus.snoop_fanout::mean 0.790778 # Request fanout histogram
+drivesys.membus.snoop_fanout::stdev 0.406753 # Request fanout histogram
+drivesys.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+drivesys.membus.snoop_fanout::0 5671825 20.92% 20.92% # Request fanout histogram
+drivesys.membus.snoop_fanout::1 21437269 79.08% 100.00% # Request fanout histogram
+drivesys.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+drivesys.membus.snoop_fanout::min_value 0 # Request fanout histogram
+drivesys.membus.snoop_fanout::max_value 1 # Request fanout histogram
+drivesys.membus.snoop_fanout::total 27109094 # Request fanout histogram
drivesys.disk0.dma_read_full_pages 0 # Number of full page size DMA reads (not PRD).
drivesys.disk0.dma_read_bytes 0 # Number of bytes transfered via DMA reads (not PRD).
drivesys.disk0.dma_read_txs 0 # Number of DMA read transactions (not PRD).
@@ -348,7 +428,7 @@ drivesys.cpu.itb.data_hits 0 # DT
drivesys.cpu.itb.data_misses 0 # DTB misses
drivesys.cpu.itb.data_acv 0 # DTB access violations
drivesys.cpu.itb.data_accesses 0 # DTB accesses
-drivesys.cpu.numCycles 801631448 # number of cpu cycles simulated
+drivesys.cpu.numCycles 801651324 # number of cpu cycles simulated
drivesys.cpu.numWorkItemsStarted 0 # number of work items this cpu started
drivesys.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
drivesys.cpu.committedInsts 19050784 # Number of instructions committed
@@ -366,10 +446,10 @@ drivesys.cpu.num_fp_register_writes 766 # nu
drivesys.cpu.num_mem_refs 5830788 # number of memory refs
drivesys.cpu.num_load_insts 3746196 # Number of load instructions
drivesys.cpu.num_store_insts 2084592 # Number of store instructions
-drivesys.cpu.num_idle_cycles 782579974.227931 # Number of idle cycles
-drivesys.cpu.num_busy_cycles 19051473.772069 # Number of busy cycles
-drivesys.cpu.not_idle_fraction 0.023766 # Percentage of non-idle cycles
-drivesys.cpu.idle_fraction 0.976234 # Percentage of idle cycles
+drivesys.cpu.num_idle_cycles 782619252.927065 # Number of idle cycles
+drivesys.cpu.num_busy_cycles 19032071.072935 # Number of busy cycles
+drivesys.cpu.not_idle_fraction 0.023741 # Percentage of non-idle cycles
+drivesys.cpu.idle_fraction 0.976259 # Percentage of idle cycles
drivesys.cpu.Branches 2793313 # Number of branches fetched
drivesys.cpu.op_class::No_OpClass 623554 3.27% 3.27% # Class of executed instruction
drivesys.cpu.op_class::IntAlu 11538627 60.57% 63.84% # Class of executed instruction
@@ -476,9 +556,9 @@ drivesys.tsunami.ethernet.txTcpChecksums 2 # Nu
drivesys.tsunami.ethernet.rxTcpChecksums 8 # Number of rx TCP Checksums done by device
drivesys.tsunami.ethernet.txUdpChecksums 0 # Number of tx UDP Checksums done by device
drivesys.tsunami.ethernet.rxUdpChecksums 0 # Number of rx UDP Checksums done by device
-drivesys.tsunami.ethernet.descDMAReads 2385809 # Number of descriptors the device read w/ DMA
+drivesys.tsunami.ethernet.descDMAReads 2385810 # Number of descriptors the device read w/ DMA
drivesys.tsunami.ethernet.descDMAWrites 13 # Number of descriptors the device wrote w/ DMA
-drivesys.tsunami.ethernet.descDmaReadBytes 57259416 # number of descriptor bytes read w/ DMA
+drivesys.tsunami.ethernet.descDmaReadBytes 57259440 # number of descriptor bytes read w/ DMA
drivesys.tsunami.ethernet.descDmaWriteBytes 104 # number of descriptor bytes write w/ DMA
drivesys.tsunami.ethernet.totBandwidth 70176 # Total Bandwidth (bits/s)
drivesys.tsunami.ethernet.totPackets 13 # Total Packets
@@ -505,7 +585,7 @@ drivesys.tsunami.ethernet.coalescedTxOk 0 # av
drivesys.tsunami.ethernet.totalTxOk 0 # total number of TxOk written to ISR
drivesys.tsunami.ethernet.postedTxIdle 19726 # number of TxIdle interrupts posted to CPU
drivesys.tsunami.ethernet.coalescedTxIdle 1 # average number of TxIdle's coalesced into each post
-drivesys.tsunami.ethernet.totalTxIdle 2385809 # total number of TxIdle written to ISR
+drivesys.tsunami.ethernet.totalTxIdle 2385810 # total number of TxIdle written to ISR
drivesys.tsunami.ethernet.postedTxDesc 0 # number of TxDesc interrupts posted to CPU
drivesys.tsunami.ethernet.coalescedTxDesc 0 # average number of TxDesc's coalesced into each post
drivesys.tsunami.ethernet.totalTxDesc 0 # total number of TxDesc written to ISR
@@ -513,25 +593,39 @@ drivesys.tsunami.ethernet.postedRxOrn 0 # nu
drivesys.tsunami.ethernet.coalescedRxOrn 0 # average number of RxOrn's coalesced into each post
drivesys.tsunami.ethernet.totalRxOrn 0 # total number of RxOrn written to ISR
drivesys.tsunami.ethernet.coalescedTotal 1 # average number of interrupts coalesced into each post
-drivesys.tsunami.ethernet.postedInterrupts 2385830 # number of posts to CPU
+drivesys.tsunami.ethernet.postedInterrupts 2385831 # number of posts to CPU
drivesys.tsunami.ethernet.droppedPackets 0 # number of packets dropped
-drivesys.iobus.throughput 290456573 # Throughput (bytes/s)
-drivesys.iobus.data_through_bus 58210194 # Total data (bytes)
+drivesys.iobus.trans_dist::ReadReq 2484469 # Transaction distribution
+drivesys.iobus.trans_dist::ReadResp 2484469 # Transaction distribution
+drivesys.iobus.trans_dist::WriteReq 39723 # Transaction distribution
+drivesys.iobus.trans_dist::WriteResp 39723 # Transaction distribution
+drivesys.iobus.pkt_count_drivesys.bridge.master::drivesys.tsunami.cchip.pio 197670 # Packet count per connected master and slave (bytes)
+drivesys.iobus.pkt_count_drivesys.bridge.master::drivesys.tsunami.ethernet.pio 78962 # Packet count per connected master and slave (bytes)
+drivesys.iobus.pkt_count_drivesys.bridge.master::total 276632 # Packet count per connected master and slave (bytes)
+drivesys.iobus.pkt_count_drivesys.tsunami.ethernet.dma::drivesys.iobridge.slave 4771752 # Packet count per connected master and slave (bytes)
+drivesys.iobus.pkt_count_drivesys.tsunami.ethernet.dma::total 4771752 # Packet count per connected master and slave (bytes)
+drivesys.iobus.pkt_count::total 5048384 # Packet count per connected master and slave (bytes)
+drivesys.iobus.pkt_size_drivesys.bridge.master::drivesys.tsunami.cchip.pio 790680 # Cumulative packet size per connected master and slave (bytes)
+drivesys.iobus.pkt_size_drivesys.bridge.master::drivesys.tsunami.ethernet.pio 157924 # Cumulative packet size per connected master and slave (bytes)
+drivesys.iobus.pkt_size_drivesys.bridge.master::total 948604 # Cumulative packet size per connected master and slave (bytes)
+drivesys.iobus.pkt_size_drivesys.tsunami.ethernet.dma::drivesys.iobridge.slave 57261614 # Cumulative packet size per connected master and slave (bytes)
+drivesys.iobus.pkt_size_drivesys.tsunami.ethernet.dma::total 57261614 # Cumulative packet size per connected master and slave (bytes)
+drivesys.iobus.pkt_size::total 58210218 # Cumulative packet size per connected master and slave (bytes)
---------- End Simulation Statistics ----------
---------- Begin Simulation Statistics ----------
sim_seconds 0.000407 # Number of seconds simulated
sim_ticks 407341500 # Number of ticks simulated
-final_tick 4321621592000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+final_tick 4321620817500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 11799945954 # Simulator instruction rate (inst/s)
-host_op_rate 11797124974 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 9171074905 # Simulator tick rate (ticks/s)
-host_mem_usage 483300 # Number of bytes of host memory used
-host_seconds 0.04 # Real time elapsed on the host
-sim_insts 523862353 # Number of instructions simulated
-sim_ops 523862353 # Number of ops (including micro ops) simulated
+host_inst_rate 7893991697 # Simulator instruction rate (inst/s)
+host_op_rate 7892445581 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 6135954870 # Simulator tick rate (ticks/s)
+host_mem_usage 534848 # Number of bytes of host memory used
+host_seconds 0.07 # Real time elapsed on the host
+sim_insts 523853183 # Number of instructions simulated
+sim_ops 523853183 # Number of ops (including micro ops) simulated
testsys.voltage_domain.voltage 1 # Voltage in Volts
testsys.clk_domain.clock 1000 # Clock period in ticks
testsys.physmem.bytes_read::cpu.inst 144504 # Number of bytes read from this memory
@@ -560,9 +654,40 @@ testsys.physmem.bw_total::cpu.inst 354749025 # To
testsys.physmem.bw_total::cpu.data 190601743 # Total bandwidth to/from this memory (bytes/s)
testsys.physmem.bw_total::tsunami.ethernet 285696400 # Total bandwidth to/from this memory (bytes/s)
testsys.physmem.bw_total::total 831047168 # Total bandwidth to/from this memory (bytes/s)
-testsys.membus.throughput 835780297 # Throughput (bytes/s)
-testsys.membus.data_through_bus 340448 # Total data (bytes)
-testsys.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+testsys.membus.trans_dist::ReadReq 47876 # Transaction distribution
+testsys.membus.trans_dist::ReadResp 48080 # Transaction distribution
+testsys.membus.trans_dist::WriteReq 3691 # Transaction distribution
+testsys.membus.trans_dist::WriteResp 3691 # Transaction distribution
+testsys.membus.trans_dist::LoadLockedReq 204 # Transaction distribution
+testsys.membus.trans_dist::StoreCondReq 204 # Transaction distribution
+testsys.membus.trans_dist::StoreCondResp 204 # Transaction distribution
+testsys.membus.pkt_count_testsys.cpu.icache_port::testsys.physmem.port 72252 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count_testsys.cpu.icache_port::total 72252 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count_testsys.cpu.dcache_port::testsys.bridge.slave 562 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count_testsys.cpu.dcache_port::testsys.physmem.port 21438 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count_testsys.cpu.dcache_port::total 22000 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count_testsys.iobridge.master::testsys.physmem.port 9698 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count_testsys.iobridge.master::total 9698 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_count::total 103950 # Packet count per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.cpu.icache_port::testsys.physmem.port 144504 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.cpu.icache_port::total 144504 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.cpu.dcache_port::testsys.bridge.slave 1928 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.cpu.dcache_port::testsys.physmem.port 77640 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.cpu.dcache_port::total 79568 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.iobridge.master::testsys.physmem.port 116376 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size_testsys.iobridge.master::total 116376 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.pkt_size::total 340448 # Cumulative packet size per connected master and slave (bytes)
+testsys.membus.snoops 0 # Total snoops (count)
+testsys.membus.snoop_fanout::samples 51694 # Request fanout histogram
+testsys.membus.snoop_fanout::mean 0.792645 # Request fanout histogram
+testsys.membus.snoop_fanout::stdev 0.405416 # Request fanout histogram
+testsys.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+testsys.membus.snoop_fanout::0 10719 20.74% 20.74% # Request fanout histogram
+testsys.membus.snoop_fanout::1 40975 79.26% 100.00% # Request fanout histogram
+testsys.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+testsys.membus.snoop_fanout::min_value 0 # Request fanout histogram
+testsys.membus.snoop_fanout::max_value 1 # Request fanout histogram
+testsys.membus.snoop_fanout::total 51694 # Request fanout histogram
testsys.disk0.dma_read_full_pages 0 # Number of full page size DMA reads (not PRD).
testsys.disk0.dma_read_bytes 0 # Number of bytes transfered via DMA reads (not PRD).
testsys.disk0.dma_read_txs 0 # Number of DMA read transactions (not PRD).
@@ -608,7 +733,7 @@ testsys.cpu.itb.data_hits 0 # DT
testsys.cpu.itb.data_misses 0 # DTB misses
testsys.cpu.itb.data_acv 0 # DTB access violations
testsys.cpu.itb.data_accesses 0 # DTB accesses
-testsys.cpu.numCycles 821016 # number of cpu cycles simulated
+testsys.cpu.numCycles 821056 # number of cpu cycles simulated
testsys.cpu.numWorkItemsStarted 0 # number of work items this cpu started
testsys.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
testsys.cpu.committedInsts 36126 # Number of instructions committed
@@ -626,10 +751,10 @@ testsys.cpu.num_fp_register_writes 0 # nu
testsys.cpu.num_mem_refs 11041 # number of memory refs
testsys.cpu.num_load_insts 7105 # Number of load instructions
testsys.cpu.num_store_insts 3936 # Number of store instructions
-testsys.cpu.num_idle_cycles 784609.171892 # Number of idle cycles
-testsys.cpu.num_busy_cycles 36406.828108 # Number of busy cycles
-testsys.cpu.not_idle_fraction 0.044344 # Percentage of non-idle cycles
-testsys.cpu.idle_fraction 0.955656 # Percentage of idle cycles
+testsys.cpu.num_idle_cycles 784687.711054 # Number of idle cycles
+testsys.cpu.num_busy_cycles 36368.288946 # Number of busy cycles
+testsys.cpu.not_idle_fraction 0.044295 # Percentage of non-idle cycles
+testsys.cpu.idle_fraction 0.955705 # Percentage of idle cycles
testsys.cpu.Branches 5238 # Number of branches fetched
testsys.cpu.op_class::No_OpClass 1261 3.49% 3.49% # Class of executed instruction
testsys.cpu.op_class::IntAlu 21664 59.97% 63.46% # Class of executed instruction
@@ -739,8 +864,22 @@ testsys.tsunami.ethernet.totalRxOrn 0 # to
testsys.tsunami.ethernet.coalescedTotal 1 # average number of interrupts coalesced into each post
testsys.tsunami.ethernet.postedInterrupts 4849 # number of posts to CPU
testsys.tsunami.ethernet.droppedPackets 0 # number of packets dropped
-testsys.iobus.throughput 290429529 # Throughput (bytes/s)
-testsys.iobus.data_through_bus 118304 # Total data (bytes)
+testsys.iobus.trans_dist::ReadReq 5049 # Transaction distribution
+testsys.iobus.trans_dist::ReadResp 5049 # Transaction distribution
+testsys.iobus.trans_dist::WriteReq 81 # Transaction distribution
+testsys.iobus.trans_dist::WriteResp 81 # Transaction distribution
+testsys.iobus.pkt_count_testsys.bridge.master::testsys.tsunami.cchip.pio 402 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_count_testsys.bridge.master::testsys.tsunami.ethernet.pio 160 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_count_testsys.bridge.master::total 562 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_count_testsys.tsunami.ethernet.dma::testsys.iobridge.slave 9698 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_count_testsys.tsunami.ethernet.dma::total 9698 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_count::total 10260 # Packet count per connected master and slave (bytes)
+testsys.iobus.pkt_size_testsys.bridge.master::testsys.tsunami.cchip.pio 1608 # Cumulative packet size per connected master and slave (bytes)
+testsys.iobus.pkt_size_testsys.bridge.master::testsys.tsunami.ethernet.pio 320 # Cumulative packet size per connected master and slave (bytes)
+testsys.iobus.pkt_size_testsys.bridge.master::total 1928 # Cumulative packet size per connected master and slave (bytes)
+testsys.iobus.pkt_size_testsys.tsunami.ethernet.dma::testsys.iobridge.slave 116376 # Cumulative packet size per connected master and slave (bytes)
+testsys.iobus.pkt_size_testsys.tsunami.ethernet.dma::total 116376 # Cumulative packet size per connected master and slave (bytes)
+testsys.iobus.pkt_size::total 118304 # Cumulative packet size per connected master and slave (bytes)
drivesys.voltage_domain.voltage 1 # Voltage in Volts
drivesys.clk_domain.clock 1000 # Clock period in ticks
drivesys.physmem.bytes_read::cpu.inst 144608 # Number of bytes read from this memory
@@ -769,9 +908,40 @@ drivesys.physmem.bw_total::cpu.inst 355004339 # To
drivesys.physmem.bw_total::cpu.data 190601743 # Total bandwidth to/from this memory (bytes/s)
drivesys.physmem.bw_total::tsunami.ethernet 285755318 # Total bandwidth to/from this memory (bytes/s)
drivesys.physmem.bw_total::total 831361401 # Total bandwidth to/from this memory (bytes/s)
-drivesys.membus.throughput 836094530 # Throughput (bytes/s)
-drivesys.membus.data_through_bus 340576 # Total data (bytes)
-drivesys.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+drivesys.membus.trans_dist::ReadReq 47907 # Transaction distribution
+drivesys.membus.trans_dist::ReadResp 48111 # Transaction distribution
+drivesys.membus.trans_dist::WriteReq 3689 # Transaction distribution
+drivesys.membus.trans_dist::WriteResp 3689 # Transaction distribution
+drivesys.membus.trans_dist::LoadLockedReq 204 # Transaction distribution
+drivesys.membus.trans_dist::StoreCondReq 204 # Transaction distribution
+drivesys.membus.trans_dist::StoreCondResp 204 # Transaction distribution
+drivesys.membus.pkt_count_drivesys.cpu.icache_port::drivesys.physmem.port 72304 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count_drivesys.cpu.icache_port::total 72304 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count_drivesys.cpu.dcache_port::drivesys.bridge.slave 562 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count_drivesys.cpu.dcache_port::drivesys.physmem.port 21442 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count_drivesys.cpu.dcache_port::total 22004 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count_drivesys.iobridge.master::drivesys.physmem.port 9700 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count_drivesys.iobridge.master::total 9700 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_count::total 104008 # Packet count per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.cpu.icache_port::drivesys.physmem.port 144608 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.cpu.icache_port::total 144608 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.cpu.dcache_port::drivesys.bridge.slave 1928 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.cpu.dcache_port::drivesys.physmem.port 77640 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.cpu.dcache_port::total 79568 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.iobridge.master::drivesys.physmem.port 116400 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size_drivesys.iobridge.master::total 116400 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.pkt_size::total 340576 # Cumulative packet size per connected master and slave (bytes)
+drivesys.membus.snoops 0 # Total snoops (count)
+drivesys.membus.snoop_fanout::samples 51723 # Request fanout histogram
+drivesys.membus.snoop_fanout::mean 0.792723 # Request fanout histogram
+drivesys.membus.snoop_fanout::stdev 0.405360 # Request fanout histogram
+drivesys.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+drivesys.membus.snoop_fanout::0 10721 20.73% 20.73% # Request fanout histogram
+drivesys.membus.snoop_fanout::1 41002 79.27% 100.00% # Request fanout histogram
+drivesys.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+drivesys.membus.snoop_fanout::min_value 0 # Request fanout histogram
+drivesys.membus.snoop_fanout::max_value 1 # Request fanout histogram
+drivesys.membus.snoop_fanout::total 51723 # Request fanout histogram
drivesys.disk0.dma_read_full_pages 0 # Number of full page size DMA reads (not PRD).
drivesys.disk0.dma_read_bytes 0 # Number of bytes transfered via DMA reads (not PRD).
drivesys.disk0.dma_read_txs 0 # Number of DMA read transactions (not PRD).
@@ -817,7 +987,7 @@ drivesys.cpu.itb.data_hits 0 # DT
drivesys.cpu.itb.data_misses 0 # DTB misses
drivesys.cpu.itb.data_acv 0 # DTB access violations
drivesys.cpu.itb.data_accesses 0 # DTB accesses
-drivesys.cpu.numCycles 1626240 # number of cpu cycles simulated
+drivesys.cpu.numCycles 1626281 # number of cpu cycles simulated
drivesys.cpu.numWorkItemsStarted 0 # number of work items this cpu started
drivesys.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
drivesys.cpu.committedInsts 36152 # Number of instructions committed
@@ -835,10 +1005,10 @@ drivesys.cpu.num_fp_register_writes 0 # nu
drivesys.cpu.num_mem_refs 11043 # number of memory refs
drivesys.cpu.num_load_insts 7109 # Number of load instructions
drivesys.cpu.num_store_insts 3934 # Number of store instructions
-drivesys.cpu.num_idle_cycles 1590157.359061 # Number of idle cycles
-drivesys.cpu.num_busy_cycles 36082.640939 # Number of busy cycles
-drivesys.cpu.not_idle_fraction 0.022188 # Percentage of non-idle cycles
-drivesys.cpu.idle_fraction 0.977812 # Percentage of idle cycles
+drivesys.cpu.num_idle_cycles 1590238.371734 # Number of idle cycles
+drivesys.cpu.num_busy_cycles 36042.628266 # Number of busy cycles
+drivesys.cpu.not_idle_fraction 0.022163 # Percentage of non-idle cycles
+drivesys.cpu.idle_fraction 0.977837 # Percentage of idle cycles
drivesys.cpu.Branches 5243 # Number of branches fetched
drivesys.cpu.op_class::No_OpClass 1262 3.49% 3.49% # Class of executed instruction
drivesys.cpu.op_class::IntAlu 21687 59.99% 63.48% # Class of executed instruction
@@ -948,7 +1118,21 @@ drivesys.tsunami.ethernet.totalRxOrn 0 # to
drivesys.tsunami.ethernet.coalescedTotal 1 # average number of interrupts coalesced into each post
drivesys.tsunami.ethernet.postedInterrupts 4850 # number of posts to CPU
drivesys.tsunami.ethernet.droppedPackets 0 # number of packets dropped
-drivesys.iobus.throughput 290488448 # Throughput (bytes/s)
-drivesys.iobus.data_through_bus 118328 # Total data (bytes)
+drivesys.iobus.trans_dist::ReadReq 5050 # Transaction distribution
+drivesys.iobus.trans_dist::ReadResp 5050 # Transaction distribution
+drivesys.iobus.trans_dist::WriteReq 81 # Transaction distribution
+drivesys.iobus.trans_dist::WriteResp 81 # Transaction distribution
+drivesys.iobus.pkt_count_drivesys.bridge.master::drivesys.tsunami.cchip.pio 402 # Packet count per connected master and slave (bytes)
+drivesys.iobus.pkt_count_drivesys.bridge.master::drivesys.tsunami.ethernet.pio 160 # Packet count per connected master and slave (bytes)
+drivesys.iobus.pkt_count_drivesys.bridge.master::total 562 # Packet count per connected master and slave (bytes)
+drivesys.iobus.pkt_count_drivesys.tsunami.ethernet.dma::drivesys.iobridge.slave 9700 # Packet count per connected master and slave (bytes)
+drivesys.iobus.pkt_count_drivesys.tsunami.ethernet.dma::total 9700 # Packet count per connected master and slave (bytes)
+drivesys.iobus.pkt_count::total 10262 # Packet count per connected master and slave (bytes)
+drivesys.iobus.pkt_size_drivesys.bridge.master::drivesys.tsunami.cchip.pio 1608 # Cumulative packet size per connected master and slave (bytes)
+drivesys.iobus.pkt_size_drivesys.bridge.master::drivesys.tsunami.ethernet.pio 320 # Cumulative packet size per connected master and slave (bytes)
+drivesys.iobus.pkt_size_drivesys.bridge.master::total 1928 # Cumulative packet size per connected master and slave (bytes)
+drivesys.iobus.pkt_size_drivesys.tsunami.ethernet.dma::drivesys.iobridge.slave 116400 # Cumulative packet size per connected master and slave (bytes)
+drivesys.iobus.pkt_size_drivesys.tsunami.ethernet.dma::total 116400 # Cumulative packet size per connected master and slave (bytes)
+drivesys.iobus.pkt_size::total 118328 # Cumulative packet size per connected master and slave (bytes)
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/stats.txt
index b10a33b72..353f5c8e3 100644
--- a/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/alpha/linux/minor-timing/stats.txt
@@ -1,14 +1,14 @@
---------- Begin Simulation Statistics ----------
sim_seconds 0.000035 # Number of seconds simulated
-sim_ticks 35015500 # Number of ticks simulated
-final_tick 35015500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_ticks 35024500 # Number of ticks simulated
+final_tick 35024500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 57020 # Simulator instruction rate (inst/s)
-host_op_rate 57008 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 311836228 # Simulator tick rate (ticks/s)
-host_mem_usage 240292 # Number of bytes of host memory used
-host_seconds 0.11 # Real time elapsed on the host
+host_inst_rate 173753 # Simulator instruction rate (inst/s)
+host_op_rate 173686 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 950177695 # Simulator tick rate (ticks/s)
+host_mem_usage 289108 # Number of bytes of host memory used
+host_seconds 0.04 # Real time elapsed on the host
sim_insts 6400 # Number of instructions simulated
sim_ops 6400 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -19,12 +19,12 @@ system.physmem.bytes_inst_read::cpu.inst 23296 # Nu
system.physmem.bytes_inst_read::total 23296 # Number of instructions bytes read from this memory
system.physmem.num_reads::cpu.inst 533 # Number of read requests responded to by this memory
system.physmem.num_reads::total 533 # Number of read requests responded to by this memory
-system.physmem.bw_read::cpu.inst 974197141 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 974197141 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 665305365 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 665305365 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 974197141 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 974197141 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_read::cpu.inst 973946809 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 973946809 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu.inst 665134406 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 665134406 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total::cpu.inst 973946809 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 973946809 # Total bandwidth to/from this memory (bytes/s)
system.physmem.readReqs 533 # Number of read requests accepted
system.physmem.writeReqs 0 # Number of write requests accepted
system.physmem.readBursts 533 # Number of DRAM read bursts, including those serviced by the write queue
@@ -71,7 +71,7 @@ system.physmem.perBankWrBursts::14 0 # Pe
system.physmem.perBankWrBursts::15 0 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 34917000 # Total gap between requests
+system.physmem.totGap 34926000 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 0 # Read request sizes (log2)
@@ -196,15 +196,15 @@ system.physmem.bytesPerActivate::768-895 1 1.11% 84.44% # By
system.physmem.bytesPerActivate::896-1023 4 4.44% 88.89% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 10 11.11% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 90 # Bytes accessed per row activation
-system.physmem.totQLat 3823500 # Total ticks spent queuing
-system.physmem.totMemAccLat 13817250 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 3928000 # Total ticks spent queuing
+system.physmem.totMemAccLat 13921750 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 2665000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 7173.55 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 7369.61 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 25923.55 # Average memory access latency per DRAM burst
-system.physmem.avgRdBW 974.20 # Average DRAM read bandwidth in MiByte/s
+system.physmem.avgMemAccLat 26119.61 # Average memory access latency per DRAM burst
+system.physmem.avgRdBW 973.95 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 974.20 # Average system read bandwidth in MiByte/s
+system.physmem.avgRdBWSys 973.95 # Average system read bandwidth in MiByte/s
system.physmem.avgWrBWSys 0.00 # Average system write bandwidth in MiByte/s
system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
system.physmem.busUtil 7.61 # Data bus utilization in percentage
@@ -216,24 +216,32 @@ system.physmem.readRowHits 435 # Nu
system.physmem.writeRowHits 0 # Number of row buffer hits during writes
system.physmem.readRowHitRate 81.61 # Row buffer hit rate for reads
system.physmem.writeRowHitRate nan # Row buffer hit rate for writes
-system.physmem.avgGap 65510.32 # Average gap between requests
+system.physmem.avgGap 65527.20 # Average gap between requests
system.physmem.pageHitRate 81.61 # Row buffer hit rate, read and write combined
system.physmem.memoryStateTime::IDLE 15500 # Time in different power states
system.physmem.memoryStateTime::REF 1040000 # Time in different power states
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem.memoryStateTime::ACT 30385500 # Time in different power states
+system.physmem.memoryStateTime::ACT 30394500 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 974197141 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 460 # Transaction distribution
system.membus.trans_dist::ReadResp 460 # Transaction distribution
system.membus.trans_dist::ReadExReq 73 # Transaction distribution
system.membus.trans_dist::ReadExResp 73 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 1066 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 1066 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 34112 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 34112 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 34112 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 34112 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 34112 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 533 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 533 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 533 # Request fanout histogram
system.membus.reqLayer0.occupancy 618000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 1.8 # Layer utilization (%)
system.membus.respLayer1.occupancy 4976250 # Layer occupancy (ticks)
@@ -281,26 +289,26 @@ system.cpu.itb.data_misses 0 # DT
system.cpu.itb.data_acv 0 # DTB access violations
system.cpu.itb.data_accesses 0 # DTB accesses
system.cpu.workload.num_syscalls 17 # Number of system calls
-system.cpu.numCycles 70031 # number of cpu cycles simulated
+system.cpu.numCycles 70049 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
system.cpu.committedInsts 6400 # Number of instructions committed
system.cpu.committedOps 6400 # Number of ops (including micro ops) committed
system.cpu.discardedOps 1118 # Number of ops (including micro ops) which were discarded before commit
system.cpu.numFetchSuspends 0 # Number of times Execute suspended instruction fetching
-system.cpu.cpi 10.942344 # CPI: cycles per instruction
-system.cpu.ipc 0.091388 # IPC: instructions per cycle
-system.cpu.tickCycles 12510 # Number of cycles that the object actually ticked
-system.cpu.idleCycles 57521 # Total number of cycles that the object has spent stopped
+system.cpu.cpi 10.945156 # CPI: cycles per instruction
+system.cpu.ipc 0.091365 # IPC: instructions per cycle
+system.cpu.tickCycles 12515 # Number of cycles that the object actually ticked
+system.cpu.idleCycles 57534 # Total number of cycles that the object has spent stopped
system.cpu.icache.tags.replacements 0 # number of replacements
-system.cpu.icache.tags.tagsinuse 176.143820 # Cycle average of tags in use
+system.cpu.icache.tags.tagsinuse 176.176418 # Cycle average of tags in use
system.cpu.icache.tags.total_refs 2265 # Total number of references to valid blocks.
system.cpu.icache.tags.sampled_refs 365 # Sample count of references to valid blocks.
system.cpu.icache.tags.avg_refs 6.205479 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 176.143820 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.086008 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.086008 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_blocks::cpu.inst 176.176418 # Average occupied blocks per requestor
+system.cpu.icache.tags.occ_percent::cpu.inst 0.086024 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_percent::total 0.086024 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 365 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::0 117 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::1 248 # Occupied blocks per task id
@@ -319,12 +327,12 @@ system.cpu.icache.demand_misses::cpu.inst 365 # n
system.cpu.icache.demand_misses::total 365 # number of demand (read+write) misses
system.cpu.icache.overall_misses::cpu.inst 365 # number of overall misses
system.cpu.icache.overall_misses::total 365 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 25932750 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 25932750 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 25932750 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 25932750 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 25932750 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 25932750 # number of overall miss cycles
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 25941750 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 25941750 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 25941750 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 25941750 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 25941750 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 25941750 # number of overall miss cycles
system.cpu.icache.ReadReq_accesses::cpu.inst 2630 # number of ReadReq accesses(hits+misses)
system.cpu.icache.ReadReq_accesses::total 2630 # number of ReadReq accesses(hits+misses)
system.cpu.icache.demand_accesses::cpu.inst 2630 # number of demand (read+write) accesses
@@ -337,12 +345,12 @@ system.cpu.icache.demand_miss_rate::cpu.inst 0.138783
system.cpu.icache.demand_miss_rate::total 0.138783 # miss rate for demand accesses
system.cpu.icache.overall_miss_rate::cpu.inst 0.138783 # miss rate for overall accesses
system.cpu.icache.overall_miss_rate::total 0.138783 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 71048.630137 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 71048.630137 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 71048.630137 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 71048.630137 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 71048.630137 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 71048.630137 # average overall miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 71073.287671 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 71073.287671 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 71073.287671 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 71073.287671 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 71073.287671 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 71073.287671 # average overall miss latency
system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -357,26 +365,25 @@ system.cpu.icache.demand_mshr_misses::cpu.inst 365
system.cpu.icache.demand_mshr_misses::total 365 # number of demand (read+write) MSHR misses
system.cpu.icache.overall_mshr_misses::cpu.inst 365 # number of overall MSHR misses
system.cpu.icache.overall_mshr_misses::total 365 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 25045250 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 25045250 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 25045250 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 25045250 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 25045250 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 25045250 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 25054250 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 25054250 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 25054250 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 25054250 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 25054250 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 25054250 # number of overall MSHR miss cycles
system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.138783 # mshr miss rate for ReadReq accesses
system.cpu.icache.ReadReq_mshr_miss_rate::total 0.138783 # mshr miss rate for ReadReq accesses
system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.138783 # mshr miss rate for demand accesses
system.cpu.icache.demand_mshr_miss_rate::total 0.138783 # mshr miss rate for demand accesses
system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.138783 # mshr miss rate for overall accesses
system.cpu.icache.overall_mshr_miss_rate::total 0.138783 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 68617.123288 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 68617.123288 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 68617.123288 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 68617.123288 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 68617.123288 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 68617.123288 # average overall mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 68641.780822 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 68641.780822 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 68641.780822 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 68641.780822 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 68641.780822 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 68641.780822 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 976024903 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 461 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 461 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 73 # Transaction distribution
@@ -384,11 +391,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 73 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 730 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 338 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 1068 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 23360 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 10816 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 34176 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 34176 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 23360 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 10816 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 34176 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 534 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 534 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 534 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 267000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.8 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 626250 # Layer occupancy (ticks)
@@ -396,14 +413,14 @@ system.cpu.toL2Bus.respLayer0.utilization 1.8 # L
system.cpu.toL2Bus.respLayer1.occupancy 279000 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 0.8 # Layer utilization (%)
system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 233.878182 # Cycle average of tags in use
+system.cpu.l2cache.tags.tagsinuse 233.917543 # Cycle average of tags in use
system.cpu.l2cache.tags.total_refs 1 # Total number of references to valid blocks.
system.cpu.l2cache.tags.sampled_refs 460 # Sample count of references to valid blocks.
system.cpu.l2cache.tags.avg_refs 0.002174 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 233.878182 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.007137 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.007137 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 233.917543 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::cpu.inst 0.007139 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::total 0.007139 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_task_id_blocks::1024 460 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1024::0 134 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1024::1 326 # Occupied blocks per task id
@@ -426,12 +443,12 @@ system.cpu.l2cache.overall_misses::cpu.inst 533 #
system.cpu.l2cache.overall_misses::total 533 # number of overall misses
system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 31726750 # number of ReadReq miss cycles
system.cpu.l2cache.ReadReq_miss_latency::total 31726750 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.inst 5056000 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 5056000 # number of ReadExReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 36782750 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 36782750 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 36782750 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 36782750 # number of overall miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.inst 5065000 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 5065000 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 36791750 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 36791750 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 36791750 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 36791750 # number of overall miss cycles
system.cpu.l2cache.ReadReq_accesses::cpu.inst 461 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::total 461 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadExReq_accesses::cpu.inst 73 # number of ReadExReq accesses(hits+misses)
@@ -450,12 +467,12 @@ system.cpu.l2cache.overall_miss_rate::cpu.inst 0.998127
system.cpu.l2cache.overall_miss_rate::total 0.998127 # miss rate for overall accesses
system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 68971.195652 # average ReadReq miss latency
system.cpu.l2cache.ReadReq_avg_miss_latency::total 68971.195652 # average ReadReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.inst 69260.273973 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 69260.273973 # average ReadExReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 69010.787992 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 69010.787992 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 69010.787992 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 69010.787992 # average overall miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.inst 69383.561644 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 69383.561644 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 69027.673546 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 69027.673546 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 69027.673546 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 69027.673546 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -472,14 +489,14 @@ system.cpu.l2cache.demand_mshr_misses::cpu.inst 533
system.cpu.l2cache.demand_mshr_misses::total 533 # number of demand (read+write) MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.inst 533 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::total 533 # number of overall MSHR misses
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 25965250 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::total 25965250 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.inst 4138000 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 4138000 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 30103250 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 30103250 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 30103250 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 30103250 # number of overall MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 25964750 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::total 25964750 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.inst 4147500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 4147500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 30112250 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 30112250 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 30112250 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 30112250 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.997831 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.997831 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.inst 1 # mshr miss rate for ReadExReq accesses
@@ -488,24 +505,24 @@ system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.998127
system.cpu.l2cache.demand_mshr_miss_rate::total 0.998127 # mshr miss rate for demand accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.998127 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::total 0.998127 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 56446.195652 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 56446.195652 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.inst 56684.931507 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 56684.931507 # average ReadExReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 56478.893058 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 56478.893058 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 56478.893058 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 56478.893058 # average overall mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 56445.108696 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 56445.108696 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.inst 56815.068493 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 56815.068493 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 56495.778612 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 56495.778612 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 56495.778612 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 56495.778612 # average overall mshr miss latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 104.053835 # Cycle average of tags in use
+system.cpu.dcache.tags.tagsinuse 104.075920 # Cycle average of tags in use
system.cpu.dcache.tags.total_refs 1968 # Total number of references to valid blocks.
system.cpu.dcache.tags.sampled_refs 169 # Sample count of references to valid blocks.
system.cpu.dcache.tags.avg_refs 11.644970 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.inst 104.053835 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.inst 0.025404 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.025404 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_blocks::cpu.inst 104.075920 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_percent::cpu.inst 0.025409 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_percent::total 0.025409 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 169 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::0 25 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::1 144 # Occupied blocks per task id
@@ -528,10 +545,10 @@ system.cpu.dcache.demand_misses::cpu.inst 227 # n
system.cpu.dcache.demand_misses::total 227 # number of demand (read+write) misses
system.cpu.dcache.overall_misses::cpu.inst 227 # number of overall misses
system.cpu.dcache.overall_misses::total 227 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.inst 7727250 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 7727250 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.inst 8696750 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 8696750 # number of WriteReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::cpu.inst 7718250 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 7718250 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.inst 8705750 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 8705750 # number of WriteReq miss cycles
system.cpu.dcache.demand_miss_latency::cpu.inst 16424000 # number of demand (read+write) miss cycles
system.cpu.dcache.demand_miss_latency::total 16424000 # number of demand (read+write) miss cycles
system.cpu.dcache.overall_miss_latency::cpu.inst 16424000 # number of overall miss cycles
@@ -552,10 +569,10 @@ system.cpu.dcache.demand_miss_rate::cpu.inst 0.103417
system.cpu.dcache.demand_miss_rate::total 0.103417 # miss rate for demand accesses
system.cpu.dcache.overall_miss_rate::cpu.inst 0.103417 # miss rate for overall accesses
system.cpu.dcache.overall_miss_rate::total 0.103417 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.inst 75757.352941 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 75757.352941 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.inst 69574 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 69574 # average WriteReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.inst 75669.117647 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 75669.117647 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.inst 69646 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 69646 # average WriteReq miss latency
system.cpu.dcache.demand_avg_miss_latency::cpu.inst 72352.422907 # average overall miss latency
system.cpu.dcache.demand_avg_miss_latency::total 72352.422907 # average overall miss latency
system.cpu.dcache.overall_avg_miss_latency::cpu.inst 72352.422907 # average overall miss latency
@@ -584,10 +601,10 @@ system.cpu.dcache.demand_mshr_misses::cpu.inst 169
system.cpu.dcache.demand_mshr_misses::total 169 # number of demand (read+write) MSHR misses
system.cpu.dcache.overall_mshr_misses::cpu.inst 169 # number of overall MSHR misses
system.cpu.dcache.overall_mshr_misses::total 169 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.inst 7154500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 7154500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.inst 5130500 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 5130500 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.inst 7145500 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 7145500 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.inst 5139500 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 5139500 # number of WriteReq MSHR miss cycles
system.cpu.dcache.demand_mshr_miss_latency::cpu.inst 12285000 # number of demand (read+write) MSHR miss cycles
system.cpu.dcache.demand_mshr_miss_latency::total 12285000 # number of demand (read+write) MSHR miss cycles
system.cpu.dcache.overall_mshr_miss_latency::cpu.inst 12285000 # number of overall MSHR miss cycles
@@ -600,10 +617,10 @@ system.cpu.dcache.demand_mshr_miss_rate::cpu.inst 0.076993
system.cpu.dcache.demand_mshr_miss_rate::total 0.076993 # mshr miss rate for demand accesses
system.cpu.dcache.overall_mshr_miss_rate::cpu.inst 0.076993 # mshr miss rate for overall accesses
system.cpu.dcache.overall_mshr_miss_rate::total 0.076993 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.inst 74526.041667 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 74526.041667 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.inst 70280.821918 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 70280.821918 # average WriteReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.inst 74432.291667 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 74432.291667 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.inst 70404.109589 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 70404.109589 # average WriteReq mshr miss latency
system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.inst 72692.307692 # average overall mshr miss latency
system.cpu.dcache.demand_avg_mshr_miss_latency::total 72692.307692 # average overall mshr miss latency
system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.inst 72692.307692 # average overall mshr miss latency
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt
index f7bb9a203..b6d7e6ec3 100644
--- a/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/alpha/linux/o3-timing/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000021 # Nu
sim_ticks 20537500 # Number of ticks simulated
final_tick 20537500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 46749 # Simulator instruction rate (inst/s)
-host_op_rate 46745 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 150649735 # Simulator tick rate (ticks/s)
-host_mem_usage 236424 # Number of bytes of host memory used
-host_seconds 0.14 # Real time elapsed on the host
+host_inst_rate 100086 # Simulator instruction rate (inst/s)
+host_op_rate 100066 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 322455292 # Simulator tick rate (ticks/s)
+host_mem_usage 290128 # Number of bytes of host memory used
+host_seconds 0.06 # Real time elapsed on the host
sim_insts 6372 # Number of instructions simulated
sim_ops 6372 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -200,12 +200,12 @@ system.physmem.bytesPerActivate::768-895 1 1.22% 82.93% # By
system.physmem.bytesPerActivate::896-1023 3 3.66% 86.59% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 11 13.41% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 82 # Bytes accessed per row activation
-system.physmem.totQLat 4551750 # Total ticks spent queuing
-system.physmem.totMemAccLat 13683000 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 4742750 # Total ticks spent queuing
+system.physmem.totMemAccLat 13874000 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 2435000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 9346.51 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 9738.71 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 28096.51 # Average memory access latency per DRAM burst
+system.physmem.avgMemAccLat 28488.71 # Average memory access latency per DRAM burst
system.physmem.avgRdBW 1517.61 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
system.physmem.avgRdBWSys 1517.61 # Average system read bandwidth in MiByte/s
@@ -227,17 +227,25 @@ system.physmem.memoryStateTime::REF 520000 # Ti
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
system.physmem.memoryStateTime::ACT 15339250 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 1517614121 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 415 # Transaction distribution
system.membus.trans_dist::ReadResp 415 # Transaction distribution
system.membus.trans_dist::ReadExReq 72 # Transaction distribution
system.membus.trans_dist::ReadExResp 72 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 974 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 974 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 31168 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 31168 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 31168 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 31168 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 31168 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 487 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 487 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 487 # Request fanout histogram
system.membus.reqLayer0.occupancy 610000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 3.0 # Layer utilization (%)
system.membus.respLayer1.occupancy 4554500 # Layer occupancy (ticks)
@@ -579,7 +587,6 @@ system.cpu.fp_regfile_reads 8 # nu
system.cpu.fp_regfile_writes 2 # number of floating regfile writes
system.cpu.misc_regfile_reads 1 # number of misc regfile reads
system.cpu.misc_regfile_writes 1 # number of misc regfile writes
-system.cpu.toL2Bus.throughput 1520730371 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 416 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 416 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 72 # Transaction distribution
@@ -587,11 +594,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 72 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 628 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 348 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 976 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 20096 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 11136 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 31232 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 31232 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 20096 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 11136 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 31232 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 488 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 488 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 488 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 244000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 1.2 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 528500 # Layer occupancy (ticks)
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt
index 60119bd53..a2eda1208 100644
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-atomic/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000003 # Nu
sim_ticks 3208000 # Number of ticks simulated
final_tick 3208000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 172950 # Simulator instruction rate (inst/s)
-host_op_rate 172880 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 86758979 # Simulator tick rate (ticks/s)
-host_mem_usage 253924 # Number of bytes of host memory used
-host_seconds 0.04 # Real time elapsed on the host
+host_inst_rate 1057772 # Simulator instruction rate (inst/s)
+host_op_rate 1055326 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 528762156 # Simulator tick rate (ticks/s)
+host_mem_usage 277832 # Number of bytes of host memory used
+host_seconds 0.01 # Real time elapsed on the host
sim_insts 6390 # Number of instructions simulated
sim_ops 6390 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -35,9 +35,27 @@ system.physmem.bw_write::total 2087281796 # Wr
system.physmem.bw_total::cpu.inst 7980049875 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 4826683292 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 12806733167 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 12806733167 # Throughput (bytes/s)
-system.membus.data_through_bus 41084 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.trans_dist::ReadReq 7583 # Transaction distribution
+system.membus.trans_dist::ReadResp 7583 # Transaction distribution
+system.membus.trans_dist::WriteReq 865 # Transaction distribution
+system.membus.trans_dist::WriteResp 865 # Transaction distribution
+system.membus.pkt_count_system.cpu.icache_port::system.physmem.port 12800 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.dcache_port::system.physmem.port 4096 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 16896 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.icache_port::system.physmem.port 25600 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.dcache_port::system.physmem.port 15484 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 41084 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 8448 # Request fanout histogram
+system.membus.snoop_fanout::mean 0.757576 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0.428575 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 2048 24.24% 24.24% # Request fanout histogram
+system.membus.snoop_fanout::1 6400 75.76% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 8448 # Request fanout histogram
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.dtb.fetch_hits 0 # ITB hits
system.cpu.dtb.fetch_misses 0 # ITB misses
diff --git a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt
index e6ec389d1..dcfebc3a2 100644
--- a/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/alpha/linux/simple-timing/stats.txt
@@ -4,10 +4,10 @@ sim_seconds 0.000033 # Nu
sim_ticks 32544000 # Number of ticks simulated
final_tick 32544000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 550056 # Simulator instruction rate (inst/s)
-host_op_rate 549394 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 2794675827 # Simulator tick rate (ticks/s)
-host_mem_usage 262632 # Number of bytes of host memory used
+host_inst_rate 485157 # Simulator instruction rate (inst/s)
+host_op_rate 484642 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 2465828156 # Simulator tick rate (ticks/s)
+host_mem_usage 286540 # Number of bytes of host memory used
host_seconds 0.01 # Real time elapsed on the host
sim_insts 6390 # Number of instructions simulated
sim_ops 6390 # Number of ops (including micro ops) simulated
@@ -29,17 +29,25 @@ system.physmem.bw_inst_read::total 546705998 # In
system.physmem.bw_total::cpu.inst 546705998 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 330383481 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 877089479 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 877089479 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 373 # Transaction distribution
system.membus.trans_dist::ReadResp 373 # Transaction distribution
system.membus.trans_dist::ReadExReq 73 # Transaction distribution
system.membus.trans_dist::ReadExResp 73 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 892 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 892 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 28544 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 28544 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 28544 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 28544 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 28544 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 446 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 446 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 446 # Request fanout histogram
system.membus.reqLayer0.occupancy 446000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 1.4 # Layer utilization (%)
system.membus.respLayer1.occupancy 4014000 # Layer occupancy (ticks)
@@ -455,7 +463,6 @@ system.cpu.dcache.demand_avg_mshr_miss_latency::total 53000
system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 53000 # average overall mshr miss latency
system.cpu.dcache.overall_avg_mshr_miss_latency::total 53000 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 879056047 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 374 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 374 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 73 # Transaction distribution
@@ -463,11 +470,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 73 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 558 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 336 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 894 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 17856 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 10752 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 28608 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 28608 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 17856 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 10752 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 28608 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 447 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 447 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 447 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 223500 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.7 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 418500 # Layer occupancy (ticks)
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/minor-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/tru64/minor-timing/stats.txt
index 7e0b73788..6f17c0be9 100644
--- a/tests/quick/se/00.hello/ref/alpha/tru64/minor-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/minor-timing/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000019 # Nu
sim_ticks 18662000 # Number of ticks simulated
final_tick 18662000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 32674 # Simulator instruction rate (inst/s)
-host_op_rate 32664 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 235769003 # Simulator tick rate (ticks/s)
-host_mem_usage 238980 # Number of bytes of host memory used
-host_seconds 0.08 # Real time elapsed on the host
+host_inst_rate 154264 # Simulator instruction rate (inst/s)
+host_op_rate 154144 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 1111892278 # Simulator tick rate (ticks/s)
+host_mem_usage 287792 # Number of bytes of host memory used
+host_seconds 0.02 # Real time elapsed on the host
sim_insts 2585 # Number of instructions simulated
sim_ops 2585 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -196,12 +196,12 @@ system.physmem.bytesPerActivate::768-895 3 6.82% 88.64% # By
system.physmem.bytesPerActivate::896-1023 1 2.27% 90.91% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 4 9.09% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 44 # Bytes accessed per row activation
-system.physmem.totQLat 1654250 # Total ticks spent queuing
-system.physmem.totMemAccLat 7429250 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 1719250 # Total ticks spent queuing
+system.physmem.totMemAccLat 7494250 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 1540000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 5370.94 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 5581.98 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 24120.94 # Average memory access latency per DRAM burst
+system.physmem.avgMemAccLat 24331.98 # Average memory access latency per DRAM burst
system.physmem.avgRdBW 1056.26 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
system.physmem.avgRdBWSys 1056.26 # Average system read bandwidth in MiByte/s
@@ -223,17 +223,25 @@ system.physmem.memoryStateTime::REF 520000 # Ti
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
system.physmem.memoryStateTime::ACT 15310750 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 1056264066 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 281 # Transaction distribution
system.membus.trans_dist::ReadResp 281 # Transaction distribution
system.membus.trans_dist::ReadExReq 27 # Transaction distribution
system.membus.trans_dist::ReadExResp 27 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 616 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 616 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 19712 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 19712 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 19712 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 19712 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 19712 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 308 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 308 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 308 # Request fanout histogram
system.membus.reqLayer0.occupancy 362000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 1.9 # Layer utilization (%)
system.membus.respLayer1.occupancy 2870500 # Layer occupancy (ticks)
@@ -376,7 +384,6 @@ system.cpu.icache.demand_avg_mshr_miss_latency::total 66880.044843
system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 66880.044843 # average overall mshr miss latency
system.cpu.icache.overall_avg_mshr_miss_latency::total 66880.044843 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 1056264066 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 281 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 281 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 27 # Transaction distribution
@@ -384,11 +391,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 27 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 446 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 170 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 616 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 14272 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 5440 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 19712 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 19712 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 14272 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 5440 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 19712 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 308 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 308 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 308 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 154000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.8 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 381750 # Layer occupancy (ticks)
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/stats.txt
index a87953c0f..286f63d05 100644
--- a/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/o3-timing/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000012 # Nu
sim_ticks 11765500 # Number of ticks simulated
final_tick 11765500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 45706 # Simulator instruction rate (inst/s)
-host_op_rate 45696 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 225189511 # Simulator tick rate (ticks/s)
-host_mem_usage 236100 # Number of bytes of host memory used
-host_seconds 0.05 # Real time elapsed on the host
+host_inst_rate 81487 # Simulator instruction rate (inst/s)
+host_op_rate 81445 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 401265305 # Simulator tick rate (ticks/s)
+host_mem_usage 288836 # Number of bytes of host memory used
+host_seconds 0.03 # Real time elapsed on the host
sim_insts 2387 # Number of instructions simulated
sim_ops 2387 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -200,12 +200,12 @@ system.physmem.bytesPerActivate::768-895 1 2.56% 82.05% # By
system.physmem.bytesPerActivate::896-1023 1 2.56% 84.62% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 6 15.38% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 39 # Bytes accessed per row activation
-system.physmem.totQLat 1710500 # Total ticks spent queuing
-system.physmem.totMemAccLat 6810500 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 1802000 # Total ticks spent queuing
+system.physmem.totMemAccLat 6902000 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 1360000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 6288.60 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 6625.00 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 25038.60 # Average memory access latency per DRAM burst
+system.physmem.avgMemAccLat 25375.00 # Average memory access latency per DRAM burst
system.physmem.avgRdBW 1479.58 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
system.physmem.avgRdBWSys 1479.58 # Average system read bandwidth in MiByte/s
@@ -227,17 +227,25 @@ system.physmem.memoryStateTime::REF 260000 # Ti
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
system.physmem.memoryStateTime::ACT 7778000 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 1479580128 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 248 # Transaction distribution
system.membus.trans_dist::ReadResp 248 # Transaction distribution
system.membus.trans_dist::ReadExReq 24 # Transaction distribution
system.membus.trans_dist::ReadExResp 24 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 544 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 544 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 17408 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 17408 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 17408 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 17408 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 17408 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 272 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 272 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 272 # Request fanout histogram
system.membus.reqLayer0.occupancy 339500 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 2.9 # Layer utilization (%)
system.membus.respLayer1.occupancy 2545000 # Layer occupancy (ticks)
@@ -577,7 +585,6 @@ system.cpu.int_regfile_writes 2774 # nu
system.cpu.fp_regfile_reads 6 # number of floating regfile reads
system.cpu.misc_regfile_reads 1 # number of misc regfile reads
system.cpu.misc_regfile_writes 1 # number of misc regfile writes
-system.cpu.toL2Bus.throughput 1479580128 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 248 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 248 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 24 # Transaction distribution
@@ -585,11 +592,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 24 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 374 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 170 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 544 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 11968 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 5440 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 17408 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 17408 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 11968 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 5440 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 17408 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 272 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 272 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 272 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 136000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 1.2 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 314250 # Layer occupancy (ticks)
@@ -717,14 +734,14 @@ system.cpu.l2cache.overall_misses::total 272 # nu
system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 12706250 # number of ReadReq miss cycles
system.cpu.l2cache.ReadReq_miss_latency::cpu.data 4451500 # number of ReadReq miss cycles
system.cpu.l2cache.ReadReq_miss_latency::total 17157750 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 1692250 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 1692250 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 1694250 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 1694250 # number of ReadExReq miss cycles
system.cpu.l2cache.demand_miss_latency::cpu.inst 12706250 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 6143750 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 18850000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 6145750 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 18852000 # number of demand (read+write) miss cycles
system.cpu.l2cache.overall_miss_latency::cpu.inst 12706250 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 6143750 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 18850000 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 6145750 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 18852000 # number of overall miss cycles
system.cpu.l2cache.ReadReq_accesses::cpu.inst 187 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::cpu.data 61 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::total 248 # number of ReadReq accesses(hits+misses)
@@ -750,14 +767,14 @@ system.cpu.l2cache.overall_miss_rate::total 1 #
system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 67947.860963 # average ReadReq miss latency
system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 72975.409836 # average ReadReq miss latency
system.cpu.l2cache.ReadReq_avg_miss_latency::total 69184.475806 # average ReadReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 70510.416667 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 70510.416667 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 70593.750000 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 70593.750000 # average ReadExReq miss latency
system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 67947.860963 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 72279.411765 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 69301.470588 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 72302.941176 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 69308.823529 # average overall miss latency
system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 67947.860963 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 72279.411765 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 69301.470588 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 72302.941176 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 69308.823529 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -780,14 +797,14 @@ system.cpu.l2cache.overall_mshr_misses::total 272
system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 10348750 # number of ReadReq MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 3702000 # number of ReadReq MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_latency::total 14050750 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 1398750 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 1398750 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 1400750 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 1400750 # number of ReadExReq MSHR miss cycles
system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 10348750 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 5100750 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 15449500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 5102750 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 15451500 # number of demand (read+write) MSHR miss cycles
system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 10348750 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 5100750 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 15449500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 5102750 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 15451500 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 1 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::total 1 # mshr miss rate for ReadReq accesses
@@ -802,22 +819,22 @@ system.cpu.l2cache.overall_mshr_miss_rate::total 1
system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 55340.909091 # average ReadReq mshr miss latency
system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 60688.524590 # average ReadReq mshr miss latency
system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 56656.250000 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 58281.250000 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 58281.250000 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 58364.583333 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 58364.583333 # average ReadExReq mshr miss latency
system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 55340.909091 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 60008.823529 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 56799.632353 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 60032.352941 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 56806.985294 # average overall mshr miss latency
system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 55340.909091 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 60008.823529 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 56799.632353 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 60032.352941 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 56806.985294 # average overall mshr miss latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 46.118379 # Cycle average of tags in use
+system.cpu.dcache.tags.tagsinuse 46.118209 # Cycle average of tags in use
system.cpu.dcache.tags.total_refs 729 # Total number of references to valid blocks.
system.cpu.dcache.tags.sampled_refs 85 # Sample count of references to valid blocks.
system.cpu.dcache.tags.avg_refs 8.576471 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 46.118379 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_blocks::cpu.data 46.118209 # Average occupied blocks per requestor
system.cpu.dcache.tags.occ_percent::cpu.data 0.011259 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_percent::total 0.011259 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 85 # Occupied blocks per task id
@@ -842,14 +859,14 @@ system.cpu.dcache.demand_misses::cpu.data 198 # n
system.cpu.dcache.demand_misses::total 198 # number of demand (read+write) misses
system.cpu.dcache.overall_misses::cpu.data 198 # number of overall misses
system.cpu.dcache.overall_misses::total 198 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 7443000 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 7443000 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 5304000 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 5304000 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 12747000 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 12747000 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 12747000 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 12747000 # number of overall miss cycles
+system.cpu.dcache.ReadReq_miss_latency::cpu.data 7447000 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 7447000 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.data 5312000 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 5312000 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 12759000 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 12759000 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 12759000 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 12759000 # number of overall miss cycles
system.cpu.dcache.ReadReq_accesses::cpu.data 633 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.ReadReq_accesses::total 633 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.data 294 # number of WriteReq accesses(hits+misses)
@@ -866,14 +883,14 @@ system.cpu.dcache.demand_miss_rate::cpu.data 0.213592
system.cpu.dcache.demand_miss_rate::total 0.213592 # miss rate for demand accesses
system.cpu.dcache.overall_miss_rate::cpu.data 0.213592 # miss rate for overall accesses
system.cpu.dcache.overall_miss_rate::total 0.213592 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 63615.384615 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 63615.384615 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 65481.481481 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 65481.481481 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 64378.787879 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 64378.787879 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 64378.787879 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 64378.787879 # average overall miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 63649.572650 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 63649.572650 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 65580.246914 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 65580.246914 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 64439.393939 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 64439.393939 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 64439.393939 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 64439.393939 # average overall miss latency
system.cpu.dcache.blocked_cycles::no_mshrs 131 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 2 # number of cycles access was blocked
@@ -900,12 +917,12 @@ system.cpu.dcache.overall_mshr_misses::cpu.data 85
system.cpu.dcache.overall_mshr_misses::total 85 # number of overall MSHR misses
system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 4512500 # number of ReadReq MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_miss_latency::total 4512500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 1717750 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 1717750 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 6230250 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 6230250 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 6230250 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 6230250 # number of overall MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 1719750 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 1719750 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 6232250 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 6232250 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 6232250 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 6232250 # number of overall MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.096367 # mshr miss rate for ReadReq accesses
system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.096367 # mshr miss rate for ReadReq accesses
system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.081633 # mshr miss rate for WriteReq accesses
@@ -916,12 +933,12 @@ system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.091694
system.cpu.dcache.overall_mshr_miss_rate::total 0.091694 # mshr miss rate for overall accesses
system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 73975.409836 # average ReadReq mshr miss latency
system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 73975.409836 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 71572.916667 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 71572.916667 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 73297.058824 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 73297.058824 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 73297.058824 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 73297.058824 # average overall mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 71656.250000 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 71656.250000 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 73320.588235 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 73320.588235 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 73320.588235 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 73320.588235 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/stats.txt
index 6080ce665..8c96cc883 100644
--- a/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/stats.txt
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-atomic/stats.txt
@@ -4,10 +4,10 @@ sim_seconds 0.000001 # Nu
sim_ticks 1297500 # Number of ticks simulated
final_tick 1297500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 741583 # Simulator instruction rate (inst/s)
-host_op_rate 738395 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 370291096 # Simulator tick rate (ticks/s)
-host_mem_usage 253628 # Number of bytes of host memory used
+host_inst_rate 828617 # Simulator instruction rate (inst/s)
+host_op_rate 824640 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 413479924 # Simulator tick rate (ticks/s)
+host_mem_usage 276508 # Number of bytes of host memory used
host_seconds 0.00 # Real time elapsed on the host
sim_insts 2577 # Number of instructions simulated
sim_ops 2577 # Number of ops (including micro ops) simulated
@@ -35,9 +35,27 @@ system.physmem.bw_write::total 1586127168 # Wr
system.physmem.bw_total::cpu.inst 7969171484 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 3910597303 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 11879768786 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 11879768786 # Throughput (bytes/s)
-system.membus.data_through_bus 15414 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.trans_dist::ReadReq 3000 # Transaction distribution
+system.membus.trans_dist::ReadResp 3000 # Transaction distribution
+system.membus.trans_dist::WriteReq 294 # Transaction distribution
+system.membus.trans_dist::WriteResp 294 # Transaction distribution
+system.membus.pkt_count_system.cpu.icache_port::system.physmem.port 5170 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.dcache_port::system.physmem.port 1418 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 6588 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.icache_port::system.physmem.port 10340 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.dcache_port::system.physmem.port 5074 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 15414 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 3294 # Request fanout histogram
+system.membus.snoop_fanout::mean 0.784760 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0.411051 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 709 21.52% 21.52% # Request fanout histogram
+system.membus.snoop_fanout::1 2585 78.48% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 3294 # Request fanout histogram
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.dtb.fetch_hits 0 # ITB hits
system.cpu.dtb.fetch_misses 0 # ITB misses
diff --git a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/stats.txt
index 3ccccfd43..6695f502c 100644
--- a/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/alpha/tru64/simple-timing/stats.txt
@@ -4,10 +4,10 @@ sim_seconds 0.000017 # Nu
sim_ticks 16524000 # Number of ticks simulated
final_tick 16524000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 366311 # Simulator instruction rate (inst/s)
-host_op_rate 365532 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 2339184598 # Simulator tick rate (ticks/s)
-host_mem_usage 262348 # Number of bytes of host memory used
+host_inst_rate 428144 # Simulator instruction rate (inst/s)
+host_op_rate 427151 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 2733498759 # Simulator tick rate (ticks/s)
+host_mem_usage 286260 # Number of bytes of host memory used
host_seconds 0.01 # Real time elapsed on the host
sim_insts 2577 # Number of instructions simulated
sim_ops 2577 # Number of ops (including micro ops) simulated
@@ -29,17 +29,25 @@ system.physmem.bw_inst_read::total 631324135 # In
system.physmem.bw_total::cpu.inst 631324135 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 317598644 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 948922779 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 948922779 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 218 # Transaction distribution
system.membus.trans_dist::ReadResp 218 # Transaction distribution
system.membus.trans_dist::ReadExReq 27 # Transaction distribution
system.membus.trans_dist::ReadExResp 27 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 490 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 490 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 15680 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 15680 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 15680 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 15680 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 15680 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 245 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 245 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 245 # Request fanout histogram
system.membus.reqLayer0.occupancy 245000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 1.5 # Layer utilization (%)
system.membus.respLayer1.occupancy 2205000 # Layer occupancy (ticks)
@@ -449,7 +457,6 @@ system.cpu.dcache.demand_avg_mshr_miss_latency::total 53000
system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 53000 # average overall mshr miss latency
system.cpu.dcache.overall_avg_mshr_miss_latency::total 53000 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 948922779 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 218 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 218 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 27 # Transaction distribution
@@ -457,11 +464,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 27 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 326 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 164 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 490 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 10432 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 5248 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 15680 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 15680 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 10432 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 5248 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 15680 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 245 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 245 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 245 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 122500 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.7 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 244500 # Layer occupancy (ticks)
diff --git a/tests/quick/se/00.hello/ref/arm/linux/minor-timing/stats.txt b/tests/quick/se/00.hello/ref/arm/linux/minor-timing/stats.txt
index 65ff8dd3e..59eccf84d 100644
--- a/tests/quick/se/00.hello/ref/arm/linux/minor-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/arm/linux/minor-timing/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000028 # Nu
sim_ticks 27911000 # Number of ticks simulated
final_tick 27911000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 66829 # Simulator instruction rate (inst/s)
-host_op_rate 78212 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 404876453 # Simulator tick rate (ticks/s)
-host_mem_usage 278412 # Number of bytes of host memory used
-host_seconds 0.07 # Real time elapsed on the host
+host_inst_rate 116522 # Simulator instruction rate (inst/s)
+host_op_rate 136369 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 705946329 # Simulator tick rate (ticks/s)
+host_mem_usage 304192 # Number of bytes of host memory used
+host_seconds 0.04 # Real time elapsed on the host
sim_insts 4604 # Number of instructions simulated
sim_ops 5390 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -195,12 +195,12 @@ system.physmem.bytesPerActivate::640-767 2 3.12% 79.69% # By
system.physmem.bytesPerActivate::768-895 3 4.69% 84.38% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 10 15.62% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 64 # Bytes accessed per row activation
-system.physmem.totQLat 2525000 # Total ticks spent queuing
-system.physmem.totMemAccLat 10400000 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 2575500 # Total ticks spent queuing
+system.physmem.totMemAccLat 10450500 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 2100000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 6011.90 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 6132.14 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 24761.90 # Average memory access latency per DRAM burst
+system.physmem.avgMemAccLat 24882.14 # Average memory access latency per DRAM burst
system.physmem.avgRdBW 963.06 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
system.physmem.avgRdBWSys 963.06 # Average system read bandwidth in MiByte/s
@@ -222,30 +222,38 @@ system.physmem.memoryStateTime::REF 780000 # Ti
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
system.physmem.memoryStateTime::ACT 22840500 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 963061159 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 377 # Transaction distribution
system.membus.trans_dist::ReadResp 377 # Transaction distribution
system.membus.trans_dist::ReadExReq 43 # Transaction distribution
system.membus.trans_dist::ReadExResp 43 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 840 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 840 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 26880 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 26880 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 26880 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 26880 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 26880 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 420 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 420 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 420 # Request fanout histogram
system.membus.reqLayer0.occupancy 484000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 1.7 # Layer utilization (%)
system.membus.respLayer1.occupancy 3924000 # Layer occupancy (ticks)
system.membus.respLayer1.utilization 14.1 # Layer utilization (%)
system.cpu_clk_domain.clock 500 # Clock period in ticks
-system.cpu.branchPred.lookups 1905 # Number of BP lookups
-system.cpu.branchPred.condPredicted 1139 # Number of conditional branches predicted
+system.cpu.branchPred.lookups 1903 # Number of BP lookups
+system.cpu.branchPred.condPredicted 1138 # Number of conditional branches predicted
system.cpu.branchPred.condIncorrect 341 # Number of conditional branches incorrect
-system.cpu.branchPred.BTBLookups 1574 # Number of BTB lookups
+system.cpu.branchPred.BTBLookups 1573 # Number of BTB lookups
system.cpu.branchPred.BTBHits 325 # Number of BTB hits
system.cpu.branchPred.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
-system.cpu.branchPred.BTBHitPct 20.648030 # BTB Hit Percentage
-system.cpu.branchPred.usedRAS 223 # Number of times the RAS was used to get a target.
+system.cpu.branchPred.BTBHitPct 20.661157 # BTB Hit Percentage
+system.cpu.branchPred.usedRAS 222 # Number of times the RAS was used to get a target.
system.cpu.branchPred.RASInCorrect 16 # Number of incorrect RAS predictions.
system.cpu.dstage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu.dstage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
@@ -341,59 +349,59 @@ system.cpu.discardedOps 1208 # Nu
system.cpu.numFetchSuspends 0 # Number of times Execute suspended instruction fetching
system.cpu.cpi 12.124674 # CPI: cycles per instruction
system.cpu.ipc 0.082476 # IPC: instructions per cycle
-system.cpu.tickCycles 10535 # Number of cycles that the object actually ticked
-system.cpu.idleCycles 45287 # Total number of cycles that the object has spent stopped
+system.cpu.tickCycles 10521 # Number of cycles that the object actually ticked
+system.cpu.idleCycles 45301 # Total number of cycles that the object has spent stopped
system.cpu.icache.tags.replacements 3 # number of replacements
-system.cpu.icache.tags.tagsinuse 162.198888 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 1923 # Total number of references to valid blocks.
+system.cpu.icache.tags.tagsinuse 162.201432 # Cycle average of tags in use
+system.cpu.icache.tags.total_refs 1919 # Total number of references to valid blocks.
system.cpu.icache.tags.sampled_refs 321 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 5.990654 # Average number of references to valid blocks.
+system.cpu.icache.tags.avg_refs 5.978193 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 162.198888 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.079199 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.079199 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_blocks::cpu.inst 162.201432 # Average occupied blocks per requestor
+system.cpu.icache.tags.occ_percent::cpu.inst 0.079200 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_percent::total 0.079200 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 318 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::0 114 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::1 204 # Occupied blocks per task id
system.cpu.icache.tags.occ_task_id_percent::1024 0.155273 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 4809 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 4809 # Number of data accesses
-system.cpu.icache.ReadReq_hits::cpu.inst 1923 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 1923 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 1923 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 1923 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 1923 # number of overall hits
-system.cpu.icache.overall_hits::total 1923 # number of overall hits
+system.cpu.icache.tags.tag_accesses 4801 # Number of tag accesses
+system.cpu.icache.tags.data_accesses 4801 # Number of data accesses
+system.cpu.icache.ReadReq_hits::cpu.inst 1919 # number of ReadReq hits
+system.cpu.icache.ReadReq_hits::total 1919 # number of ReadReq hits
+system.cpu.icache.demand_hits::cpu.inst 1919 # number of demand (read+write) hits
+system.cpu.icache.demand_hits::total 1919 # number of demand (read+write) hits
+system.cpu.icache.overall_hits::cpu.inst 1919 # number of overall hits
+system.cpu.icache.overall_hits::total 1919 # number of overall hits
system.cpu.icache.ReadReq_misses::cpu.inst 321 # number of ReadReq misses
system.cpu.icache.ReadReq_misses::total 321 # number of ReadReq misses
system.cpu.icache.demand_misses::cpu.inst 321 # number of demand (read+write) misses
system.cpu.icache.demand_misses::total 321 # number of demand (read+write) misses
system.cpu.icache.overall_misses::cpu.inst 321 # number of overall misses
system.cpu.icache.overall_misses::total 321 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 21494250 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 21494250 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 21494250 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 21494250 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 21494250 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 21494250 # number of overall miss cycles
-system.cpu.icache.ReadReq_accesses::cpu.inst 2244 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.ReadReq_accesses::total 2244 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.demand_accesses::cpu.inst 2244 # number of demand (read+write) accesses
-system.cpu.icache.demand_accesses::total 2244 # number of demand (read+write) accesses
-system.cpu.icache.overall_accesses::cpu.inst 2244 # number of overall (read+write) accesses
-system.cpu.icache.overall_accesses::total 2244 # number of overall (read+write) accesses
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.143048 # miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_miss_rate::total 0.143048 # miss rate for ReadReq accesses
-system.cpu.icache.demand_miss_rate::cpu.inst 0.143048 # miss rate for demand accesses
-system.cpu.icache.demand_miss_rate::total 0.143048 # miss rate for demand accesses
-system.cpu.icache.overall_miss_rate::cpu.inst 0.143048 # miss rate for overall accesses
-system.cpu.icache.overall_miss_rate::total 0.143048 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 66960.280374 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 66960.280374 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 66960.280374 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 66960.280374 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 66960.280374 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 66960.280374 # average overall miss latency
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 21503250 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 21503250 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 21503250 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 21503250 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 21503250 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 21503250 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses::cpu.inst 2240 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.ReadReq_accesses::total 2240 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses::cpu.inst 2240 # number of demand (read+write) accesses
+system.cpu.icache.demand_accesses::total 2240 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses::cpu.inst 2240 # number of overall (read+write) accesses
+system.cpu.icache.overall_accesses::total 2240 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.143304 # miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_miss_rate::total 0.143304 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate::cpu.inst 0.143304 # miss rate for demand accesses
+system.cpu.icache.demand_miss_rate::total 0.143304 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate::cpu.inst 0.143304 # miss rate for overall accesses
+system.cpu.icache.overall_miss_rate::total 0.143304 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 66988.317757 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 66988.317757 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 66988.317757 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 66988.317757 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 66988.317757 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 66988.317757 # average overall miss latency
system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -408,26 +416,25 @@ system.cpu.icache.demand_mshr_misses::cpu.inst 321
system.cpu.icache.demand_mshr_misses::total 321 # number of demand (read+write) MSHR misses
system.cpu.icache.overall_mshr_misses::cpu.inst 321 # number of overall MSHR misses
system.cpu.icache.overall_mshr_misses::total 321 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 20721750 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 20721750 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 20721750 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 20721750 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 20721750 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 20721750 # number of overall MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.143048 # mshr miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.143048 # mshr miss rate for ReadReq accesses
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.143048 # mshr miss rate for demand accesses
-system.cpu.icache.demand_mshr_miss_rate::total 0.143048 # mshr miss rate for demand accesses
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.143048 # mshr miss rate for overall accesses
-system.cpu.icache.overall_mshr_miss_rate::total 0.143048 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 64553.738318 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 64553.738318 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 64553.738318 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 64553.738318 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 64553.738318 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 64553.738318 # average overall mshr miss latency
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 20730750 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 20730750 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 20730750 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 20730750 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 20730750 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 20730750 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.143304 # mshr miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_mshr_miss_rate::total 0.143304 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.143304 # mshr miss rate for demand accesses
+system.cpu.icache.demand_mshr_miss_rate::total 0.143304 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.143304 # mshr miss rate for overall accesses
+system.cpu.icache.overall_mshr_miss_rate::total 0.143304 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 64581.775701 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 64581.775701 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 64581.775701 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 64581.775701 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 64581.775701 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 64581.775701 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 1070832288 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 424 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 424 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 43 # Transaction distribution
@@ -435,11 +442,25 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 43 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 642 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 292 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 934 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 20544 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9344 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 29888 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 29888 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 20544 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9344 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 29888 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 467 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 5 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::5 467 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::6 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 5 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 5 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 467 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 233500 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.8 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 546750 # Layer occupancy (ticks)
@@ -447,12 +468,12 @@ system.cpu.toL2Bus.respLayer0.utilization 2.0 # L
system.cpu.toL2Bus.respLayer1.occupancy 234242 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 0.8 # Layer utilization (%)
system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 195.954343 # Cycle average of tags in use
+system.cpu.l2cache.tags.tagsinuse 195.957604 # Cycle average of tags in use
system.cpu.l2cache.tags.total_refs 39 # Total number of references to valid blocks.
system.cpu.l2cache.tags.sampled_refs 377 # Sample count of references to valid blocks.
system.cpu.l2cache.tags.avg_refs 0.103448 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 195.954343 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 195.957604 # Average occupied blocks per requestor
system.cpu.l2cache.tags.occ_percent::cpu.inst 0.005980 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::total 0.005980 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_task_id_blocks::1024 377 # Occupied blocks per task id
@@ -475,14 +496,14 @@ system.cpu.l2cache.demand_misses::cpu.inst 428 #
system.cpu.l2cache.demand_misses::total 428 # number of demand (read+write) misses
system.cpu.l2cache.overall_misses::cpu.inst 428 # number of overall misses
system.cpu.l2cache.overall_misses::total 428 # number of overall misses
-system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 26168000 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::total 26168000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 26169000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::total 26169000 # number of ReadReq miss cycles
system.cpu.l2cache.ReadExReq_miss_latency::cpu.inst 2824000 # number of ReadExReq miss cycles
system.cpu.l2cache.ReadExReq_miss_latency::total 2824000 # number of ReadExReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 28992000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 28992000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 28992000 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 28992000 # number of overall miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 28993000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 28993000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 28993000 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 28993000 # number of overall miss cycles
system.cpu.l2cache.ReadReq_accesses::cpu.inst 424 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::total 424 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadExReq_accesses::cpu.inst 43 # number of ReadExReq accesses(hits+misses)
@@ -499,14 +520,14 @@ system.cpu.l2cache.demand_miss_rate::cpu.inst 0.916488
system.cpu.l2cache.demand_miss_rate::total 0.916488 # miss rate for demand accesses
system.cpu.l2cache.overall_miss_rate::cpu.inst 0.916488 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::total 0.916488 # miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 67968.831169 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::total 67968.831169 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 67971.428571 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::total 67971.428571 # average ReadReq miss latency
system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.inst 65674.418605 # average ReadExReq miss latency
system.cpu.l2cache.ReadExReq_avg_miss_latency::total 65674.418605 # average ReadExReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 67738.317757 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 67738.317757 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 67738.317757 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 67738.317757 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 67740.654206 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 67740.654206 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 67740.654206 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 67740.654206 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -529,14 +550,14 @@ system.cpu.l2cache.demand_mshr_misses::cpu.inst 420
system.cpu.l2cache.demand_mshr_misses::total 420 # number of demand (read+write) MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.inst 420 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::total 420 # number of overall MSHR misses
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 20973000 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::total 20973000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 20974000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::total 20974000 # number of ReadReq MSHR miss cycles
system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.inst 2284000 # number of ReadExReq MSHR miss cycles
system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 2284000 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 23257000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 23257000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 23257000 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 23257000 # number of overall MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 23258000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 23258000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 23258000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 23258000 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.889151 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.889151 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.inst 1 # mshr miss rate for ReadExReq accesses
@@ -545,24 +566,24 @@ system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.899358
system.cpu.l2cache.demand_mshr_miss_rate::total 0.899358 # mshr miss rate for demand accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.899358 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::total 0.899358 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 55631.299735 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 55631.299735 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 55633.952255 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 55633.952255 # average ReadReq mshr miss latency
system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.inst 53116.279070 # average ReadExReq mshr miss latency
system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 53116.279070 # average ReadExReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 55373.809524 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 55373.809524 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 55373.809524 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 55373.809524 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 55376.190476 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 55376.190476 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 55376.190476 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 55376.190476 # average overall mshr miss latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 86.663656 # Cycle average of tags in use
+system.cpu.dcache.tags.tagsinuse 86.665340 # Cycle average of tags in use
system.cpu.dcache.tags.total_refs 1919 # Total number of references to valid blocks.
system.cpu.dcache.tags.sampled_refs 146 # Sample count of references to valid blocks.
system.cpu.dcache.tags.avg_refs 13.143836 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.inst 86.663656 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.inst 0.021158 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.021158 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_blocks::cpu.inst 86.665340 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_percent::cpu.inst 0.021159 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_percent::total 0.021159 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 146 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::0 38 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::1 108 # Occupied blocks per task id
@@ -589,14 +610,14 @@ system.cpu.dcache.demand_misses::cpu.inst 182 # n
system.cpu.dcache.demand_misses::total 182 # number of demand (read+write) misses
system.cpu.dcache.overall_misses::cpu.inst 182 # number of overall misses
system.cpu.dcache.overall_misses::total 182 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.inst 6958741 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 6958741 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::cpu.inst 6950741 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 6950741 # number of ReadReq miss cycles
system.cpu.dcache.WriteReq_miss_latency::cpu.inst 4586500 # number of WriteReq miss cycles
system.cpu.dcache.WriteReq_miss_latency::total 4586500 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.inst 11545241 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 11545241 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.inst 11545241 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 11545241 # number of overall miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.inst 11537241 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 11537241 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.inst 11537241 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 11537241 # number of overall miss cycles
system.cpu.dcache.ReadReq_accesses::cpu.inst 1166 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.ReadReq_accesses::total 1166 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.inst 913 # number of WriteReq accesses(hits+misses)
@@ -617,14 +638,14 @@ system.cpu.dcache.demand_miss_rate::cpu.inst 0.087542
system.cpu.dcache.demand_miss_rate::total 0.087542 # miss rate for demand accesses
system.cpu.dcache.overall_miss_rate::cpu.inst 0.087542 # miss rate for overall accesses
system.cpu.dcache.overall_miss_rate::total 0.087542 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.inst 60510.791304 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 60510.791304 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.inst 60441.226087 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 60441.226087 # average ReadReq miss latency
system.cpu.dcache.WriteReq_avg_miss_latency::cpu.inst 68455.223881 # average WriteReq miss latency
system.cpu.dcache.WriteReq_avg_miss_latency::total 68455.223881 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.inst 63435.390110 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 63435.390110 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.inst 63435.390110 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 63435.390110 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.inst 63391.434066 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 63391.434066 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.inst 63391.434066 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 63391.434066 # average overall miss latency
system.cpu.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -649,14 +670,14 @@ system.cpu.dcache.demand_mshr_misses::cpu.inst 146
system.cpu.dcache.demand_mshr_misses::total 146 # number of demand (read+write) MSHR misses
system.cpu.dcache.overall_mshr_misses::cpu.inst 146 # number of overall MSHR misses
system.cpu.dcache.overall_mshr_misses::total 146 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.inst 6265258 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 6265258 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.inst 6257258 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 6257258 # number of ReadReq MSHR miss cycles
system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.inst 2867000 # number of WriteReq MSHR miss cycles
system.cpu.dcache.WriteReq_mshr_miss_latency::total 2867000 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.inst 9132258 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 9132258 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.inst 9132258 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 9132258 # number of overall MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.inst 9124258 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 9124258 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.inst 9124258 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 9124258 # number of overall MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.inst 0.088336 # mshr miss rate for ReadReq accesses
system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.088336 # mshr miss rate for ReadReq accesses
system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.inst 0.047097 # mshr miss rate for WriteReq accesses
@@ -665,14 +686,14 @@ system.cpu.dcache.demand_mshr_miss_rate::cpu.inst 0.070226
system.cpu.dcache.demand_mshr_miss_rate::total 0.070226 # mshr miss rate for demand accesses
system.cpu.dcache.overall_mshr_miss_rate::cpu.inst 0.070226 # mshr miss rate for overall accesses
system.cpu.dcache.overall_mshr_miss_rate::total 0.070226 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.inst 60827.747573 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 60827.747573 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.inst 60750.077670 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 60750.077670 # average ReadReq mshr miss latency
system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.inst 66674.418605 # average WriteReq mshr miss latency
system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 66674.418605 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.inst 62549.712329 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 62549.712329 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.inst 62549.712329 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 62549.712329 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.inst 62494.917808 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 62494.917808 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.inst 62494.917808 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 62494.917808 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/arm/linux/o3-timing-checker/stats.txt b/tests/quick/se/00.hello/ref/arm/linux/o3-timing-checker/stats.txt
index a4baa9644..bbf908c21 100644
--- a/tests/quick/se/00.hello/ref/arm/linux/o3-timing-checker/stats.txt
+++ b/tests/quick/se/00.hello/ref/arm/linux/o3-timing-checker/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000016 # Nu
sim_ticks 16223000 # Number of ticks simulated
final_tick 16223000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 32617 # Simulator instruction rate (inst/s)
-host_op_rate 38195 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 115221437 # Simulator tick rate (ticks/s)
-host_mem_usage 253076 # Number of bytes of host memory used
-host_seconds 0.14 # Real time elapsed on the host
+host_inst_rate 55920 # Simulator instruction rate (inst/s)
+host_op_rate 65484 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 197542740 # Simulator tick rate (ticks/s)
+host_mem_usage 304472 # Number of bytes of host memory used
+host_seconds 0.08 # Real time elapsed on the host
sim_insts 4591 # Number of instructions simulated
sim_ops 5377 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -90,8 +90,8 @@ system.physmem.writePktSize::3 0 # Wr
system.physmem.writePktSize::4 0 # Write request sizes (log2)
system.physmem.writePktSize::5 0 # Write request sizes (log2)
system.physmem.writePktSize::6 0 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 210 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 119 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::0 209 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::1 120 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::2 51 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::3 14 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::4 3 # What read queue length does an incoming req see
@@ -199,12 +199,12 @@ system.physmem.bytesPerActivate::640-767 2 3.17% 79.37% # By
system.physmem.bytesPerActivate::768-895 3 4.76% 84.13% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 10 15.87% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 63 # Bytes accessed per row activation
-system.physmem.totQLat 2970000 # Total ticks spent queuing
-system.physmem.totMemAccLat 10413750 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 3126000 # Total ticks spent queuing
+system.physmem.totMemAccLat 10569750 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 1985000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 7481.11 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 7874.06 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 26231.11 # Average memory access latency per DRAM burst
+system.physmem.avgMemAccLat 26624.06 # Average memory access latency per DRAM burst
system.physmem.avgRdBW 1566.17 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
system.physmem.avgRdBWSys 1566.17 # Average system read bandwidth in MiByte/s
@@ -226,17 +226,25 @@ system.physmem.memoryStateTime::REF 520000 # Ti
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
system.physmem.memoryStateTime::ACT 15315250 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 1566171485 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 355 # Transaction distribution
system.membus.trans_dist::ReadResp 355 # Transaction distribution
system.membus.trans_dist::ReadExReq 42 # Transaction distribution
system.membus.trans_dist::ReadExResp 42 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 794 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 794 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 25408 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 25408 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 25408 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 25408 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 25408 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 397 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 397 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 397 # Request fanout histogram
system.membus.reqLayer0.occupancy 494500 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 3.0 # Layer utilization (%)
system.membus.respLayer1.occupancy 3699500 # Layer occupancy (ticks)
@@ -718,7 +726,6 @@ system.cpu.cc_regfile_reads 28734 # nu
system.cpu.cc_regfile_writes 3302 # number of cc regfile writes
system.cpu.misc_regfile_reads 3189 # number of misc regfile reads
system.cpu.misc_regfile_writes 24 # number of misc regfile writes
-system.cpu.toL2Bus.throughput 1735807187 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 399 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 398 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 42 # Transaction distribution
@@ -726,11 +733,29 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 42 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 588 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 293 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 881 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 18816 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9344 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 28160 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 28160 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 18816 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9344 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 28160 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 441 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 9 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::5 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::6 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::7 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::8 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::9 441 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::10 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 9 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 9 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 441 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 220500 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 1.4 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 488250 # Layer occupancy (ticks)
@@ -738,14 +763,14 @@ system.cpu.toL2Bus.respLayer0.utilization 3.0 # L
system.cpu.toL2Bus.respLayer1.occupancy 228495 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 1.4 # Layer utilization (%)
system.cpu.icache.tags.replacements 1 # number of replacements
-system.cpu.icache.tags.tagsinuse 150.758993 # Cycle average of tags in use
+system.cpu.icache.tags.tagsinuse 150.722255 # Cycle average of tags in use
system.cpu.icache.tags.total_refs 1666 # Total number of references to valid blocks.
system.cpu.icache.tags.sampled_refs 294 # Sample count of references to valid blocks.
system.cpu.icache.tags.avg_refs 5.666667 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 150.758993 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.073613 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.073613 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_blocks::cpu.inst 150.722255 # Average occupied blocks per requestor
+system.cpu.icache.tags.occ_percent::cpu.inst 0.073595 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_percent::total 0.073595 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 293 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::0 173 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::1 120 # Occupied blocks per task id
@@ -764,12 +789,12 @@ system.cpu.icache.demand_misses::cpu.inst 402 # n
system.cpu.icache.demand_misses::total 402 # number of demand (read+write) misses
system.cpu.icache.overall_misses::cpu.inst 402 # number of overall misses
system.cpu.icache.overall_misses::total 402 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 25574000 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 25574000 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 25574000 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 25574000 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 25574000 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 25574000 # number of overall miss cycles
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 25584000 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 25584000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 25584000 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 25584000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 25584000 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 25584000 # number of overall miss cycles
system.cpu.icache.ReadReq_accesses::cpu.inst 2068 # number of ReadReq accesses(hits+misses)
system.cpu.icache.ReadReq_accesses::total 2068 # number of ReadReq accesses(hits+misses)
system.cpu.icache.demand_accesses::cpu.inst 2068 # number of demand (read+write) accesses
@@ -782,12 +807,12 @@ system.cpu.icache.demand_miss_rate::cpu.inst 0.194391
system.cpu.icache.demand_miss_rate::total 0.194391 # miss rate for demand accesses
system.cpu.icache.overall_miss_rate::cpu.inst 0.194391 # miss rate for overall accesses
system.cpu.icache.overall_miss_rate::total 0.194391 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 63616.915423 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 63616.915423 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 63616.915423 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 63616.915423 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 63616.915423 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 63616.915423 # average overall miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 63641.791045 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 63641.791045 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 63641.791045 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 63641.791045 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 63641.791045 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 63641.791045 # average overall miss latency
system.cpu.icache.blocked_cycles::no_mshrs 298 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 5 # number of cycles access was blocked
@@ -808,36 +833,36 @@ system.cpu.icache.demand_mshr_misses::cpu.inst 294
system.cpu.icache.demand_mshr_misses::total 294 # number of demand (read+write) MSHR misses
system.cpu.icache.overall_mshr_misses::cpu.inst 294 # number of overall MSHR misses
system.cpu.icache.overall_mshr_misses::total 294 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 19742750 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 19742750 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 19742750 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 19742750 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 19742750 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 19742750 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 19740750 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 19740750 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 19740750 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 19740750 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 19740750 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 19740750 # number of overall MSHR miss cycles
system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.142166 # mshr miss rate for ReadReq accesses
system.cpu.icache.ReadReq_mshr_miss_rate::total 0.142166 # mshr miss rate for ReadReq accesses
system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.142166 # mshr miss rate for demand accesses
system.cpu.icache.demand_mshr_miss_rate::total 0.142166 # mshr miss rate for demand accesses
system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.142166 # mshr miss rate for overall accesses
system.cpu.icache.overall_mshr_miss_rate::total 0.142166 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 67152.210884 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 67152.210884 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 67152.210884 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 67152.210884 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 67152.210884 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 67152.210884 # average overall mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 67145.408163 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 67145.408163 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 67145.408163 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 67145.408163 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 67145.408163 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 67145.408163 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 188.170247 # Cycle average of tags in use
+system.cpu.l2cache.tags.tagsinuse 188.125989 # Cycle average of tags in use
system.cpu.l2cache.tags.total_refs 39 # Total number of references to valid blocks.
system.cpu.l2cache.tags.sampled_refs 355 # Sample count of references to valid blocks.
system.cpu.l2cache.tags.avg_refs 0.109859 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 141.371533 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 46.798714 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.004314 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 141.336521 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 46.789468 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::cpu.inst 0.004313 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.data 0.001428 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.005743 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::total 0.005741 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_task_id_blocks::1024 355 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1024::0 198 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1024::1 157 # Occupied blocks per task id
@@ -864,16 +889,16 @@ system.cpu.l2cache.demand_misses::total 402 # nu
system.cpu.l2cache.overall_misses::cpu.inst 275 # number of overall misses
system.cpu.l2cache.overall_misses::cpu.data 127 # number of overall misses
system.cpu.l2cache.overall_misses::total 402 # number of overall misses
-system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 19251250 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::cpu.data 6010750 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 19249250 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.data 6012750 # number of ReadReq miss cycles
system.cpu.l2cache.ReadReq_miss_latency::total 25262000 # number of ReadReq miss cycles
system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 3101750 # number of ReadExReq miss cycles
system.cpu.l2cache.ReadExReq_miss_latency::total 3101750 # number of ReadExReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 19251250 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 9112500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 19249250 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 9114500 # number of demand (read+write) miss cycles
system.cpu.l2cache.demand_miss_latency::total 28363750 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 19251250 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 9112500 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 19249250 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 9114500 # number of overall miss cycles
system.cpu.l2cache.overall_miss_latency::total 28363750 # number of overall miss cycles
system.cpu.l2cache.ReadReq_accesses::cpu.inst 294 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::cpu.data 105 # number of ReadReq accesses(hits+misses)
@@ -897,16 +922,16 @@ system.cpu.l2cache.demand_miss_rate::total 0.911565 #
system.cpu.l2cache.overall_miss_rate::cpu.inst 0.935374 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::cpu.data 0.863946 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::total 0.911565 # miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 70004.545455 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 70714.705882 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 69997.272727 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 70738.235294 # average ReadReq miss latency
system.cpu.l2cache.ReadReq_avg_miss_latency::total 70172.222222 # average ReadReq miss latency
system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 73851.190476 # average ReadExReq miss latency
system.cpu.l2cache.ReadExReq_avg_miss_latency::total 73851.190476 # average ReadExReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 70004.545455 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 71751.968504 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 69997.272727 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 71767.716535 # average overall miss latency
system.cpu.l2cache.demand_avg_miss_latency::total 70556.592040 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 70004.545455 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 71751.968504 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 69997.272727 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 71767.716535 # average overall miss latency
system.cpu.l2cache.overall_avg_miss_latency::total 70556.592040 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
@@ -933,16 +958,16 @@ system.cpu.l2cache.demand_mshr_misses::total 397
system.cpu.l2cache.overall_mshr_misses::cpu.inst 275 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.data 122 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::total 397 # number of overall MSHR misses
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 15797750 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 4736000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 15795750 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 4738000 # number of ReadReq MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_latency::total 20533750 # number of ReadReq MSHR miss cycles
system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 2589250 # number of ReadExReq MSHR miss cycles
system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 2589250 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 15797750 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 7325250 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 15795750 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 7327250 # number of demand (read+write) MSHR miss cycles
system.cpu.l2cache.demand_mshr_miss_latency::total 23123000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 15797750 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 7325250 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 15795750 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 7327250 # number of overall MSHR miss cycles
system.cpu.l2cache.overall_mshr_miss_latency::total 23123000 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.935374 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 0.761905 # mshr miss rate for ReadReq accesses
@@ -955,27 +980,27 @@ system.cpu.l2cache.demand_mshr_miss_rate::total 0.900227
system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.935374 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 0.829932 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::total 0.900227 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 57446.363636 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 59200 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 57439.090909 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 59225 # average ReadReq mshr miss latency
system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 57841.549296 # average ReadReq mshr miss latency
system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 61648.809524 # average ReadExReq mshr miss latency
system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 61648.809524 # average ReadExReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 57446.363636 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 60043.032787 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 57439.090909 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 60059.426230 # average overall mshr miss latency
system.cpu.l2cache.demand_avg_mshr_miss_latency::total 58244.332494 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 57446.363636 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 60043.032787 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 57439.090909 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 60059.426230 # average overall mshr miss latency
system.cpu.l2cache.overall_avg_mshr_miss_latency::total 58244.332494 # average overall mshr miss latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 87.133302 # Cycle average of tags in use
+system.cpu.dcache.tags.tagsinuse 87.114563 # Cycle average of tags in use
system.cpu.dcache.tags.total_refs 2168 # Total number of references to valid blocks.
system.cpu.dcache.tags.sampled_refs 146 # Sample count of references to valid blocks.
system.cpu.dcache.tags.avg_refs 14.849315 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 87.133302 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.data 0.021273 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.021273 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_blocks::cpu.data 87.114563 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_percent::cpu.data 0.021268 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_percent::total 0.021268 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 146 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::0 67 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::1 79 # Occupied blocks per task id
@@ -1004,16 +1029,16 @@ system.cpu.dcache.demand_misses::cpu.data 521 # n
system.cpu.dcache.demand_misses::total 521 # number of demand (read+write) misses
system.cpu.dcache.overall_misses::cpu.data 521 # number of overall misses
system.cpu.dcache.overall_misses::total 521 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 11351493 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 11351493 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::cpu.data 11353493 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 11353493 # number of ReadReq miss cycles
system.cpu.dcache.WriteReq_miss_latency::cpu.data 20745500 # number of WriteReq miss cycles
system.cpu.dcache.WriteReq_miss_latency::total 20745500 # number of WriteReq miss cycles
system.cpu.dcache.LoadLockedReq_miss_latency::cpu.data 130500 # number of LoadLockedReq miss cycles
system.cpu.dcache.LoadLockedReq_miss_latency::total 130500 # number of LoadLockedReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 32096993 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 32096993 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 32096993 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 32096993 # number of overall miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 32098993 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 32098993 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 32098993 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 32098993 # number of overall miss cycles
system.cpu.dcache.ReadReq_accesses::cpu.data 1754 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.ReadReq_accesses::total 1754 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.data 913 # number of WriteReq accesses(hits+misses)
@@ -1036,16 +1061,16 @@ system.cpu.dcache.demand_miss_rate::cpu.data 0.195351
system.cpu.dcache.demand_miss_rate::total 0.195351 # miss rate for demand accesses
system.cpu.dcache.overall_miss_rate::cpu.data 0.195351 # miss rate for overall accesses
system.cpu.dcache.overall_miss_rate::total 0.195351 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 55918.684729 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 55918.684729 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 55928.536946 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 55928.536946 # average ReadReq miss latency
system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 65237.421384 # average WriteReq miss latency
system.cpu.dcache.WriteReq_avg_miss_latency::total 65237.421384 # average WriteReq miss latency
system.cpu.dcache.LoadLockedReq_avg_miss_latency::cpu.data 65250 # average LoadLockedReq miss latency
system.cpu.dcache.LoadLockedReq_avg_miss_latency::total 65250 # average LoadLockedReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 61606.512476 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 61606.512476 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 61606.512476 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 61606.512476 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 61610.351248 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 61610.351248 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 61610.351248 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 61610.351248 # average overall miss latency
system.cpu.dcache.blocked_cycles::no_mshrs 105 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 4 # number of cycles access was blocked
@@ -1072,14 +1097,14 @@ system.cpu.dcache.demand_mshr_misses::cpu.data 147
system.cpu.dcache.demand_mshr_misses::total 147 # number of demand (read+write) MSHR misses
system.cpu.dcache.overall_mshr_misses::cpu.data 147 # number of overall MSHR misses
system.cpu.dcache.overall_mshr_misses::total 147 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 6245255 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 6245255 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 6247255 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 6247255 # number of ReadReq MSHR miss cycles
system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 3144750 # number of WriteReq MSHR miss cycles
system.cpu.dcache.WriteReq_mshr_miss_latency::total 3144750 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 9390005 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 9390005 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 9390005 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 9390005 # number of overall MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 9392005 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 9392005 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 9392005 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 9392005 # number of overall MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.059863 # mshr miss rate for ReadReq accesses
system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.059863 # mshr miss rate for ReadReq accesses
system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.046002 # mshr miss rate for WriteReq accesses
@@ -1088,14 +1113,14 @@ system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.055118
system.cpu.dcache.demand_mshr_miss_rate::total 0.055118 # mshr miss rate for demand accesses
system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.055118 # mshr miss rate for overall accesses
system.cpu.dcache.overall_mshr_miss_rate::total 0.055118 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 59478.619048 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 59478.619048 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 59497.666667 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 59497.666667 # average ReadReq mshr miss latency
system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 74875 # average WriteReq mshr miss latency
system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 74875 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 63877.585034 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 63877.585034 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 63877.585034 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 63877.585034 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 63891.190476 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 63891.190476 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 63891.190476 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 63891.190476 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/arm/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/arm/linux/o3-timing/stats.txt
index adfd7b504..f52a81778 100644
--- a/tests/quick/se/00.hello/ref/arm/linux/o3-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/arm/linux/o3-timing/stats.txt
@@ -1,62 +1,66 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 0.000016 # Number of seconds simulated
-sim_ticks 16223000 # Number of ticks simulated
-final_tick 16223000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 0.000012 # Number of seconds simulated
+sim_ticks 11859500 # Number of ticks simulated
+final_tick 11859500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 35590 # Simulator instruction rate (inst/s)
-host_op_rate 41676 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 125719954 # Simulator tick rate (ticks/s)
-host_mem_usage 252016 # Number of bytes of host memory used
-host_seconds 0.13 # Real time elapsed on the host
+host_inst_rate 50616 # Simulator instruction rate (inst/s)
+host_op_rate 59274 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 130716325 # Simulator tick rate (ticks/s)
+host_mem_usage 300356 # Number of bytes of host memory used
+host_seconds 0.09 # Real time elapsed on the host
sim_insts 4591 # Number of instructions simulated
sim_ops 5377 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
-system.physmem.bytes_read::cpu.inst 17600 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.data 7808 # Number of bytes read from this memory
-system.physmem.bytes_read::total 25408 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu.inst 17600 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 17600 # Number of instructions bytes read from this memory
-system.physmem.num_reads::cpu.inst 275 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.data 122 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 397 # Number of read requests responded to by this memory
-system.physmem.bw_read::cpu.inst 1084879492 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 481291993 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 1566171485 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 1084879492 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 1084879492 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 1084879492 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 481291993 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 1566171485 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.readReqs 397 # Number of read requests accepted
+system.physmem.bytes_read::cpu.inst 3776 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu.data 5888 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu.l2cache.prefetcher 37184 # Number of bytes read from this memory
+system.physmem.bytes_read::total 46848 # Number of bytes read from this memory
+system.physmem.bytes_inst_read::cpu.inst 3776 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::total 3776 # Number of instructions bytes read from this memory
+system.physmem.num_reads::cpu.inst 59 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu.data 92 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu.l2cache.prefetcher 581 # Number of read requests responded to by this memory
+system.physmem.num_reads::total 732 # Number of read requests responded to by this memory
+system.physmem.bw_read::cpu.inst 318394536 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.data 496479615 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.l2cache.prefetcher 3135376702 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 3950250854 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu.inst 318394536 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 318394536 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total::cpu.inst 318394536 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.data 496479615 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.l2cache.prefetcher 3135376702 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 3950250854 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.readReqs 733 # Number of read requests accepted
system.physmem.writeReqs 0 # Number of write requests accepted
-system.physmem.readBursts 397 # Number of DRAM read bursts, including those serviced by the write queue
+system.physmem.readBursts 733 # Number of DRAM read bursts, including those serviced by the write queue
system.physmem.writeBursts 0 # Number of DRAM write bursts, including those merged in the write queue
-system.physmem.bytesReadDRAM 25408 # Total number of bytes read from DRAM
+system.physmem.bytesReadDRAM 46912 # Total number of bytes read from DRAM
system.physmem.bytesReadWrQ 0 # Total number of bytes read from write queue
system.physmem.bytesWritten 0 # Total number of bytes written to DRAM
-system.physmem.bytesReadSys 25408 # Total read bytes from the system interface side
+system.physmem.bytesReadSys 46912 # Total read bytes from the system interface side
system.physmem.bytesWrittenSys 0 # Total written bytes from the system interface side
system.physmem.servicedByWrQ 0 # Number of DRAM read bursts serviced by the write queue
system.physmem.mergedWrBursts 0 # Number of DRAM write bursts merged with an existing one
system.physmem.neitherReadNorWriteReqs 0 # Number of requests that are neither read nor write
-system.physmem.perBankRdBursts::0 90 # Per bank write bursts
-system.physmem.perBankRdBursts::1 46 # Per bank write bursts
-system.physmem.perBankRdBursts::2 20 # Per bank write bursts
-system.physmem.perBankRdBursts::3 43 # Per bank write bursts
-system.physmem.perBankRdBursts::4 18 # Per bank write bursts
-system.physmem.perBankRdBursts::5 32 # Per bank write bursts
-system.physmem.perBankRdBursts::6 35 # Per bank write bursts
-system.physmem.perBankRdBursts::7 10 # Per bank write bursts
-system.physmem.perBankRdBursts::8 4 # Per bank write bursts
-system.physmem.perBankRdBursts::9 8 # Per bank write bursts
-system.physmem.perBankRdBursts::10 28 # Per bank write bursts
-system.physmem.perBankRdBursts::11 42 # Per bank write bursts
-system.physmem.perBankRdBursts::12 9 # Per bank write bursts
-system.physmem.perBankRdBursts::13 6 # Per bank write bursts
+system.physmem.perBankRdBursts::0 143 # Per bank write bursts
+system.physmem.perBankRdBursts::1 90 # Per bank write bursts
+system.physmem.perBankRdBursts::2 40 # Per bank write bursts
+system.physmem.perBankRdBursts::3 73 # Per bank write bursts
+system.physmem.perBankRdBursts::4 58 # Per bank write bursts
+system.physmem.perBankRdBursts::5 88 # Per bank write bursts
+system.physmem.perBankRdBursts::6 52 # Per bank write bursts
+system.physmem.perBankRdBursts::7 18 # Per bank write bursts
+system.physmem.perBankRdBursts::8 12 # Per bank write bursts
+system.physmem.perBankRdBursts::9 28 # Per bank write bursts
+system.physmem.perBankRdBursts::10 34 # Per bank write bursts
+system.physmem.perBankRdBursts::11 47 # Per bank write bursts
+system.physmem.perBankRdBursts::12 17 # Per bank write bursts
+system.physmem.perBankRdBursts::13 19 # Per bank write bursts
system.physmem.perBankRdBursts::14 0 # Per bank write bursts
-system.physmem.perBankRdBursts::15 6 # Per bank write bursts
+system.physmem.perBankRdBursts::15 14 # Per bank write bursts
system.physmem.perBankWrBursts::0 0 # Per bank write bursts
system.physmem.perBankWrBursts::1 0 # Per bank write bursts
system.physmem.perBankWrBursts::2 0 # Per bank write bursts
@@ -75,14 +79,14 @@ system.physmem.perBankWrBursts::14 0 # Pe
system.physmem.perBankWrBursts::15 0 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 16156000 # Total gap between requests
+system.physmem.totGap 11846500 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 0 # Read request sizes (log2)
system.physmem.readPktSize::3 0 # Read request sizes (log2)
system.physmem.readPktSize::4 0 # Read request sizes (log2)
system.physmem.readPktSize::5 0 # Read request sizes (log2)
-system.physmem.readPktSize::6 397 # Read request sizes (log2)
+system.physmem.readPktSize::6 733 # Read request sizes (log2)
system.physmem.writePktSize::0 0 # Write request sizes (log2)
system.physmem.writePktSize::1 0 # Write request sizes (log2)
system.physmem.writePktSize::2 0 # Write request sizes (log2)
@@ -90,22 +94,22 @@ system.physmem.writePktSize::3 0 # Wr
system.physmem.writePktSize::4 0 # Write request sizes (log2)
system.physmem.writePktSize::5 0 # Write request sizes (log2)
system.physmem.writePktSize::6 0 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 210 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 119 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::2 51 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::3 14 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::4 3 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::5 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::6 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::7 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::8 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::9 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::10 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::11 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::12 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::13 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::14 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::15 0 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::0 96 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::1 114 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::2 83 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::3 79 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::4 68 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::5 60 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::6 51 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::7 53 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::8 48 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::9 26 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::10 17 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::11 18 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::12 6 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::13 8 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::14 4 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::15 2 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::16 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::17 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::18 0 # What read queue length does an incoming req see
@@ -186,71 +190,80 @@ system.physmem.wrQLenPdf::60 0 # Wh
system.physmem.wrQLenPdf::61 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::62 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.physmem.bytesPerActivate::samples 63 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::mean 396.190476 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 265.364013 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 334.900990 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 12 19.05% 19.05% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 16 25.40% 44.44% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::256-383 8 12.70% 57.14% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::384-511 9 14.29% 71.43% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::512-639 3 4.76% 76.19% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::640-767 2 3.17% 79.37% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::768-895 3 4.76% 84.13% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::1024-1151 10 15.87% 100.00% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::total 63 # Bytes accessed per row activation
-system.physmem.totQLat 2970000 # Total ticks spent queuing
-system.physmem.totMemAccLat 10413750 # Total ticks spent from burst creation until serviced by the DRAM
-system.physmem.totBusLat 1985000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 7481.11 # Average queueing delay per DRAM burst
+system.physmem.bytesPerActivate::samples 60 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::mean 712.533333 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::gmean 570.872295 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::stdev 336.283550 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::0-127 4 6.67% 6.67% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::128-255 5 8.33% 15.00% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::256-383 4 6.67% 21.67% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::384-511 1 1.67% 23.33% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::512-639 4 6.67% 30.00% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::640-767 10 16.67% 46.67% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::768-895 4 6.67% 53.33% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::896-1023 5 8.33% 61.67% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::1024-1151 23 38.33% 100.00% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::total 60 # Bytes accessed per row activation
+system.physmem.totQLat 17284989 # Total ticks spent queuing
+system.physmem.totMemAccLat 31028739 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totBusLat 3665000 # Total ticks spent in databus transfers
+system.physmem.avgQLat 23581.16 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 26231.11 # Average memory access latency per DRAM burst
-system.physmem.avgRdBW 1566.17 # Average DRAM read bandwidth in MiByte/s
+system.physmem.avgMemAccLat 42331.16 # Average memory access latency per DRAM burst
+system.physmem.avgRdBW 3955.65 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 1566.17 # Average system read bandwidth in MiByte/s
+system.physmem.avgRdBWSys 3955.65 # Average system read bandwidth in MiByte/s
system.physmem.avgWrBWSys 0.00 # Average system write bandwidth in MiByte/s
system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.physmem.busUtil 12.24 # Data bus utilization in percentage
-system.physmem.busUtilRead 12.24 # Data bus utilization in percentage for reads
+system.physmem.busUtil 30.90 # Data bus utilization in percentage
+system.physmem.busUtilRead 30.90 # Data bus utilization in percentage for reads
system.physmem.busUtilWrite 0.00 # Data bus utilization in percentage for writes
-system.physmem.avgRdQLen 1.84 # Average read queue length when enqueuing
+system.physmem.avgRdQLen 5.25 # Average read queue length when enqueuing
system.physmem.avgWrQLen 0.00 # Average write queue length when enqueuing
-system.physmem.readRowHits 331 # Number of row buffer hits during reads
+system.physmem.readRowHits 662 # Number of row buffer hits during reads
system.physmem.writeRowHits 0 # Number of row buffer hits during writes
-system.physmem.readRowHitRate 83.38 # Row buffer hit rate for reads
+system.physmem.readRowHitRate 90.31 # Row buffer hit rate for reads
system.physmem.writeRowHitRate nan # Row buffer hit rate for writes
-system.physmem.avgGap 40695.21 # Average gap between requests
-system.physmem.pageHitRate 83.38 # Row buffer hit rate, read and write combined
-system.physmem.memoryStateTime::IDLE 11000 # Time in different power states
-system.physmem.memoryStateTime::REF 520000 # Time in different power states
+system.physmem.avgGap 16161.66 # Average gap between requests
+system.physmem.pageHitRate 90.31 # Row buffer hit rate, read and write combined
+system.physmem.memoryStateTime::IDLE 6500 # Time in different power states
+system.physmem.memoryStateTime::REF 260000 # Time in different power states
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem.memoryStateTime::ACT 15315250 # Time in different power states
+system.physmem.memoryStateTime::ACT 7800750 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 1566171485 # Throughput (bytes/s)
-system.membus.trans_dist::ReadReq 355 # Transaction distribution
-system.membus.trans_dist::ReadResp 355 # Transaction distribution
-system.membus.trans_dist::ReadExReq 42 # Transaction distribution
-system.membus.trans_dist::ReadExResp 42 # Transaction distribution
-system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 794 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 794 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 25408 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 25408 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 25408 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
-system.membus.reqLayer0.occupancy 494500 # Layer occupancy (ticks)
-system.membus.reqLayer0.utilization 3.0 # Layer utilization (%)
-system.membus.respLayer1.occupancy 3699500 # Layer occupancy (ticks)
-system.membus.respLayer1.utilization 22.8 # Layer utilization (%)
+system.membus.trans_dist::ReadReq 704 # Transaction distribution
+system.membus.trans_dist::ReadResp 702 # Transaction distribution
+system.membus.trans_dist::ReadExReq 29 # Transaction distribution
+system.membus.trans_dist::ReadExResp 29 # Transaction distribution
+system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 1464 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 1464 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 46784 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 46784 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 733 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 733 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 733 # Request fanout histogram
+system.membus.reqLayer0.occupancy 803724 # Layer occupancy (ticks)
+system.membus.reqLayer0.utilization 6.8 # Layer utilization (%)
+system.membus.respLayer1.occupancy 6629985 # Layer occupancy (ticks)
+system.membus.respLayer1.utilization 55.9 # Layer utilization (%)
system.cpu_clk_domain.clock 500 # Clock period in ticks
-system.cpu.branchPred.lookups 2638 # Number of BP lookups
-system.cpu.branchPred.condPredicted 1635 # Number of conditional branches predicted
-system.cpu.branchPred.condIncorrect 480 # Number of conditional branches incorrect
-system.cpu.branchPred.BTBLookups 2101 # Number of BTB lookups
-system.cpu.branchPred.BTBHits 783 # Number of BTB hits
+system.cpu.branchPred.lookups 2560 # Number of BP lookups
+system.cpu.branchPred.condPredicted 1531 # Number of conditional branches predicted
+system.cpu.branchPred.condIncorrect 510 # Number of conditional branches incorrect
+system.cpu.branchPred.BTBLookups 939 # Number of BTB lookups
+system.cpu.branchPred.BTBHits 497 # Number of BTB hits
system.cpu.branchPred.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
-system.cpu.branchPred.BTBHitPct 37.267968 # BTB Hit Percentage
-system.cpu.branchPred.usedRAS 354 # Number of times the RAS was used to get a target.
-system.cpu.branchPred.RASInCorrect 68 # Number of incorrect RAS predictions.
+system.cpu.branchPred.BTBHitPct 52.928647 # BTB Hit Percentage
+system.cpu.branchPred.usedRAS 297 # Number of times the RAS was used to get a target.
+system.cpu.branchPred.RASInCorrect 56 # Number of incorrect RAS predictions.
system.cpu.dstage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu.dstage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
system.cpu.dstage2_mmu.stage2_tlb.read_hits 0 # DTB read hits
@@ -336,237 +349,234 @@ system.cpu.itb.hits 0 # DT
system.cpu.itb.misses 0 # DTB misses
system.cpu.itb.accesses 0 # DTB accesses
system.cpu.workload.num_syscalls 13 # Number of system calls
-system.cpu.numCycles 32447 # number of cpu cycles simulated
+system.cpu.numCycles 23720 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.fetch.icacheStallCycles 7786 # Number of cycles fetch is stalled on an Icache miss
-system.cpu.fetch.Insts 12484 # Number of instructions fetch has processed
-system.cpu.fetch.Branches 2638 # Number of branches that fetch encountered
-system.cpu.fetch.predictedBranches 1137 # Number of branches that fetch has predicted taken
-system.cpu.fetch.Cycles 4850 # Number of cycles fetch has run and was not squashing or blocked
-system.cpu.fetch.SquashCycles 1010 # Number of cycles fetch has spent squashing
-system.cpu.fetch.MiscStallCycles 3 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
-system.cpu.fetch.PendingTrapStallCycles 259 # Number of stall cycles due to pending traps
-system.cpu.fetch.IcacheWaitRetryStallCycles 30 # Number of stall cycles due to full MSHR
-system.cpu.fetch.CacheLines 2068 # Number of cache lines fetched
-system.cpu.fetch.IcacheSquashes 320 # Number of outstanding Icache misses that were squashed
-system.cpu.fetch.rateDist::samples 13433 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::mean 1.098935 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::stdev 2.478489 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.icacheStallCycles 4394 # Number of cycles fetch is stalled on an Icache miss
+system.cpu.fetch.Insts 12370 # Number of instructions fetch has processed
+system.cpu.fetch.Branches 2560 # Number of branches that fetch encountered
+system.cpu.fetch.predictedBranches 794 # Number of branches that fetch has predicted taken
+system.cpu.fetch.Cycles 11397 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu.fetch.SquashCycles 1062 # Number of cycles fetch has spent squashing
+system.cpu.fetch.MiscStallCycles 19 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
+system.cpu.fetch.PendingTrapStallCycles 322 # Number of stall cycles due to pending traps
+system.cpu.fetch.IcacheWaitRetryStallCycles 84 # Number of stall cycles due to full MSHR
+system.cpu.fetch.CacheLines 4117 # Number of cache lines fetched
+system.cpu.fetch.IcacheSquashes 139 # Number of outstanding Icache misses that were squashed
+system.cpu.fetch.rateDist::samples 16747 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::mean 0.858243 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::stdev 1.204203 # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::0 10743 79.97% 79.97% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::1 265 1.97% 81.95% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::2 241 1.79% 83.74% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::3 235 1.75% 85.49% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::4 238 1.77% 87.26% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::5 291 2.17% 89.43% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::6 141 1.05% 90.48% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::7 175 1.30% 91.78% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::8 1104 8.22% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::0 9977 59.57% 59.57% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::1 2687 16.04% 75.62% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::2 563 3.36% 78.98% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::3 3520 21.02% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::total 13433 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.branchRate 0.081302 # Number of branch fetches per cycle
-system.cpu.fetch.rate 0.384751 # Number of inst fetches per cycle
-system.cpu.decode.IdleCycles 6529 # Number of cycles decode is idle
-system.cpu.decode.BlockedCycles 4272 # Number of cycles decode is blocked
-system.cpu.decode.RunCycles 2145 # Number of cycles decode is running
-system.cpu.decode.UnblockCycles 138 # Number of cycles decode is unblocking
-system.cpu.decode.SquashCycles 349 # Number of cycles decode is squashing
-system.cpu.decode.BranchResolved 390 # Number of times decode resolved a branch
-system.cpu.decode.BranchMispred 163 # Number of times decode detected a branch misprediction
-system.cpu.decode.DecodedInsts 12118 # Number of instructions handled by decode
-system.cpu.decode.SquashedInsts 476 # Number of squashed instructions handled by decode
-system.cpu.rename.SquashCycles 349 # Number of cycles rename is squashing
-system.cpu.rename.IdleCycles 6736 # Number of cycles rename is idle
-system.cpu.rename.BlockCycles 846 # Number of cycles rename is blocking
-system.cpu.rename.serializeStallCycles 2304 # count of cycles rename stalled for serializing inst
-system.cpu.rename.RunCycles 2064 # Number of cycles rename is running
-system.cpu.rename.UnblockCycles 1134 # Number of cycles rename is unblocking
-system.cpu.rename.RenamedInsts 11483 # Number of instructions processed by rename
-system.cpu.rename.IQFullEvents 163 # Number of times rename has blocked due to IQ full
-system.cpu.rename.LQFullEvents 117 # Number of times rename has blocked due to LQ full
-system.cpu.rename.SQFullEvents 963 # Number of times rename has blocked due to SQ full
-system.cpu.rename.RenamedOperands 11820 # Number of destination operands rename has renamed
-system.cpu.rename.RenameLookups 52846 # Number of register rename lookups that rename has made
-system.cpu.rename.int_rename_lookups 12757 # Number of integer rename lookups
-system.cpu.rename.fp_rename_lookups 88 # Number of floating rename lookups
+system.cpu.fetch.rateDist::max_value 3 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::total 16747 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.branchRate 0.107926 # Number of branch fetches per cycle
+system.cpu.fetch.rate 0.521501 # Number of inst fetches per cycle
+system.cpu.decode.IdleCycles 4535 # Number of cycles decode is idle
+system.cpu.decode.BlockedCycles 6577 # Number of cycles decode is blocked
+system.cpu.decode.RunCycles 5106 # Number of cycles decode is running
+system.cpu.decode.UnblockCycles 160 # Number of cycles decode is unblocking
+system.cpu.decode.SquashCycles 369 # Number of cycles decode is squashing
+system.cpu.decode.BranchResolved 338 # Number of times decode resolved a branch
+system.cpu.decode.BranchMispred 165 # Number of times decode detected a branch misprediction
+system.cpu.decode.DecodedInsts 10143 # Number of instructions handled by decode
+system.cpu.decode.SquashedInsts 1684 # Number of squashed instructions handled by decode
+system.cpu.rename.SquashCycles 369 # Number of cycles rename is squashing
+system.cpu.rename.IdleCycles 5681 # Number of cycles rename is idle
+system.cpu.rename.BlockCycles 3207 # Number of cycles rename is blocking
+system.cpu.rename.serializeStallCycles 2422 # count of cycles rename stalled for serializing inst
+system.cpu.rename.RunCycles 4105 # Number of cycles rename is running
+system.cpu.rename.UnblockCycles 963 # Number of cycles rename is unblocking
+system.cpu.rename.RenamedInsts 9048 # Number of instructions processed by rename
+system.cpu.rename.SquashedInsts 426 # Number of squashed instructions processed by rename
+system.cpu.rename.ROBFullEvents 49 # Number of times rename has blocked due to ROB full
+system.cpu.rename.IQFullEvents 5 # Number of times rename has blocked due to IQ full
+system.cpu.rename.LQFullEvents 101 # Number of times rename has blocked due to LQ full
+system.cpu.rename.SQFullEvents 748 # Number of times rename has blocked due to SQ full
+system.cpu.rename.RenamedOperands 9432 # Number of destination operands rename has renamed
+system.cpu.rename.RenameLookups 41033 # Number of register rename lookups that rename has made
+system.cpu.rename.int_rename_lookups 9977 # Number of integer rename lookups
+system.cpu.rename.fp_rename_lookups 18 # Number of floating rename lookups
system.cpu.rename.CommittedMaps 5494 # Number of HB maps that are committed
-system.cpu.rename.UndoneMaps 6326 # Number of HB maps that are undone due to squashing
-system.cpu.rename.serializingInsts 43 # count of serializing insts renamed
-system.cpu.rename.tempSerializingInsts 37 # count of temporary serializing insts renamed
-system.cpu.rename.skidInsts 443 # count of insts added to the skid buffer
-system.cpu.memDep0.insertedLoads 2313 # Number of loads inserted to the mem dependence unit.
-system.cpu.memDep0.insertedStores 1639 # Number of stores inserted to the mem dependence unit.
-system.cpu.memDep0.conflictingLoads 32 # Number of conflicting loads.
-system.cpu.memDep0.conflictingStores 28 # Number of conflicting stores.
-system.cpu.iq.iqInstsAdded 10354 # Number of instructions added to the IQ (excludes non-spec)
-system.cpu.iq.iqNonSpecInstsAdded 46 # Number of non-speculative instructions added to the IQ
-system.cpu.iq.iqInstsIssued 8358 # Number of instructions issued
-system.cpu.iq.iqSquashedInstsIssued 56 # Number of squashed instructions issued
-system.cpu.iq.iqSquashedInstsExamined 4760 # Number of squashed instructions iterated over during squash; mainly for profiling
-system.cpu.iq.iqSquashedOperandsExamined 12835 # Number of squashed operands that are examined and possibly removed from graph
-system.cpu.iq.iqSquashedNonSpecRemoved 9 # Number of squashed non-spec instructions that were removed
-system.cpu.iq.issued_per_cycle::samples 13433 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::mean 0.622199 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::stdev 1.376807 # Number of insts issued each cycle
+system.cpu.rename.UndoneMaps 3938 # Number of HB maps that are undone due to squashing
+system.cpu.rename.serializingInsts 31 # count of serializing insts renamed
+system.cpu.rename.tempSerializingInsts 29 # count of temporary serializing insts renamed
+system.cpu.rename.skidInsts 472 # count of insts added to the skid buffer
+system.cpu.memDep0.insertedLoads 1824 # Number of loads inserted to the mem dependence unit.
+system.cpu.memDep0.insertedStores 1295 # Number of stores inserted to the mem dependence unit.
+system.cpu.memDep0.conflictingLoads 1 # Number of conflicting loads.
+system.cpu.memDep0.conflictingStores 0 # Number of conflicting stores.
+system.cpu.iq.iqInstsAdded 8517 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu.iq.iqNonSpecInstsAdded 40 # Number of non-speculative instructions added to the IQ
+system.cpu.iq.iqInstsIssued 7242 # Number of instructions issued
+system.cpu.iq.iqSquashedInstsIssued 203 # Number of squashed instructions issued
+system.cpu.iq.iqSquashedInstsExamined 2981 # Number of squashed instructions iterated over during squash; mainly for profiling
+system.cpu.iq.iqSquashedOperandsExamined 8241 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu.iq.iqSquashedNonSpecRemoved 3 # Number of squashed non-spec instructions that were removed
+system.cpu.iq.issued_per_cycle::samples 16747 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::mean 0.432436 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::stdev 0.833231 # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::0 10179 75.78% 75.78% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::1 1183 8.81% 84.58% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::2 748 5.57% 90.15% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::3 453 3.37% 93.52% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::4 362 2.69% 96.22% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::5 286 2.13% 98.35% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::6 135 1.00% 99.35% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::7 64 0.48% 99.83% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::8 23 0.17% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::0 12501 74.65% 74.65% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::1 1960 11.70% 86.35% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::2 1628 9.72% 96.07% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::3 606 3.62% 99.69% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::4 52 0.31% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::5 0 0.00% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::6 0 0.00% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::7 0 0.00% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::8 0 0.00% 100.00% # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::total 13433 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::max_value 4 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::total 16747 # Number of insts issued each cycle
system.cpu.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntAlu 9 5.33% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntMult 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntDiv 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatAdd 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatCmp 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatCvt 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatMult 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatDiv 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatSqrt 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAdd 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAlu 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdCmp 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdCvt 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMisc 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMult 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdShift 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdSqrt 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 5.33% # attempts to use FU when none available
-system.cpu.iq.fu_full::MemRead 80 47.34% 52.66% # attempts to use FU when none available
-system.cpu.iq.fu_full::MemWrite 80 47.34% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntAlu 437 29.61% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntMult 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntDiv 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatAdd 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCmp 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCvt 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatMult 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatDiv 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatSqrt 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAdd 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAlu 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCmp 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCvt 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMisc 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMult 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShift 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdSqrt 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 29.61% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemRead 482 32.66% 62.26% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemWrite 557 37.74% 100.00% # attempts to use FU when none available
system.cpu.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
system.cpu.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
system.cpu.iq.FU_type_0::No_OpClass 0 0.00% 0.00% # Type of FU issued
-system.cpu.iq.FU_type_0::IntAlu 5041 60.31% 60.31% # Type of FU issued
-system.cpu.iq.FU_type_0::IntMult 6 0.07% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::IntDiv 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatAdd 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatMult 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMult 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdShift 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 60.39% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMisc 3 0.04% 60.42% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 60.42% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 60.42% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 60.42% # Type of FU issued
-system.cpu.iq.FU_type_0::MemRead 2009 24.04% 84.46% # Type of FU issued
-system.cpu.iq.FU_type_0::MemWrite 1299 15.54% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IntAlu 4533 62.59% 62.59% # Type of FU issued
+system.cpu.iq.FU_type_0::IntMult 6 0.08% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::IntDiv 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatAdd 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatMult 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMult 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShift 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 62.68% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMisc 3 0.04% 62.72% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 62.72% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 62.72% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 62.72% # Type of FU issued
+system.cpu.iq.FU_type_0::MemRead 1613 22.27% 84.99% # Type of FU issued
+system.cpu.iq.FU_type_0::MemWrite 1087 15.01% 100.00% # Type of FU issued
system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
-system.cpu.iq.FU_type_0::total 8358 # Type of FU issued
-system.cpu.iq.rate 0.257589 # Inst issue rate
-system.cpu.iq.fu_busy_cnt 169 # FU busy when requested
-system.cpu.iq.fu_busy_rate 0.020220 # FU busy rate (busy events/executed inst)
-system.cpu.iq.int_inst_queue_reads 30275 # Number of integer instruction queue reads
-system.cpu.iq.int_inst_queue_writes 15052 # Number of integer instruction queue writes
-system.cpu.iq.int_inst_queue_wakeup_accesses 7570 # Number of integer instruction queue wakeup accesses
-system.cpu.iq.fp_inst_queue_reads 99 # Number of floating instruction queue reads
-system.cpu.iq.fp_inst_queue_writes 128 # Number of floating instruction queue writes
-system.cpu.iq.fp_inst_queue_wakeup_accesses 31 # Number of floating instruction queue wakeup accesses
-system.cpu.iq.int_alu_accesses 8484 # Number of integer alu accesses
-system.cpu.iq.fp_alu_accesses 43 # Number of floating point alu accesses
-system.cpu.iew.lsq.thread0.forwLoads 25 # Number of loads that had data forwarded from stores
+system.cpu.iq.FU_type_0::total 7242 # Type of FU issued
+system.cpu.iq.rate 0.305312 # Inst issue rate
+system.cpu.iq.fu_busy_cnt 1476 # FU busy when requested
+system.cpu.iq.fu_busy_rate 0.203811 # FU busy rate (busy events/executed inst)
+system.cpu.iq.int_inst_queue_reads 32865 # Number of integer instruction queue reads
+system.cpu.iq.int_inst_queue_writes 11527 # Number of integer instruction queue writes
+system.cpu.iq.int_inst_queue_wakeup_accesses 6638 # Number of integer instruction queue wakeup accesses
+system.cpu.iq.fp_inst_queue_reads 45 # Number of floating instruction queue reads
+system.cpu.iq.fp_inst_queue_writes 18 # Number of floating instruction queue writes
+system.cpu.iq.fp_inst_queue_wakeup_accesses 16 # Number of floating instruction queue wakeup accesses
+system.cpu.iq.int_alu_accesses 8689 # Number of integer alu accesses
+system.cpu.iq.fp_alu_accesses 29 # Number of floating point alu accesses
+system.cpu.iew.lsq.thread0.forwLoads 15 # Number of loads that had data forwarded from stores
system.cpu.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
-system.cpu.iew.lsq.thread0.squashedLoads 1286 # Number of loads squashed
-system.cpu.iew.lsq.thread0.ignoredResponses 0 # Number of memory responses ignored because the instruction is squashed
-system.cpu.iew.lsq.thread0.memOrderViolation 20 # Number of memory ordering violations
-system.cpu.iew.lsq.thread0.squashedStores 701 # Number of stores squashed
+system.cpu.iew.lsq.thread0.squashedLoads 797 # Number of loads squashed
+system.cpu.iew.lsq.thread0.ignoredResponses 1 # Number of memory responses ignored because the instruction is squashed
+system.cpu.iew.lsq.thread0.memOrderViolation 7 # Number of memory ordering violations
+system.cpu.iew.lsq.thread0.squashedStores 357 # Number of stores squashed
system.cpu.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
system.cpu.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
-system.cpu.iew.lsq.thread0.rescheduledLoads 39 # Number of loads that were rescheduled
-system.cpu.iew.lsq.thread0.cacheBlocked 8 # Number of times an access to memory failed due to the cache being blocked
+system.cpu.iew.lsq.thread0.rescheduledLoads 7 # Number of loads that were rescheduled
+system.cpu.iew.lsq.thread0.cacheBlocked 23 # Number of times an access to memory failed due to the cache being blocked
system.cpu.iew.iewIdleCycles 0 # Number of cycles IEW is idle
-system.cpu.iew.iewSquashCycles 349 # Number of cycles IEW is squashing
-system.cpu.iew.iewBlockCycles 800 # Number of cycles IEW is blocking
-system.cpu.iew.iewUnblockCycles 25 # Number of cycles IEW is unblocking
-system.cpu.iew.iewDispatchedInsts 10411 # Number of instructions dispatched to IQ
-system.cpu.iew.iewDispSquashedInsts 110 # Number of squashed instructions skipped by dispatch
-system.cpu.iew.iewDispLoadInsts 2313 # Number of dispatched load instructions
-system.cpu.iew.iewDispStoreInsts 1639 # Number of dispatched store instructions
-system.cpu.iew.iewDispNonSpecInsts 34 # Number of dispatched non-speculative instructions
-system.cpu.iew.iewIQFullEvents 13 # Number of times the IQ has become full, causing a stall
-system.cpu.iew.iewLSQFullEvents 10 # Number of times the LSQ has become full, causing a stall
-system.cpu.iew.memOrderViolationEvents 20 # Number of memory order violations
-system.cpu.iew.predictedTakenIncorrect 112 # Number of branches that were predicted taken incorrectly
-system.cpu.iew.predictedNotTakenIncorrect 252 # Number of branches that were predicted not taken incorrectly
-system.cpu.iew.branchMispredicts 364 # Number of branch mispredicts detected at execute
-system.cpu.iew.iewExecutedInsts 8063 # Number of executed instructions
-system.cpu.iew.iewExecLoadInsts 1908 # Number of load instructions executed
-system.cpu.iew.iewExecSquashedInsts 295 # Number of squashed instructions skipped in execute
+system.cpu.iew.iewSquashCycles 369 # Number of cycles IEW is squashing
+system.cpu.iew.iewBlockCycles 705 # Number of cycles IEW is blocking
+system.cpu.iew.iewUnblockCycles 159 # Number of cycles IEW is unblocking
+system.cpu.iew.iewDispatchedInsts 8571 # Number of instructions dispatched to IQ
+system.cpu.iew.iewDispSquashedInsts 0 # Number of squashed instructions skipped by dispatch
+system.cpu.iew.iewDispLoadInsts 1824 # Number of dispatched load instructions
+system.cpu.iew.iewDispStoreInsts 1295 # Number of dispatched store instructions
+system.cpu.iew.iewDispNonSpecInsts 28 # Number of dispatched non-speculative instructions
+system.cpu.iew.iewIQFullEvents 6 # Number of times the IQ has become full, causing a stall
+system.cpu.iew.iewLSQFullEvents 151 # Number of times the LSQ has become full, causing a stall
+system.cpu.iew.memOrderViolationEvents 7 # Number of memory order violations
+system.cpu.iew.predictedTakenIncorrect 68 # Number of branches that were predicted taken incorrectly
+system.cpu.iew.predictedNotTakenIncorrect 294 # Number of branches that were predicted not taken incorrectly
+system.cpu.iew.branchMispredicts 362 # Number of branch mispredicts detected at execute
+system.cpu.iew.iewExecutedInsts 6828 # Number of executed instructions
+system.cpu.iew.iewExecLoadInsts 1428 # Number of load instructions executed
+system.cpu.iew.iewExecSquashedInsts 414 # Number of squashed instructions skipped in execute
system.cpu.iew.exec_swp 0 # number of swp insts executed
-system.cpu.iew.exec_nop 11 # number of nop insts executed
-system.cpu.iew.exec_refs 3148 # number of memory reference insts executed
-system.cpu.iew.exec_branches 1457 # Number of branches executed
-system.cpu.iew.exec_stores 1240 # Number of stores executed
-system.cpu.iew.exec_rate 0.248498 # Inst execution rate
-system.cpu.iew.wb_sent 7735 # cumulative count of insts sent to commit
-system.cpu.iew.wb_count 7601 # cumulative count of insts written-back
-system.cpu.iew.wb_producers 3572 # num instructions producing a value
-system.cpu.iew.wb_consumers 6998 # num instructions consuming a value
+system.cpu.iew.exec_nop 14 # number of nop insts executed
+system.cpu.iew.exec_refs 2449 # number of memory reference insts executed
+system.cpu.iew.exec_branches 1283 # Number of branches executed
+system.cpu.iew.exec_stores 1021 # Number of stores executed
+system.cpu.iew.exec_rate 0.287858 # Inst execution rate
+system.cpu.iew.wb_sent 6699 # cumulative count of insts sent to commit
+system.cpu.iew.wb_count 6654 # cumulative count of insts written-back
+system.cpu.iew.wb_producers 3045 # num instructions producing a value
+system.cpu.iew.wb_consumers 5519 # num instructions consuming a value
system.cpu.iew.wb_penalized 0 # number of instrctions required to write to 'other' IQ
-system.cpu.iew.wb_rate 0.234259 # insts written-back per cycle
-system.cpu.iew.wb_fanout 0.510432 # average fanout of values written-back
+system.cpu.iew.wb_rate 0.280523 # insts written-back per cycle
+system.cpu.iew.wb_fanout 0.551730 # average fanout of values written-back
system.cpu.iew.wb_penalized_rate 0 # fraction of instructions written-back that wrote to 'other' IQ
-system.cpu.commit.commitSquashedInsts 5037 # The number of squashed insts skipped by commit
+system.cpu.commit.commitSquashedInsts 2714 # The number of squashed insts skipped by commit
system.cpu.commit.commitNonSpecStalls 37 # The number of times commit has been forced to stall to communicate backwards
-system.cpu.commit.branchMispredicts 324 # The number of times a branch was mispredicted
-system.cpu.commit.committed_per_cycle::samples 12552 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::mean 0.428378 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::stdev 1.273949 # Number of insts commited each cycle
+system.cpu.commit.branchMispredicts 348 # The number of times a branch was mispredicted
+system.cpu.commit.committed_per_cycle::samples 16184 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::mean 0.332242 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::stdev 0.986798 # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::0 10495 83.61% 83.61% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::1 893 7.11% 90.73% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::2 426 3.39% 94.12% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::3 211 1.68% 95.80% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::4 111 0.88% 96.69% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::5 212 1.69% 98.37% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::6 50 0.40% 98.77% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::7 37 0.29% 99.07% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::8 117 0.93% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::0 13581 83.92% 83.92% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::1 1345 8.31% 92.23% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::2 599 3.70% 95.93% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::3 281 1.74% 97.66% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::4 168 1.04% 98.70% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::5 78 0.48% 99.18% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::6 47 0.29% 99.47% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::7 33 0.20% 99.68% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::8 52 0.32% 100.00% # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::total 12552 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::total 16184 # Number of insts commited each cycle
system.cpu.commit.committedInsts 4591 # Number of instructions committed
system.cpu.commit.committedOps 5377 # Number of ops (including micro ops) committed
system.cpu.commit.swp_count 0 # Number of s/w prefetches committed
@@ -612,403 +622,449 @@ system.cpu.commit.op_class_0::MemWrite 938 17.44% 100.00% # Cl
system.cpu.commit.op_class_0::IprAccess 0 0.00% 100.00% # Class of committed instruction
system.cpu.commit.op_class_0::InstPrefetch 0 0.00% 100.00% # Class of committed instruction
system.cpu.commit.op_class_0::total 5377 # Class of committed instruction
-system.cpu.commit.bw_lim_events 117 # number cycles where commit BW limit reached
+system.cpu.commit.bw_lim_events 52 # number cycles where commit BW limit reached
system.cpu.commit.bw_limited 0 # number of insts not committed due to BW limits
-system.cpu.rob.rob_reads 22692 # The number of ROB reads
-system.cpu.rob.rob_writes 21719 # The number of ROB writes
-system.cpu.timesIdled 209 # Number of times that the entire CPU went into an idle state and unscheduled itself
-system.cpu.idleCycles 19014 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu.rob.rob_reads 24066 # The number of ROB reads
+system.cpu.rob.rob_writes 16749 # The number of ROB writes
+system.cpu.timesIdled 138 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 6973 # Total number of cycles that the CPU has spent unscheduled due to idling
system.cpu.committedInsts 4591 # Number of Instructions Simulated
system.cpu.committedOps 5377 # Number of Ops (including micro ops) Simulated
-system.cpu.cpi 7.067523 # CPI: Cycles Per Instruction
-system.cpu.cpi_total 7.067523 # CPI: Total CPI of All Threads
-system.cpu.ipc 0.141492 # IPC: Instructions Per Cycle
-system.cpu.ipc_total 0.141492 # IPC: Total IPC of All Threads
-system.cpu.int_regfile_reads 7944 # number of integer regfile reads
-system.cpu.int_regfile_writes 4420 # number of integer regfile writes
-system.cpu.fp_regfile_reads 31 # number of floating regfile reads
-system.cpu.cc_regfile_reads 28734 # number of cc regfile reads
-system.cpu.cc_regfile_writes 3302 # number of cc regfile writes
-system.cpu.misc_regfile_reads 3189 # number of misc regfile reads
+system.cpu.cpi 5.166630 # CPI: Cycles Per Instruction
+system.cpu.cpi_total 5.166630 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.193550 # IPC: Instructions Per Cycle
+system.cpu.ipc_total 0.193550 # IPC: Total IPC of All Threads
+system.cpu.int_regfile_reads 6786 # number of integer regfile reads
+system.cpu.int_regfile_writes 3839 # number of integer regfile writes
+system.cpu.fp_regfile_reads 16 # number of floating regfile reads
+system.cpu.cc_regfile_reads 24301 # number of cc regfile reads
+system.cpu.cc_regfile_writes 2919 # number of cc regfile writes
+system.cpu.misc_regfile_reads 2642 # number of misc regfile reads
system.cpu.misc_regfile_writes 24 # number of misc regfile writes
-system.cpu.toL2Bus.throughput 1735807187 # Throughput (bytes/s)
-system.cpu.toL2Bus.trans_dist::ReadReq 399 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadResp 398 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExReq 42 # Transaction distribution
-system.cpu.toL2Bus.trans_dist::ReadExResp 42 # Transaction distribution
-system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 588 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 293 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count::total 881 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 18816 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9344 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 28160 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 28160 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
-system.cpu.toL2Bus.reqLayer0.occupancy 220500 # Layer occupancy (ticks)
-system.cpu.toL2Bus.reqLayer0.utilization 1.4 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer0.occupancy 488250 # Layer occupancy (ticks)
-system.cpu.toL2Bus.respLayer0.utilization 3.0 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer1.occupancy 228495 # Layer occupancy (ticks)
-system.cpu.toL2Bus.respLayer1.utilization 1.4 # Layer utilization (%)
-system.cpu.icache.tags.replacements 1 # number of replacements
-system.cpu.icache.tags.tagsinuse 150.758993 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 1666 # Total number of references to valid blocks.
-system.cpu.icache.tags.sampled_refs 294 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 5.666667 # Average number of references to valid blocks.
+system.cpu.toL2Bus.trans_dist::ReadReq 408 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadResp 407 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::HardPFReq 1026 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadExReq 40 # Transaction distribution
+system.cpu.toL2Bus.trans_dist::ReadExResp 40 # Transaction distribution
+system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 608 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 287 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count::total 895 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 19456 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9152 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 28608 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 1026 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 1474 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 5.696065 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0.460111 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::5 448 30.39% 30.39% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::6 1026 69.61% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 5 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 6 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 1474 # Request fanout histogram
+system.cpu.toL2Bus.reqLayer0.occupancy 224000 # Layer occupancy (ticks)
+system.cpu.toL2Bus.reqLayer0.utilization 1.9 # Layer utilization (%)
+system.cpu.toL2Bus.respLayer0.occupancy 461250 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer0.utilization 3.9 # Layer utilization (%)
+system.cpu.toL2Bus.respLayer1.occupancy 223747 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer1.utilization 1.9 # Layer utilization (%)
+system.cpu.icache.tags.replacements 47 # number of replacements
+system.cpu.icache.tags.tagsinuse 138.950029 # Cycle average of tags in use
+system.cpu.icache.tags.total_refs 3784 # Total number of references to valid blocks.
+system.cpu.icache.tags.sampled_refs 304 # Sample count of references to valid blocks.
+system.cpu.icache.tags.avg_refs 12.447368 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 150.758993 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.073613 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.073613 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_task_id_blocks::1024 293 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::0 173 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::1 120 # Occupied blocks per task id
-system.cpu.icache.tags.occ_task_id_percent::1024 0.143066 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 4430 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 4430 # Number of data accesses
-system.cpu.icache.ReadReq_hits::cpu.inst 1666 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 1666 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 1666 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 1666 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 1666 # number of overall hits
-system.cpu.icache.overall_hits::total 1666 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 402 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 402 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 402 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 402 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 402 # number of overall misses
-system.cpu.icache.overall_misses::total 402 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 25574000 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 25574000 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 25574000 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 25574000 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 25574000 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 25574000 # number of overall miss cycles
-system.cpu.icache.ReadReq_accesses::cpu.inst 2068 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.ReadReq_accesses::total 2068 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.demand_accesses::cpu.inst 2068 # number of demand (read+write) accesses
-system.cpu.icache.demand_accesses::total 2068 # number of demand (read+write) accesses
-system.cpu.icache.overall_accesses::cpu.inst 2068 # number of overall (read+write) accesses
-system.cpu.icache.overall_accesses::total 2068 # number of overall (read+write) accesses
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.194391 # miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_miss_rate::total 0.194391 # miss rate for ReadReq accesses
-system.cpu.icache.demand_miss_rate::cpu.inst 0.194391 # miss rate for demand accesses
-system.cpu.icache.demand_miss_rate::total 0.194391 # miss rate for demand accesses
-system.cpu.icache.overall_miss_rate::cpu.inst 0.194391 # miss rate for overall accesses
-system.cpu.icache.overall_miss_rate::total 0.194391 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 63616.915423 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 63616.915423 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 63616.915423 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 63616.915423 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 63616.915423 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 63616.915423 # average overall miss latency
-system.cpu.icache.blocked_cycles::no_mshrs 298 # number of cycles access was blocked
+system.cpu.icache.tags.occ_blocks::cpu.inst 138.950029 # Average occupied blocks per requestor
+system.cpu.icache.tags.occ_percent::cpu.inst 0.271387 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_percent::total 0.271387 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_task_id_blocks::1024 257 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::0 228 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::1 29 # Occupied blocks per task id
+system.cpu.icache.tags.occ_task_id_percent::1024 0.501953 # Percentage of cache occupancy per task id
+system.cpu.icache.tags.tag_accesses 8536 # Number of tag accesses
+system.cpu.icache.tags.data_accesses 8536 # Number of data accesses
+system.cpu.icache.ReadReq_hits::cpu.inst 3784 # number of ReadReq hits
+system.cpu.icache.ReadReq_hits::total 3784 # number of ReadReq hits
+system.cpu.icache.demand_hits::cpu.inst 3784 # number of demand (read+write) hits
+system.cpu.icache.demand_hits::total 3784 # number of demand (read+write) hits
+system.cpu.icache.overall_hits::cpu.inst 3784 # number of overall hits
+system.cpu.icache.overall_hits::total 3784 # number of overall hits
+system.cpu.icache.ReadReq_misses::cpu.inst 332 # number of ReadReq misses
+system.cpu.icache.ReadReq_misses::total 332 # number of ReadReq misses
+system.cpu.icache.demand_misses::cpu.inst 332 # number of demand (read+write) misses
+system.cpu.icache.demand_misses::total 332 # number of demand (read+write) misses
+system.cpu.icache.overall_misses::cpu.inst 332 # number of overall misses
+system.cpu.icache.overall_misses::total 332 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 7426247 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 7426247 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 7426247 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 7426247 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 7426247 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 7426247 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses::cpu.inst 4116 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.ReadReq_accesses::total 4116 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses::cpu.inst 4116 # number of demand (read+write) accesses
+system.cpu.icache.demand_accesses::total 4116 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses::cpu.inst 4116 # number of overall (read+write) accesses
+system.cpu.icache.overall_accesses::total 4116 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.080661 # miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_miss_rate::total 0.080661 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate::cpu.inst 0.080661 # miss rate for demand accesses
+system.cpu.icache.demand_miss_rate::total 0.080661 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate::cpu.inst 0.080661 # miss rate for overall accesses
+system.cpu.icache.overall_miss_rate::total 0.080661 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 22368.213855 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 22368.213855 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 22368.213855 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 22368.213855 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 22368.213855 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 22368.213855 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 1112 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.icache.blocked::no_mshrs 5 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 66 # number of cycles access was blocked
system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.icache.avg_blocked_cycles::no_mshrs 59.600000 # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs 16.848485 # average number of cycles each access was blocked
system.cpu.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.icache.fast_writes 0 # number of fast writes performed
system.cpu.icache.cache_copies 0 # number of cache copies performed
-system.cpu.icache.ReadReq_mshr_hits::cpu.inst 108 # number of ReadReq MSHR hits
-system.cpu.icache.ReadReq_mshr_hits::total 108 # number of ReadReq MSHR hits
-system.cpu.icache.demand_mshr_hits::cpu.inst 108 # number of demand (read+write) MSHR hits
-system.cpu.icache.demand_mshr_hits::total 108 # number of demand (read+write) MSHR hits
-system.cpu.icache.overall_mshr_hits::cpu.inst 108 # number of overall MSHR hits
-system.cpu.icache.overall_mshr_hits::total 108 # number of overall MSHR hits
-system.cpu.icache.ReadReq_mshr_misses::cpu.inst 294 # number of ReadReq MSHR misses
-system.cpu.icache.ReadReq_mshr_misses::total 294 # number of ReadReq MSHR misses
-system.cpu.icache.demand_mshr_misses::cpu.inst 294 # number of demand (read+write) MSHR misses
-system.cpu.icache.demand_mshr_misses::total 294 # number of demand (read+write) MSHR misses
-system.cpu.icache.overall_mshr_misses::cpu.inst 294 # number of overall MSHR misses
-system.cpu.icache.overall_mshr_misses::total 294 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 19742750 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 19742750 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 19742750 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 19742750 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 19742750 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 19742750 # number of overall MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.142166 # mshr miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.142166 # mshr miss rate for ReadReq accesses
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.142166 # mshr miss rate for demand accesses
-system.cpu.icache.demand_mshr_miss_rate::total 0.142166 # mshr miss rate for demand accesses
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.142166 # mshr miss rate for overall accesses
-system.cpu.icache.overall_mshr_miss_rate::total 0.142166 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 67152.210884 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 67152.210884 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 67152.210884 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 67152.210884 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 67152.210884 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 67152.210884 # average overall mshr miss latency
+system.cpu.icache.ReadReq_mshr_hits::cpu.inst 28 # number of ReadReq MSHR hits
+system.cpu.icache.ReadReq_mshr_hits::total 28 # number of ReadReq MSHR hits
+system.cpu.icache.demand_mshr_hits::cpu.inst 28 # number of demand (read+write) MSHR hits
+system.cpu.icache.demand_mshr_hits::total 28 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits::cpu.inst 28 # number of overall MSHR hits
+system.cpu.icache.overall_mshr_hits::total 28 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses::cpu.inst 304 # number of ReadReq MSHR misses
+system.cpu.icache.ReadReq_mshr_misses::total 304 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses::cpu.inst 304 # number of demand (read+write) MSHR misses
+system.cpu.icache.demand_mshr_misses::total 304 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses::cpu.inst 304 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_misses::total 304 # number of overall MSHR misses
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 6489997 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 6489997 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 6489997 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 6489997 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 6489997 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 6489997 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.073858 # mshr miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_mshr_miss_rate::total 0.073858 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.073858 # mshr miss rate for demand accesses
+system.cpu.icache.demand_mshr_miss_rate::total 0.073858 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.073858 # mshr miss rate for overall accesses
+system.cpu.icache.overall_mshr_miss_rate::total 0.073858 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 21348.674342 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 21348.674342 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 21348.674342 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 21348.674342 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 21348.674342 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 21348.674342 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
+system.cpu.l2cache.prefetcher.prefetcher.num_hwpf_identified 2346 # number of hwpf identified
+system.cpu.l2cache.prefetcher.prefetcher.num_hwpf_already_in_mshr 489 # number of hwpf that were already in mshr
+system.cpu.l2cache.prefetcher.prefetcher.num_hwpf_already_in_cache 1139 # number of hwpf that were already in the cache
+system.cpu.l2cache.prefetcher.prefetcher.num_hwpf_already_in_prefetcher 86 # number of hwpf that were already in the prefetch queue
+system.cpu.l2cache.prefetcher.prefetcher.num_hwpf_evicted 0 # number of hwpf removed due to no buffer left
+system.cpu.l2cache.prefetcher.prefetcher.num_hwpf_removed_MSHR_hit 29 # number of hwpf removed because MSHR allocated
+system.cpu.l2cache.prefetcher.prefetcher.num_hwpf_issued 603 # number of hwpf issued
+system.cpu.l2cache.prefetcher.prefetcher.num_hwpf_span_page 198 # number of hwpf spanning a virtual page
+system.cpu.l2cache.prefetcher.prefetcher.num_hwpf_squashed_from_miss 0 # number of hwpf that got squashed due to a miss aborting calculation time
system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 188.170247 # Cycle average of tags in use
-system.cpu.l2cache.tags.total_refs 39 # Total number of references to valid blocks.
-system.cpu.l2cache.tags.sampled_refs 355 # Sample count of references to valid blocks.
-system.cpu.l2cache.tags.avg_refs 0.109859 # Average number of references to valid blocks.
+system.cpu.l2cache.tags.tagsinuse 370.948422 # Cycle average of tags in use
+system.cpu.l2cache.tags.total_refs 270 # Total number of references to valid blocks.
+system.cpu.l2cache.tags.sampled_refs 691 # Sample count of references to valid blocks.
+system.cpu.l2cache.tags.avg_refs 0.390738 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 141.371533 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 46.798714 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.004314 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.001428 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.005743 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_task_id_blocks::1024 355 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 198 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 157 # Occupied blocks per task id
-system.cpu.l2cache.tags.occ_task_id_percent::1024 0.010834 # Percentage of cache occupancy per task id
-system.cpu.l2cache.tags.tag_accesses 3925 # Number of tag accesses
-system.cpu.l2cache.tags.data_accesses 3925 # Number of data accesses
-system.cpu.l2cache.ReadReq_hits::cpu.inst 19 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::cpu.data 20 # number of ReadReq hits
-system.cpu.l2cache.ReadReq_hits::total 39 # number of ReadReq hits
-system.cpu.l2cache.demand_hits::cpu.inst 19 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::cpu.data 20 # number of demand (read+write) hits
-system.cpu.l2cache.demand_hits::total 39 # number of demand (read+write) hits
-system.cpu.l2cache.overall_hits::cpu.inst 19 # number of overall hits
-system.cpu.l2cache.overall_hits::cpu.data 20 # number of overall hits
-system.cpu.l2cache.overall_hits::total 39 # number of overall hits
-system.cpu.l2cache.ReadReq_misses::cpu.inst 275 # number of ReadReq misses
-system.cpu.l2cache.ReadReq_misses::cpu.data 85 # number of ReadReq misses
-system.cpu.l2cache.ReadReq_misses::total 360 # number of ReadReq misses
-system.cpu.l2cache.ReadExReq_misses::cpu.data 42 # number of ReadExReq misses
-system.cpu.l2cache.ReadExReq_misses::total 42 # number of ReadExReq misses
-system.cpu.l2cache.demand_misses::cpu.inst 275 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.data 127 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::total 402 # number of demand (read+write) misses
-system.cpu.l2cache.overall_misses::cpu.inst 275 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.data 127 # number of overall misses
-system.cpu.l2cache.overall_misses::total 402 # number of overall misses
-system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 19251250 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::cpu.data 6010750 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::total 25262000 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 3101750 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 3101750 # number of ReadExReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 19251250 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 9112500 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 28363750 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 19251250 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 9112500 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 28363750 # number of overall miss cycles
-system.cpu.l2cache.ReadReq_accesses::cpu.inst 294 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.data 105 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::total 399 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::cpu.data 42 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.ReadExReq_accesses::total 42 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.demand_accesses::cpu.inst 294 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.data 147 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::total 441 # number of demand (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.inst 294 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.data 147 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::total 441 # number of overall (read+write) accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.935374 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.809524 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_miss_rate::total 0.902256 # miss rate for ReadReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 1 # miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_miss_rate::total 1 # miss rate for ReadExReq accesses
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.935374 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::cpu.data 0.863946 # miss rate for demand accesses
-system.cpu.l2cache.demand_miss_rate::total 0.911565 # miss rate for demand accesses
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.935374 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::cpu.data 0.863946 # miss rate for overall accesses
-system.cpu.l2cache.overall_miss_rate::total 0.911565 # miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 70004.545455 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 70714.705882 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::total 70172.222222 # average ReadReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 73851.190476 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 73851.190476 # average ReadExReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 70004.545455 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 71751.968504 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 70556.592040 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 70004.545455 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 71751.968504 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 70556.592040 # average overall miss latency
-system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 30.449811 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 36.598805 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.l2cache.prefetcher 303.899806 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::cpu.inst 0.001859 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::cpu.data 0.002234 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::cpu.l2cache.prefetcher 0.018549 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::total 0.022641 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_task_id_blocks::1022 570 # Occupied blocks per task id
+system.cpu.l2cache.tags.occ_task_id_blocks::1024 121 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1022::0 471 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1022::1 99 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::0 92 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::1 29 # Occupied blocks per task id
+system.cpu.l2cache.tags.occ_task_id_percent::1022 0.034790 # Percentage of cache occupancy per task id
+system.cpu.l2cache.tags.occ_task_id_percent::1024 0.007385 # Percentage of cache occupancy per task id
+system.cpu.l2cache.tags.tag_accesses 7899 # Number of tag accesses
+system.cpu.l2cache.tags.data_accesses 7899 # Number of data accesses
+system.cpu.l2cache.ReadReq_hits::cpu.inst 234 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::cpu.data 35 # number of ReadReq hits
+system.cpu.l2cache.ReadReq_hits::total 269 # number of ReadReq hits
+system.cpu.l2cache.ReadExReq_hits::cpu.data 11 # number of ReadExReq hits
+system.cpu.l2cache.ReadExReq_hits::total 11 # number of ReadExReq hits
+system.cpu.l2cache.demand_hits::cpu.inst 234 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::cpu.data 46 # number of demand (read+write) hits
+system.cpu.l2cache.demand_hits::total 280 # number of demand (read+write) hits
+system.cpu.l2cache.overall_hits::cpu.inst 234 # number of overall hits
+system.cpu.l2cache.overall_hits::cpu.data 46 # number of overall hits
+system.cpu.l2cache.overall_hits::total 280 # number of overall hits
+system.cpu.l2cache.ReadReq_misses::cpu.inst 70 # number of ReadReq misses
+system.cpu.l2cache.ReadReq_misses::cpu.data 69 # number of ReadReq misses
+system.cpu.l2cache.ReadReq_misses::total 139 # number of ReadReq misses
+system.cpu.l2cache.ReadExReq_misses::cpu.data 29 # number of ReadExReq misses
+system.cpu.l2cache.ReadExReq_misses::total 29 # number of ReadExReq misses
+system.cpu.l2cache.demand_misses::cpu.inst 70 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::cpu.data 98 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::total 168 # number of demand (read+write) misses
+system.cpu.l2cache.overall_misses::cpu.inst 70 # number of overall misses
+system.cpu.l2cache.overall_misses::cpu.data 98 # number of overall misses
+system.cpu.l2cache.overall_misses::total 168 # number of overall misses
+system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 4724750 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.data 5170750 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::total 9895500 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 2577500 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 2577500 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 4724750 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 7748250 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 12473000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 4724750 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 7748250 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 12473000 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses::cpu.inst 304 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.data 104 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::total 408 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses::cpu.data 40 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.ReadExReq_accesses::total 40 # number of ReadExReq accesses(hits+misses)
+system.cpu.l2cache.demand_accesses::cpu.inst 304 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.data 144 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::total 448 # number of demand (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.inst 304 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.data 144 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::total 448 # number of overall (read+write) accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.230263 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.data 0.663462 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::total 0.340686 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 0.725000 # miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadExReq_miss_rate::total 0.725000 # miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_miss_rate::cpu.inst 0.230263 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.data 0.680556 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::total 0.375000 # miss rate for demand accesses
+system.cpu.l2cache.overall_miss_rate::cpu.inst 0.230263 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::cpu.data 0.680556 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::total 0.375000 # miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 67496.428571 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 74938.405797 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::total 71190.647482 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 88879.310345 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 88879.310345 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 67496.428571 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 79063.775510 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 74244.047619 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 67496.428571 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 79063.775510 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 74244.047619 # average overall miss latency
+system.cpu.l2cache.blocked_cycles::no_mshrs 388 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
+system.cpu.l2cache.blocked::no_mshrs 17 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan # average number of cycles each access was blocked
+system.cpu.l2cache.avg_blocked_cycles::no_mshrs 22.823529 # average number of cycles each access was blocked
system.cpu.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.l2cache.fast_writes 0 # number of fast writes performed
system.cpu.l2cache.cache_copies 0 # number of cache copies performed
-system.cpu.l2cache.ReadReq_mshr_hits::cpu.data 5 # number of ReadReq MSHR hits
-system.cpu.l2cache.ReadReq_mshr_hits::total 5 # number of ReadReq MSHR hits
-system.cpu.l2cache.demand_mshr_hits::cpu.data 5 # number of demand (read+write) MSHR hits
-system.cpu.l2cache.demand_mshr_hits::total 5 # number of demand (read+write) MSHR hits
-system.cpu.l2cache.overall_mshr_hits::cpu.data 5 # number of overall MSHR hits
-system.cpu.l2cache.overall_mshr_hits::total 5 # number of overall MSHR hits
-system.cpu.l2cache.ReadReq_mshr_misses::cpu.inst 275 # number of ReadReq MSHR misses
-system.cpu.l2cache.ReadReq_mshr_misses::cpu.data 80 # number of ReadReq MSHR misses
-system.cpu.l2cache.ReadReq_mshr_misses::total 355 # number of ReadReq MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 42 # number of ReadExReq MSHR misses
-system.cpu.l2cache.ReadExReq_mshr_misses::total 42 # number of ReadExReq MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.inst 275 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.data 122 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::total 397 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.inst 275 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.data 122 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::total 397 # number of overall MSHR misses
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 15797750 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 4736000 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::total 20533750 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 2589250 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 2589250 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 15797750 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 7325250 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 23123000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 15797750 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 7325250 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 23123000 # number of overall MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.935374 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 0.761905 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.889724 # mshr miss rate for ReadReq accesses
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 1 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.935374 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 0.829932 # mshr miss rate for demand accesses
-system.cpu.l2cache.demand_mshr_miss_rate::total 0.900227 # mshr miss rate for demand accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.935374 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 0.829932 # mshr miss rate for overall accesses
-system.cpu.l2cache.overall_mshr_miss_rate::total 0.900227 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 57446.363636 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 59200 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 57841.549296 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 61648.809524 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 61648.809524 # average ReadExReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 57446.363636 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 60043.032787 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 58244.332494 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 57446.363636 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 60043.032787 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 58244.332494 # average overall mshr miss latency
+system.cpu.l2cache.ReadReq_mshr_hits::cpu.inst 11 # number of ReadReq MSHR hits
+system.cpu.l2cache.ReadReq_mshr_hits::cpu.data 6 # number of ReadReq MSHR hits
+system.cpu.l2cache.ReadReq_mshr_hits::total 17 # number of ReadReq MSHR hits
+system.cpu.l2cache.demand_mshr_hits::cpu.inst 11 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.demand_mshr_hits::cpu.data 6 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.demand_mshr_hits::total 17 # number of demand (read+write) MSHR hits
+system.cpu.l2cache.overall_mshr_hits::cpu.inst 11 # number of overall MSHR hits
+system.cpu.l2cache.overall_mshr_hits::cpu.data 6 # number of overall MSHR hits
+system.cpu.l2cache.overall_mshr_hits::total 17 # number of overall MSHR hits
+system.cpu.l2cache.ReadReq_mshr_misses::cpu.inst 59 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadReq_mshr_misses::cpu.data 63 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadReq_mshr_misses::total 122 # number of ReadReq MSHR misses
+system.cpu.l2cache.HardPFReq_mshr_misses::cpu.l2cache.prefetcher 603 # number of HardPFReq MSHR misses
+system.cpu.l2cache.HardPFReq_mshr_misses::total 603 # number of HardPFReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 29 # number of ReadExReq MSHR misses
+system.cpu.l2cache.ReadExReq_mshr_misses::total 29 # number of ReadExReq MSHR misses
+system.cpu.l2cache.demand_mshr_misses::cpu.inst 59 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.demand_mshr_misses::cpu.data 92 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.demand_mshr_misses::total 151 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.overall_mshr_misses::cpu.inst 59 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_misses::cpu.data 92 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_misses::cpu.l2cache.prefetcher 603 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_misses::total 754 # number of overall MSHR misses
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 3978500 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 4478500 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::total 8457000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.HardPFReq_mshr_miss_latency::cpu.l2cache.prefetcher 49457864 # number of HardPFReq MSHR miss cycles
+system.cpu.l2cache.HardPFReq_mshr_miss_latency::total 49457864 # number of HardPFReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 2337500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 2337500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 3978500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 6816000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 10794500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 3978500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 6816000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.l2cache.prefetcher 49457864 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 60252364 # number of overall MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.194079 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 0.605769 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.299020 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.HardPFReq_mshr_miss_rate::cpu.l2cache.prefetcher inf # mshr miss rate for HardPFReq accesses
+system.cpu.l2cache.HardPFReq_mshr_miss_rate::total inf # mshr miss rate for HardPFReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 0.725000 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 0.725000 # mshr miss rate for ReadExReq accesses
+system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.194079 # mshr miss rate for demand accesses
+system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 0.638889 # mshr miss rate for demand accesses
+system.cpu.l2cache.demand_mshr_miss_rate::total 0.337054 # mshr miss rate for demand accesses
+system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.194079 # mshr miss rate for overall accesses
+system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 0.638889 # mshr miss rate for overall accesses
+system.cpu.l2cache.overall_mshr_miss_rate::cpu.l2cache.prefetcher inf # mshr miss rate for overall accesses
+system.cpu.l2cache.overall_mshr_miss_rate::total 1.683036 # mshr miss rate for overall accesses
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 67432.203390 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 71087.301587 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 69319.672131 # average ReadReq mshr miss latency
+system.cpu.l2cache.HardPFReq_avg_mshr_miss_latency::cpu.l2cache.prefetcher 82019.674959 # average HardPFReq mshr miss latency
+system.cpu.l2cache.HardPFReq_avg_mshr_miss_latency::total 82019.674959 # average HardPFReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 80603.448276 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 80603.448276 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 67432.203390 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 74086.956522 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 71486.754967 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 67432.203390 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 74086.956522 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.l2cache.prefetcher 82019.674959 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 79910.297082 # average overall mshr miss latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 87.133302 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 2168 # Total number of references to valid blocks.
-system.cpu.dcache.tags.sampled_refs 146 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 14.849315 # Average number of references to valid blocks.
+system.cpu.dcache.tags.replacements 1 # number of replacements
+system.cpu.dcache.tags.tagsinuse 82.309019 # Cycle average of tags in use
+system.cpu.dcache.tags.total_refs 1894 # Total number of references to valid blocks.
+system.cpu.dcache.tags.sampled_refs 143 # Sample count of references to valid blocks.
+system.cpu.dcache.tags.avg_refs 13.244755 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 87.133302 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.data 0.021273 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.021273 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_task_id_blocks::1024 146 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::0 67 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 79 # Occupied blocks per task id
-system.cpu.dcache.tags.occ_task_id_percent::1024 0.035645 # Percentage of cache occupancy per task id
-system.cpu.dcache.tags.tag_accesses 5528 # Number of tag accesses
-system.cpu.dcache.tags.data_accesses 5528 # Number of data accesses
-system.cpu.dcache.ReadReq_hits::cpu.data 1551 # number of ReadReq hits
-system.cpu.dcache.ReadReq_hits::total 1551 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 595 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 595 # number of WriteReq hits
-system.cpu.dcache.LoadLockedReq_hits::cpu.data 11 # number of LoadLockedReq hits
-system.cpu.dcache.LoadLockedReq_hits::total 11 # number of LoadLockedReq hits
+system.cpu.dcache.tags.occ_blocks::cpu.data 82.309019 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_percent::cpu.data 0.160760 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_percent::total 0.160760 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_task_id_blocks::1024 142 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::0 112 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::1 30 # Occupied blocks per task id
+system.cpu.dcache.tags.occ_task_id_percent::1024 0.277344 # Percentage of cache occupancy per task id
+system.cpu.dcache.tags.tag_accesses 4719 # Number of tag accesses
+system.cpu.dcache.tags.data_accesses 4719 # Number of data accesses
+system.cpu.dcache.ReadReq_hits::cpu.data 1158 # number of ReadReq hits
+system.cpu.dcache.ReadReq_hits::total 1158 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits::cpu.data 715 # number of WriteReq hits
+system.cpu.dcache.WriteReq_hits::total 715 # number of WriteReq hits
+system.cpu.dcache.LoadLockedReq_hits::cpu.data 10 # number of LoadLockedReq hits
+system.cpu.dcache.LoadLockedReq_hits::total 10 # number of LoadLockedReq hits
system.cpu.dcache.StoreCondReq_hits::cpu.data 11 # number of StoreCondReq hits
system.cpu.dcache.StoreCondReq_hits::total 11 # number of StoreCondReq hits
-system.cpu.dcache.demand_hits::cpu.data 2146 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 2146 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 2146 # number of overall hits
-system.cpu.dcache.overall_hits::total 2146 # number of overall hits
-system.cpu.dcache.ReadReq_misses::cpu.data 203 # number of ReadReq misses
-system.cpu.dcache.ReadReq_misses::total 203 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 318 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 318 # number of WriteReq misses
+system.cpu.dcache.demand_hits::cpu.data 1873 # number of demand (read+write) hits
+system.cpu.dcache.demand_hits::total 1873 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits::cpu.data 1873 # number of overall hits
+system.cpu.dcache.overall_hits::total 1873 # number of overall hits
+system.cpu.dcache.ReadReq_misses::cpu.data 194 # number of ReadReq misses
+system.cpu.dcache.ReadReq_misses::total 194 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses::cpu.data 198 # number of WriteReq misses
+system.cpu.dcache.WriteReq_misses::total 198 # number of WriteReq misses
system.cpu.dcache.LoadLockedReq_misses::cpu.data 2 # number of LoadLockedReq misses
system.cpu.dcache.LoadLockedReq_misses::total 2 # number of LoadLockedReq misses
-system.cpu.dcache.demand_misses::cpu.data 521 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 521 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 521 # number of overall misses
-system.cpu.dcache.overall_misses::total 521 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 11351493 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 11351493 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 20745500 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 20745500 # number of WriteReq miss cycles
-system.cpu.dcache.LoadLockedReq_miss_latency::cpu.data 130500 # number of LoadLockedReq miss cycles
-system.cpu.dcache.LoadLockedReq_miss_latency::total 130500 # number of LoadLockedReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 32096993 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 32096993 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 32096993 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 32096993 # number of overall miss cycles
-system.cpu.dcache.ReadReq_accesses::cpu.data 1754 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.ReadReq_accesses::total 1754 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.demand_misses::cpu.data 392 # number of demand (read+write) misses
+system.cpu.dcache.demand_misses::total 392 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses::cpu.data 392 # number of overall misses
+system.cpu.dcache.overall_misses::total 392 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency::cpu.data 10805495 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 10805495 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.data 8861750 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 8861750 # number of WriteReq miss cycles
+system.cpu.dcache.LoadLockedReq_miss_latency::cpu.data 152500 # number of LoadLockedReq miss cycles
+system.cpu.dcache.LoadLockedReq_miss_latency::total 152500 # number of LoadLockedReq miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 19667245 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 19667245 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 19667245 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 19667245 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses::cpu.data 1352 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.ReadReq_accesses::total 1352 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.data 913 # number of WriteReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::total 913 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.LoadLockedReq_accesses::cpu.data 13 # number of LoadLockedReq accesses(hits+misses)
-system.cpu.dcache.LoadLockedReq_accesses::total 13 # number of LoadLockedReq accesses(hits+misses)
+system.cpu.dcache.LoadLockedReq_accesses::cpu.data 12 # number of LoadLockedReq accesses(hits+misses)
+system.cpu.dcache.LoadLockedReq_accesses::total 12 # number of LoadLockedReq accesses(hits+misses)
system.cpu.dcache.StoreCondReq_accesses::cpu.data 11 # number of StoreCondReq accesses(hits+misses)
system.cpu.dcache.StoreCondReq_accesses::total 11 # number of StoreCondReq accesses(hits+misses)
-system.cpu.dcache.demand_accesses::cpu.data 2667 # number of demand (read+write) accesses
-system.cpu.dcache.demand_accesses::total 2667 # number of demand (read+write) accesses
-system.cpu.dcache.overall_accesses::cpu.data 2667 # number of overall (read+write) accesses
-system.cpu.dcache.overall_accesses::total 2667 # number of overall (read+write) accesses
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.115735 # miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_miss_rate::total 0.115735 # miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.348302 # miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_miss_rate::total 0.348302 # miss rate for WriteReq accesses
-system.cpu.dcache.LoadLockedReq_miss_rate::cpu.data 0.153846 # miss rate for LoadLockedReq accesses
-system.cpu.dcache.LoadLockedReq_miss_rate::total 0.153846 # miss rate for LoadLockedReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.195351 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.195351 # miss rate for demand accesses
-system.cpu.dcache.overall_miss_rate::cpu.data 0.195351 # miss rate for overall accesses
-system.cpu.dcache.overall_miss_rate::total 0.195351 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 55918.684729 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 55918.684729 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 65237.421384 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 65237.421384 # average WriteReq miss latency
-system.cpu.dcache.LoadLockedReq_avg_miss_latency::cpu.data 65250 # average LoadLockedReq miss latency
-system.cpu.dcache.LoadLockedReq_avg_miss_latency::total 65250 # average LoadLockedReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 61606.512476 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 61606.512476 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 61606.512476 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 61606.512476 # average overall miss latency
-system.cpu.dcache.blocked_cycles::no_mshrs 105 # number of cycles access was blocked
-system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.blocked::no_mshrs 4 # number of cycles access was blocked
-system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_mshrs 26.250000 # average number of cycles each access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
+system.cpu.dcache.demand_accesses::cpu.data 2265 # number of demand (read+write) accesses
+system.cpu.dcache.demand_accesses::total 2265 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses::cpu.data 2265 # number of overall (read+write) accesses
+system.cpu.dcache.overall_accesses::total 2265 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.143491 # miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_miss_rate::total 0.143491 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.216867 # miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_miss_rate::total 0.216867 # miss rate for WriteReq accesses
+system.cpu.dcache.LoadLockedReq_miss_rate::cpu.data 0.166667 # miss rate for LoadLockedReq accesses
+system.cpu.dcache.LoadLockedReq_miss_rate::total 0.166667 # miss rate for LoadLockedReq accesses
+system.cpu.dcache.demand_miss_rate::cpu.data 0.173068 # miss rate for demand accesses
+system.cpu.dcache.demand_miss_rate::total 0.173068 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate::cpu.data 0.173068 # miss rate for overall accesses
+system.cpu.dcache.overall_miss_rate::total 0.173068 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 55698.427835 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 55698.427835 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 44756.313131 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 44756.313131 # average WriteReq miss latency
+system.cpu.dcache.LoadLockedReq_avg_miss_latency::cpu.data 76250 # average LoadLockedReq miss latency
+system.cpu.dcache.LoadLockedReq_avg_miss_latency::total 76250 # average LoadLockedReq miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 50171.543367 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 50171.543367 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 50171.543367 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 50171.543367 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 10 # number of cycles access was blocked
+system.cpu.dcache.blocked_cycles::no_targets 617 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 1 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_targets 18 # number of cycles access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs 10 # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_targets 34.277778 # average number of cycles each access was blocked
system.cpu.dcache.fast_writes 0 # number of fast writes performed
system.cpu.dcache.cache_copies 0 # number of cache copies performed
-system.cpu.dcache.ReadReq_mshr_hits::cpu.data 98 # number of ReadReq MSHR hits
-system.cpu.dcache.ReadReq_mshr_hits::total 98 # number of ReadReq MSHR hits
-system.cpu.dcache.WriteReq_mshr_hits::cpu.data 276 # number of WriteReq MSHR hits
-system.cpu.dcache.WriteReq_mshr_hits::total 276 # number of WriteReq MSHR hits
+system.cpu.dcache.ReadReq_mshr_hits::cpu.data 90 # number of ReadReq MSHR hits
+system.cpu.dcache.ReadReq_mshr_hits::total 90 # number of ReadReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits::cpu.data 158 # number of WriteReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits::total 158 # number of WriteReq MSHR hits
system.cpu.dcache.LoadLockedReq_mshr_hits::cpu.data 2 # number of LoadLockedReq MSHR hits
system.cpu.dcache.LoadLockedReq_mshr_hits::total 2 # number of LoadLockedReq MSHR hits
-system.cpu.dcache.demand_mshr_hits::cpu.data 374 # number of demand (read+write) MSHR hits
-system.cpu.dcache.demand_mshr_hits::total 374 # number of demand (read+write) MSHR hits
-system.cpu.dcache.overall_mshr_hits::cpu.data 374 # number of overall MSHR hits
-system.cpu.dcache.overall_mshr_hits::total 374 # number of overall MSHR hits
-system.cpu.dcache.ReadReq_mshr_misses::cpu.data 105 # number of ReadReq MSHR misses
-system.cpu.dcache.ReadReq_mshr_misses::total 105 # number of ReadReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::cpu.data 42 # number of WriteReq MSHR misses
-system.cpu.dcache.WriteReq_mshr_misses::total 42 # number of WriteReq MSHR misses
-system.cpu.dcache.demand_mshr_misses::cpu.data 147 # number of demand (read+write) MSHR misses
-system.cpu.dcache.demand_mshr_misses::total 147 # number of demand (read+write) MSHR misses
-system.cpu.dcache.overall_mshr_misses::cpu.data 147 # number of overall MSHR misses
-system.cpu.dcache.overall_mshr_misses::total 147 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 6245255 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 6245255 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 3144750 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 3144750 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 9390005 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 9390005 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 9390005 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 9390005 # number of overall MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.059863 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.059863 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.046002 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.046002 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.055118 # mshr miss rate for demand accesses
-system.cpu.dcache.demand_mshr_miss_rate::total 0.055118 # mshr miss rate for demand accesses
-system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.055118 # mshr miss rate for overall accesses
-system.cpu.dcache.overall_mshr_miss_rate::total 0.055118 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 59478.619048 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 59478.619048 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 74875 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 74875 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 63877.585034 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 63877.585034 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 63877.585034 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 63877.585034 # average overall mshr miss latency
+system.cpu.dcache.demand_mshr_hits::cpu.data 248 # number of demand (read+write) MSHR hits
+system.cpu.dcache.demand_mshr_hits::total 248 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits::cpu.data 248 # number of overall MSHR hits
+system.cpu.dcache.overall_mshr_hits::total 248 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses::cpu.data 104 # number of ReadReq MSHR misses
+system.cpu.dcache.ReadReq_mshr_misses::total 104 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses::cpu.data 40 # number of WriteReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_misses::total 40 # number of WriteReq MSHR misses
+system.cpu.dcache.demand_mshr_misses::cpu.data 144 # number of demand (read+write) MSHR misses
+system.cpu.dcache.demand_mshr_misses::total 144 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses::cpu.data 144 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_misses::total 144 # number of overall MSHR misses
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 5492753 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 5492753 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 2689000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 2689000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 8181753 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 8181753 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 8181753 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 8181753 # number of overall MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.076923 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.076923 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.043812 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.043812 # mshr miss rate for WriteReq accesses
+system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.063576 # mshr miss rate for demand accesses
+system.cpu.dcache.demand_mshr_miss_rate::total 0.063576 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.063576 # mshr miss rate for overall accesses
+system.cpu.dcache.overall_mshr_miss_rate::total 0.063576 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 52814.932692 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 52814.932692 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 67225 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 67225 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 56817.729167 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 56817.729167 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 56817.729167 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 56817.729167 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/arm/linux/simple-atomic-dummychecker/stats.txt b/tests/quick/se/00.hello/ref/arm/linux/simple-atomic-dummychecker/stats.txt
index f5795e533..9b7b2bcb6 100644
--- a/tests/quick/se/00.hello/ref/arm/linux/simple-atomic-dummychecker/stats.txt
+++ b/tests/quick/se/00.hello/ref/arm/linux/simple-atomic-dummychecker/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000003 # Nu
sim_ticks 2694500 # Number of ticks simulated
final_tick 2694500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 109620 # Simulator instruction rate (inst/s)
-host_op_rate 128318 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 64270947 # Simulator tick rate (ticks/s)
-host_mem_usage 268656 # Number of bytes of host memory used
-host_seconds 0.04 # Real time elapsed on the host
+host_inst_rate 582910 # Simulator instruction rate (inst/s)
+host_op_rate 681582 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 341032781 # Simulator tick rate (ticks/s)
+host_mem_usage 293692 # Number of bytes of host memory used
+host_seconds 0.01 # Real time elapsed on the host
sim_insts 4591 # Number of instructions simulated
sim_ops 5377 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -35,9 +35,34 @@ system.physmem.bw_write::total 1353868992 # Wr
system.physmem.bw_total::cpu.inst 6834663203 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 3020597513 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 9855260716 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 9855260716 # Throughput (bytes/s)
-system.membus.data_through_bus 26555 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.trans_dist::ReadReq 5596 # Transaction distribution
+system.membus.trans_dist::ReadResp 5607 # Transaction distribution
+system.membus.trans_dist::WriteReq 913 # Transaction distribution
+system.membus.trans_dist::WriteResp 913 # Transaction distribution
+system.membus.trans_dist::LoadLockedReq 11 # Transaction distribution
+system.membus.trans_dist::StoreCondReq 11 # Transaction distribution
+system.membus.trans_dist::StoreCondResp 11 # Transaction distribution
+system.membus.pkt_count_system.cpu.icache_port::system.physmem.port 9208 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.dcache_port::system.physmem.port 3854 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 13062 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.icache_port::system.physmem.port 18416 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.dcache_port::system.physmem.port 8139 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 26555 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 6531 # Request fanout histogram
+system.membus.snoop_fanout::mean 4.704946 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0.456102 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::4 1927 29.51% 29.51% # Request fanout histogram
+system.membus.snoop_fanout::5 4604 70.49% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 4 # Request fanout histogram
+system.membus.snoop_fanout::max_value 5 # Request fanout histogram
+system.membus.snoop_fanout::total 6531 # Request fanout histogram
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.checker.dstage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu.checker.dstage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
diff --git a/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/stats.txt
index efe28c206..73cde8525 100644
--- a/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/stats.txt
+++ b/tests/quick/se/00.hello/ref/arm/linux/simple-atomic/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000003 # Nu
sim_ticks 2694500 # Number of ticks simulated
final_tick 2694500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 133655 # Simulator instruction rate (inst/s)
-host_op_rate 156442 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 78348823 # Simulator tick rate (ticks/s)
-host_mem_usage 267596 # Number of bytes of host memory used
-host_seconds 0.03 # Real time elapsed on the host
+host_inst_rate 685428 # Simulator instruction rate (inst/s)
+host_op_rate 801222 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 400788339 # Simulator tick rate (ticks/s)
+host_mem_usage 292412 # Number of bytes of host memory used
+host_seconds 0.01 # Real time elapsed on the host
sim_insts 4591 # Number of instructions simulated
sim_ops 5377 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -35,9 +35,34 @@ system.physmem.bw_write::total 1353868992 # Wr
system.physmem.bw_total::cpu.inst 6834663203 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 3020597513 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 9855260716 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 9855260716 # Throughput (bytes/s)
-system.membus.data_through_bus 26555 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.trans_dist::ReadReq 5596 # Transaction distribution
+system.membus.trans_dist::ReadResp 5607 # Transaction distribution
+system.membus.trans_dist::WriteReq 913 # Transaction distribution
+system.membus.trans_dist::WriteResp 913 # Transaction distribution
+system.membus.trans_dist::LoadLockedReq 11 # Transaction distribution
+system.membus.trans_dist::StoreCondReq 11 # Transaction distribution
+system.membus.trans_dist::StoreCondResp 11 # Transaction distribution
+system.membus.pkt_count_system.cpu.icache_port::system.physmem.port 9208 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.dcache_port::system.physmem.port 3854 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 13062 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.icache_port::system.physmem.port 18416 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.dcache_port::system.physmem.port 8139 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 26555 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 6531 # Request fanout histogram
+system.membus.snoop_fanout::mean 4.704946 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0.456102 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::4 1927 29.51% 29.51% # Request fanout histogram
+system.membus.snoop_fanout::5 4604 70.49% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 4 # Request fanout histogram
+system.membus.snoop_fanout::max_value 5 # Request fanout histogram
+system.membus.snoop_fanout::total 6531 # Request fanout histogram
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.dstage2_mmu.stage2_tlb.inst_hits 0 # ITB inst hits
system.cpu.dstage2_mmu.stage2_tlb.inst_misses 0 # ITB inst misses
diff --git a/tests/quick/se/00.hello/ref/arm/linux/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/arm/linux/simple-timing/stats.txt
index f26a07dcf..8f2c9257f 100644
--- a/tests/quick/se/00.hello/ref/arm/linux/simple-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/arm/linux/simple-timing/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000026 # Nu
sim_ticks 25815000 # Number of ticks simulated
final_tick 25815000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 85918 # Simulator instruction rate (inst/s)
-host_op_rate 100276 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 485659481 # Simulator tick rate (ticks/s)
-host_mem_usage 277384 # Number of bytes of host memory used
-host_seconds 0.05 # Real time elapsed on the host
+host_inst_rate 367819 # Simulator instruction rate (inst/s)
+host_op_rate 428893 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 2075494452 # Simulator tick rate (ticks/s)
+host_mem_usage 302164 # Number of bytes of host memory used
+host_seconds 0.01 # Real time elapsed on the host
sim_insts 4565 # Number of instructions simulated
sim_ops 5329 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -29,17 +29,25 @@ system.physmem.bw_inst_read::total 557815224 # In
system.physmem.bw_total::cpu.inst 557815224 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 309897347 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 867712570 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 867712570 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 307 # Transaction distribution
system.membus.trans_dist::ReadResp 307 # Transaction distribution
system.membus.trans_dist::ReadExReq 43 # Transaction distribution
system.membus.trans_dist::ReadExResp 43 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 700 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 700 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 22400 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 22400 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 22400 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 22400 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 22400 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 350 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 350 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 350 # Request fanout histogram
system.membus.reqLayer0.occupancy 355000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 1.4 # Layer utilization (%)
system.membus.respLayer1.occupancy 3155000 # Layer occupancy (ticks)
@@ -520,7 +528,6 @@ system.cpu.dcache.demand_avg_mshr_miss_latency::total 48234.042553
system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 48234.042553 # average overall mshr miss latency
system.cpu.dcache.overall_avg_mshr_miss_latency::total 48234.042553 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 947046291 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 339 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 339 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 43 # Transaction distribution
@@ -528,11 +535,25 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 43 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 482 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 282 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 764 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 15424 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9024 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 24448 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 24448 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 15424 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9024 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 24448 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 382 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 5 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::5 382 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::6 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 5 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 5 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 382 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 191000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.7 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 361500 # Layer occupancy (ticks)
diff --git a/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/stats.txt b/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/stats.txt
index 12868f8fc..2de82825c 100644
--- a/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/mips/linux/inorder-timing/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000025 # Nu
sim_ticks 24907000 # Number of ticks simulated
final_tick 24907000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 84163 # Simulator instruction rate (inst/s)
-host_op_rate 84145 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 360406899 # Simulator tick rate (ticks/s)
-host_mem_usage 264444 # Number of bytes of host memory used
-host_seconds 0.07 # Real time elapsed on the host
+host_inst_rate 110455 # Simulator instruction rate (inst/s)
+host_op_rate 110427 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 472950648 # Simulator tick rate (ticks/s)
+host_mem_usage 286112 # Number of bytes of host memory used
+host_seconds 0.05 # Real time elapsed on the host
sim_insts 5814 # Number of instructions simulated
sim_ops 5814 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -199,12 +199,12 @@ system.physmem.bytesPerActivate::640-767 2 1.89% 92.45% # By
system.physmem.bytesPerActivate::768-895 3 2.83% 95.28% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 5 4.72% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 106 # Bytes accessed per row activation
-system.physmem.totQLat 4873000 # Total ticks spent queuing
-system.physmem.totMemAccLat 13404250 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 4936500 # Total ticks spent queuing
+system.physmem.totMemAccLat 13467750 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 2275000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 10709.89 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 10849.45 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 29459.89 # Average memory access latency per DRAM burst
+system.physmem.avgMemAccLat 29599.45 # Average memory access latency per DRAM burst
system.physmem.avgRdBW 1169.15 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
system.physmem.avgRdBWSys 1169.15 # Average system read bandwidth in MiByte/s
@@ -226,17 +226,25 @@ system.physmem.memoryStateTime::REF 780000 # Ti
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
system.physmem.memoryStateTime::ACT 22841500 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 1169149235 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 404 # Transaction distribution
system.membus.trans_dist::ReadResp 404 # Transaction distribution
system.membus.trans_dist::ReadExReq 51 # Transaction distribution
system.membus.trans_dist::ReadExResp 51 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 910 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 910 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 29120 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 29120 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 29120 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 29120 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 29120 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 455 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 455 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 455 # Request fanout histogram
system.membus.reqLayer0.occupancy 552000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 2.2 # Layer utilization (%)
system.membus.respLayer1.occupancy 4259500 # Layer occupancy (ticks)
@@ -292,12 +300,12 @@ system.cpu.execution_unit.executions 3133 # Nu
system.cpu.mult_div_unit.multiplies 3 # Number of Multipy Operations Executed
system.cpu.mult_div_unit.divides 1 # Number of Divide Operations Executed
system.cpu.contextSwitches 1 # Number of context switches
-system.cpu.threadCycles 9486 # Total Number of Cycles A Thread Was Active in CPU (Per-Thread)
+system.cpu.threadCycles 9484 # Total Number of Cycles A Thread Was Active in CPU (Per-Thread)
system.cpu.smtCycles 0 # Total number of cycles that the CPU was in SMT-mode
system.cpu.timesIdled 462 # Number of times that the entire CPU went into an idle state and unscheduled itself
-system.cpu.idleCycles 44432 # Number of cycles cpu's stages were not processed
-system.cpu.runCycles 5383 # Number of cycles cpu stages are processed.
-system.cpu.activity 10.805982 # Percentage of cycles cpu is active
+system.cpu.idleCycles 44434 # Number of cycles cpu's stages were not processed
+system.cpu.runCycles 5381 # Number of cycles cpu stages are processed.
+system.cpu.activity 10.801967 # Percentage of cycles cpu is active
system.cpu.comLoads 1163 # Number of Load instructions committed
system.cpu.comStores 925 # Number of Store instructions committed
system.cpu.comBranches 915 # Number of Branches instructions committed
@@ -315,30 +323,30 @@ system.cpu.cpi_total 8.568111 # CP
system.cpu.ipc 0.116712 # IPC: Instructions Per Cycle (Per-Thread)
system.cpu.smt_ipc nan # IPC: Total SMT-IPC
system.cpu.ipc_total 0.116712 # IPC: Total IPC of All Threads
-system.cpu.stage0.idleCycles 46167 # Number of cycles 0 instructions are processed.
-system.cpu.stage0.runCycles 3648 # Number of cycles 1+ instructions are processed.
-system.cpu.stage0.utilization 7.323095 # Percentage of cycles stage was utilized (processing insts).
-system.cpu.stage1.idleCycles 47002 # Number of cycles 0 instructions are processed.
-system.cpu.stage1.runCycles 2813 # Number of cycles 1+ instructions are processed.
-system.cpu.stage1.utilization 5.646894 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage0.idleCycles 46168 # Number of cycles 0 instructions are processed.
+system.cpu.stage0.runCycles 3647 # Number of cycles 1+ instructions are processed.
+system.cpu.stage0.utilization 7.321088 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage1.idleCycles 47003 # Number of cycles 0 instructions are processed.
+system.cpu.stage1.runCycles 2812 # Number of cycles 1+ instructions are processed.
+system.cpu.stage1.utilization 5.644886 # Percentage of cycles stage was utilized (processing insts).
system.cpu.stage2.idleCycles 47048 # Number of cycles 0 instructions are processed.
system.cpu.stage2.runCycles 2767 # Number of cycles 1+ instructions are processed.
system.cpu.stage2.utilization 5.554552 # Percentage of cycles stage was utilized (processing insts).
system.cpu.stage3.idleCycles 48577 # Number of cycles 0 instructions are processed.
system.cpu.stage3.runCycles 1238 # Number of cycles 1+ instructions are processed.
system.cpu.stage3.utilization 2.485195 # Percentage of cycles stage was utilized (processing insts).
-system.cpu.stage4.idleCycles 46927 # Number of cycles 0 instructions are processed.
-system.cpu.stage4.runCycles 2888 # Number of cycles 1+ instructions are processed.
-system.cpu.stage4.utilization 5.797451 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage4.idleCycles 46929 # Number of cycles 0 instructions are processed.
+system.cpu.stage4.runCycles 2886 # Number of cycles 1+ instructions are processed.
+system.cpu.stage4.utilization 5.793436 # Percentage of cycles stage was utilized (processing insts).
system.cpu.icache.tags.replacements 13 # number of replacements
-system.cpu.icache.tags.tagsinuse 150.585033 # Cycle average of tags in use
+system.cpu.icache.tags.tagsinuse 150.581339 # Cycle average of tags in use
system.cpu.icache.tags.total_refs 428 # Total number of references to valid blocks.
system.cpu.icache.tags.sampled_refs 319 # Sample count of references to valid blocks.
system.cpu.icache.tags.avg_refs 1.341693 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 150.585033 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.073528 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.073528 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_blocks::cpu.inst 150.581339 # Average occupied blocks per requestor
+system.cpu.icache.tags.occ_percent::cpu.inst 0.073526 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_percent::total 0.073526 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 306 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::0 128 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::1 178 # Occupied blocks per task id
@@ -357,12 +365,12 @@ system.cpu.icache.demand_misses::cpu.inst 350 # n
system.cpu.icache.demand_misses::total 350 # number of demand (read+write) misses
system.cpu.icache.overall_misses::cpu.inst 350 # number of overall misses
system.cpu.icache.overall_misses::total 350 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 25291750 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 25291750 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 25291750 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 25291750 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 25291750 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 25291750 # number of overall miss cycles
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 25285250 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 25285250 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 25285250 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 25285250 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 25285250 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 25285250 # number of overall miss cycles
system.cpu.icache.ReadReq_accesses::cpu.inst 778 # number of ReadReq accesses(hits+misses)
system.cpu.icache.ReadReq_accesses::total 778 # number of ReadReq accesses(hits+misses)
system.cpu.icache.demand_accesses::cpu.inst 778 # number of demand (read+write) accesses
@@ -375,12 +383,12 @@ system.cpu.icache.demand_miss_rate::cpu.inst 0.449871
system.cpu.icache.demand_miss_rate::total 0.449871 # miss rate for demand accesses
system.cpu.icache.overall_miss_rate::cpu.inst 0.449871 # miss rate for overall accesses
system.cpu.icache.overall_miss_rate::total 0.449871 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 72262.142857 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 72262.142857 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 72262.142857 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 72262.142857 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 72262.142857 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 72262.142857 # average overall miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 72243.571429 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 72243.571429 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 72243.571429 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 72243.571429 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 72243.571429 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 72243.571429 # average overall miss latency
system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -401,26 +409,25 @@ system.cpu.icache.demand_mshr_misses::cpu.inst 319
system.cpu.icache.demand_mshr_misses::total 319 # number of demand (read+write) MSHR misses
system.cpu.icache.overall_mshr_misses::cpu.inst 319 # number of overall MSHR misses
system.cpu.icache.overall_mshr_misses::total 319 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 22956750 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 22956750 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 22956750 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 22956750 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 22956750 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 22956750 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 22950250 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 22950250 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 22950250 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 22950250 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 22950250 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 22950250 # number of overall MSHR miss cycles
system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.410026 # mshr miss rate for ReadReq accesses
system.cpu.icache.ReadReq_mshr_miss_rate::total 0.410026 # mshr miss rate for ReadReq accesses
system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.410026 # mshr miss rate for demand accesses
system.cpu.icache.demand_mshr_miss_rate::total 0.410026 # mshr miss rate for demand accesses
system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.410026 # mshr miss rate for overall accesses
system.cpu.icache.overall_mshr_miss_rate::total 0.410026 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 71964.733542 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 71964.733542 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 71964.733542 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 71964.733542 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 71964.733542 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 71964.733542 # average overall mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 71944.357367 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 71944.357367 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 71944.357367 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 71944.357367 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 71944.357367 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 71944.357367 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 1174288353 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 406 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 406 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 51 # Transaction distribution
@@ -428,11 +435,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 51 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 638 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 276 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 914 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 20416 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8832 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 29248 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 29248 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 20416 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8832 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 29248 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 457 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 457 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 457 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 228500 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.9 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 538750 # Layer occupancy (ticks)
@@ -440,13 +457,13 @@ system.cpu.toL2Bus.respLayer0.utilization 2.2 # L
system.cpu.toL2Bus.respLayer1.occupancy 226250 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 0.9 # Layer utilization (%)
system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 208.347330 # Cycle average of tags in use
+system.cpu.l2cache.tags.tagsinuse 208.342392 # Cycle average of tags in use
system.cpu.l2cache.tags.total_refs 2 # Total number of references to valid blocks.
system.cpu.l2cache.tags.sampled_refs 404 # Sample count of references to valid blocks.
system.cpu.l2cache.tags.avg_refs 0.004950 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 152.267110 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 56.080220 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 152.263135 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 56.079256 # Average occupied blocks per requestor
system.cpu.l2cache.tags.occ_percent::cpu.inst 0.004647 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.data 0.001711 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::total 0.006358 # Average percentage of cache occupancy
@@ -473,17 +490,17 @@ system.cpu.l2cache.demand_misses::total 455 # nu
system.cpu.l2cache.overall_misses::cpu.inst 317 # number of overall misses
system.cpu.l2cache.overall_misses::cpu.data 138 # number of overall misses
system.cpu.l2cache.overall_misses::total 455 # number of overall misses
-system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 22611250 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::cpu.data 6877000 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::total 29488250 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 3810250 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 3810250 # number of ReadExReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 22611250 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 10687250 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 33298500 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 22611250 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 10687250 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 33298500 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 22604750 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.data 6885000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::total 29489750 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 3812750 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 3812750 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 22604750 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 10697750 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 33302500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 22604750 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 10697750 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 33302500 # number of overall miss cycles
system.cpu.l2cache.ReadReq_accesses::cpu.inst 319 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::cpu.data 87 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::total 406 # number of ReadReq accesses(hits+misses)
@@ -506,17 +523,17 @@ system.cpu.l2cache.demand_miss_rate::total 0.995624 #
system.cpu.l2cache.overall_miss_rate::cpu.inst 0.993730 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::cpu.data 1 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::total 0.995624 # miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 71328.864353 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 79045.977011 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::total 72990.717822 # average ReadReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 74710.784314 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 74710.784314 # average ReadExReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 71328.864353 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 77443.840580 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 73183.516484 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 71328.864353 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 77443.840580 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 73183.516484 # average overall miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 71308.359621 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 79137.931034 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::total 72994.430693 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 74759.803922 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 74759.803922 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 71308.359621 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 77519.927536 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 73192.307692 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 71308.359621 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 77519.927536 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 73192.307692 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -536,17 +553,17 @@ system.cpu.l2cache.demand_mshr_misses::total 455
system.cpu.l2cache.overall_mshr_misses::cpu.inst 317 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.data 138 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::total 455 # number of overall MSHR misses
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 18629250 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 5798500 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::total 24427750 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 3166750 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 3166750 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 18629250 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 8965250 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 27594500 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 18629250 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 8965250 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 27594500 # number of overall MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 18622750 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 5806500 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::total 24429250 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 3169250 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 3169250 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 18622750 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 8975750 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 27598500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 18622750 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 8975750 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 27598500 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.993730 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.995074 # mshr miss rate for ReadReq accesses
@@ -558,25 +575,25 @@ system.cpu.l2cache.demand_mshr_miss_rate::total 0.995624
system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.993730 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::total 0.995624 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 58767.350158 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 66649.425287 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 60464.727723 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 62093.137255 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 62093.137255 # average ReadExReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 58767.350158 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 64965.579710 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 60647.252747 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 58767.350158 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 64965.579710 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 60647.252747 # average overall mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 58746.845426 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 66741.379310 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 60468.440594 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 62142.156863 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 62142.156863 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 58746.845426 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 65041.666667 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 60656.043956 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 58746.845426 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 65041.666667 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 60656.043956 # average overall mshr miss latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 90.296415 # Cycle average of tags in use
+system.cpu.dcache.tags.tagsinuse 90.295130 # Cycle average of tags in use
system.cpu.dcache.tags.total_refs 1638 # Total number of references to valid blocks.
system.cpu.dcache.tags.sampled_refs 138 # Sample count of references to valid blocks.
system.cpu.dcache.tags.avg_refs 11.869565 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 90.296415 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_blocks::cpu.data 90.295130 # Average occupied blocks per requestor
system.cpu.dcache.tags.occ_percent::cpu.data 0.022045 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_percent::total 0.022045 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 138 # Occupied blocks per task id
@@ -601,14 +618,14 @@ system.cpu.dcache.demand_misses::cpu.data 450 # n
system.cpu.dcache.demand_misses::total 450 # number of demand (read+write) misses
system.cpu.dcache.overall_misses::cpu.data 450 # number of overall misses
system.cpu.dcache.overall_misses::total 450 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 7634750 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 7634750 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 21637250 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 21637250 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 29272000 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 29272000 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 29272000 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 29272000 # number of overall miss cycles
+system.cpu.dcache.ReadReq_miss_latency::cpu.data 7642750 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 7642750 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.data 21639750 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 21639750 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 29282500 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 29282500 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 29282500 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 29282500 # number of overall miss cycles
system.cpu.dcache.ReadReq_accesses::cpu.data 1163 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.ReadReq_accesses::total 1163 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.data 925 # number of WriteReq accesses(hits+misses)
@@ -625,14 +642,14 @@ system.cpu.dcache.demand_miss_rate::cpu.data 0.215517
system.cpu.dcache.demand_miss_rate::total 0.215517 # miss rate for demand accesses
system.cpu.dcache.overall_miss_rate::cpu.data 0.215517 # miss rate for overall accesses
system.cpu.dcache.overall_miss_rate::total 0.215517 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 78708.762887 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 78708.762887 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 61295.325779 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 61295.325779 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 65048.888889 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 65048.888889 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 65048.888889 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 65048.888889 # average overall miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 78791.237113 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 78791.237113 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 61302.407932 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 61302.407932 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 65072.222222 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 65072.222222 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 65072.222222 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 65072.222222 # average overall miss latency
system.cpu.dcache.blocked_cycles::no_mshrs 265 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 13 # number of cycles access was blocked
@@ -657,14 +674,14 @@ system.cpu.dcache.demand_mshr_misses::cpu.data 138
system.cpu.dcache.demand_mshr_misses::total 138 # number of demand (read+write) MSHR misses
system.cpu.dcache.overall_mshr_misses::cpu.data 138 # number of overall MSHR misses
system.cpu.dcache.overall_mshr_misses::total 138 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 6970500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 6970500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 3864250 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 3864250 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 10834750 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 10834750 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 10834750 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 10834750 # number of overall MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 6978500 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 6978500 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 3866750 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 3866750 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 10845250 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 10845250 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 10845250 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 10845250 # number of overall MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.074807 # mshr miss rate for ReadReq accesses
system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.074807 # mshr miss rate for ReadReq accesses
system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.055135 # mshr miss rate for WriteReq accesses
@@ -673,14 +690,14 @@ system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.066092
system.cpu.dcache.demand_mshr_miss_rate::total 0.066092 # mshr miss rate for demand accesses
system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.066092 # mshr miss rate for overall accesses
system.cpu.dcache.overall_mshr_miss_rate::total 0.066092 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 80120.689655 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 80120.689655 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 75769.607843 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 75769.607843 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 78512.681159 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 78512.681159 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 78512.681159 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 78512.681159 # average overall mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 80212.643678 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 80212.643678 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 75818.627451 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 75818.627451 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 78588.768116 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 78588.768116 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 78588.768116 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 78588.768116 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt
index 8c5d2b15c..cc5bb948f 100644
--- a/tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/mips/linux/o3-timing/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000022 # Nu
sim_ticks 21611500 # Number of ticks simulated
final_tick 21611500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 39362 # Simulator instruction rate (inst/s)
-host_op_rate 39354 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 164927772 # Simulator tick rate (ticks/s)
-host_mem_usage 235848 # Number of bytes of host memory used
-host_seconds 0.13 # Real time elapsed on the host
+host_inst_rate 87050 # Simulator instruction rate (inst/s)
+host_op_rate 87030 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 364707967 # Simulator tick rate (ticks/s)
+host_mem_usage 288672 # Number of bytes of host memory used
+host_seconds 0.06 # Real time elapsed on the host
sim_insts 5156 # Number of instructions simulated
sim_ops 5156 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -199,12 +199,12 @@ system.physmem.bytesPerActivate::768-895 3 2.75% 93.58% # By
system.physmem.bytesPerActivate::896-1023 2 1.83% 95.41% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 5 4.59% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 109 # Bytes accessed per row activation
-system.physmem.totQLat 5548500 # Total ticks spent queuing
-system.physmem.totMemAccLat 14529750 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 5601000 # Total ticks spent queuing
+system.physmem.totMemAccLat 14582250 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 2395000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 11583.51 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 11693.11 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 30333.51 # Average memory access latency per DRAM burst
+system.physmem.avgMemAccLat 30443.11 # Average memory access latency per DRAM burst
system.physmem.avgRdBW 1418.50 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
system.physmem.avgRdBWSys 1418.50 # Average system read bandwidth in MiByte/s
@@ -226,20 +226,28 @@ system.physmem.memoryStateTime::REF 520000 # Ti
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
system.physmem.memoryStateTime::ACT 15315250 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 1418504037 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 428 # Transaction distribution
system.membus.trans_dist::ReadResp 428 # Transaction distribution
system.membus.trans_dist::ReadExReq 51 # Transaction distribution
system.membus.trans_dist::ReadExResp 51 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 958 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 958 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 30656 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 30656 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 30656 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 30656 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 30656 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 479 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 479 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 479 # Request fanout histogram
system.membus.reqLayer0.occupancy 605500 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 2.8 # Layer utilization (%)
-system.membus.respLayer1.occupancy 4492750 # Layer occupancy (ticks)
+system.membus.respLayer1.occupancy 4492250 # Layer occupancy (ticks)
system.membus.respLayer1.utilization 20.8 # Layer utilization (%)
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.branchPred.lookups 2196 # Number of BP lookups
@@ -562,7 +570,6 @@ system.cpu.int_regfile_writes 5412 # nu
system.cpu.fp_regfile_reads 3 # number of floating regfile reads
system.cpu.fp_regfile_writes 1 # number of floating regfile writes
system.cpu.misc_regfile_reads 164 # number of misc regfile reads
-system.cpu.toL2Bus.throughput 1427388196 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 431 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 431 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 51 # Transaction distribution
@@ -570,11 +577,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 51 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 680 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 284 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 964 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 21760 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9088 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 30848 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 30848 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 21760 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9088 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 30848 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 482 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 482 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 482 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 241000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 1.1 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 575000 # Layer occupancy (ticks)
@@ -582,14 +599,14 @@ system.cpu.toL2Bus.respLayer0.utilization 2.7 # L
system.cpu.toL2Bus.respLayer1.occupancy 228250 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 1.1 # Layer utilization (%)
system.cpu.icache.tags.replacements 17 # number of replacements
-system.cpu.icache.tags.tagsinuse 161.374264 # Cycle average of tags in use
+system.cpu.icache.tags.tagsinuse 161.371303 # Cycle average of tags in use
system.cpu.icache.tags.total_refs 1615 # Total number of references to valid blocks.
system.cpu.icache.tags.sampled_refs 340 # Sample count of references to valid blocks.
system.cpu.icache.tags.avg_refs 4.750000 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 161.374264 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.078796 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.078796 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_blocks::cpu.inst 161.371303 # Average occupied blocks per requestor
+system.cpu.icache.tags.occ_percent::cpu.inst 0.078795 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_percent::total 0.078795 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 323 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::0 154 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::1 169 # Occupied blocks per task id
@@ -608,12 +625,12 @@ system.cpu.icache.demand_misses::cpu.inst 453 # n
system.cpu.icache.demand_misses::total 453 # number of demand (read+write) misses
system.cpu.icache.overall_misses::cpu.inst 453 # number of overall misses
system.cpu.icache.overall_misses::total 453 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 31448500 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 31448500 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 31448500 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 31448500 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 31448500 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 31448500 # number of overall miss cycles
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 31446500 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 31446500 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 31446500 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 31446500 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 31446500 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 31446500 # number of overall miss cycles
system.cpu.icache.ReadReq_accesses::cpu.inst 2068 # number of ReadReq accesses(hits+misses)
system.cpu.icache.ReadReq_accesses::total 2068 # number of ReadReq accesses(hits+misses)
system.cpu.icache.demand_accesses::cpu.inst 2068 # number of demand (read+write) accesses
@@ -626,12 +643,12 @@ system.cpu.icache.demand_miss_rate::cpu.inst 0.219052
system.cpu.icache.demand_miss_rate::total 0.219052 # miss rate for demand accesses
system.cpu.icache.overall_miss_rate::cpu.inst 0.219052 # miss rate for overall accesses
system.cpu.icache.overall_miss_rate::total 0.219052 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 69422.737307 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 69422.737307 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 69422.737307 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 69422.737307 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 69422.737307 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 69422.737307 # average overall miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 69418.322296 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 69418.322296 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 69418.322296 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 69418.322296 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 69418.322296 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 69418.322296 # average overall miss latency
system.cpu.icache.blocked_cycles::no_mshrs 48 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 1 # number of cycles access was blocked
@@ -652,33 +669,33 @@ system.cpu.icache.demand_mshr_misses::cpu.inst 340
system.cpu.icache.demand_mshr_misses::total 340 # number of demand (read+write) MSHR misses
system.cpu.icache.overall_mshr_misses::cpu.inst 340 # number of overall MSHR misses
system.cpu.icache.overall_mshr_misses::total 340 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 24624500 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 24624500 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 24624500 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 24624500 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 24624500 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 24624500 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 24622500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 24622500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 24622500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 24622500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 24622500 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 24622500 # number of overall MSHR miss cycles
system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.164410 # mshr miss rate for ReadReq accesses
system.cpu.icache.ReadReq_mshr_miss_rate::total 0.164410 # mshr miss rate for ReadReq accesses
system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.164410 # mshr miss rate for demand accesses
system.cpu.icache.demand_mshr_miss_rate::total 0.164410 # mshr miss rate for demand accesses
system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.164410 # mshr miss rate for overall accesses
system.cpu.icache.overall_mshr_miss_rate::total 0.164410 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 72425 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 72425 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 72425 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 72425 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 72425 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 72425 # average overall mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 72419.117647 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 72419.117647 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 72419.117647 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 72419.117647 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 72419.117647 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 72419.117647 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 222.300532 # Cycle average of tags in use
+system.cpu.l2cache.tags.tagsinuse 222.296900 # Cycle average of tags in use
system.cpu.l2cache.tags.total_refs 3 # Total number of references to valid blocks.
system.cpu.l2cache.tags.sampled_refs 428 # Sample count of references to valid blocks.
system.cpu.l2cache.tags.avg_refs 0.007009 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 163.614658 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 58.685875 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 163.611488 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 58.685412 # Average occupied blocks per requestor
system.cpu.l2cache.tags.occ_percent::cpu.inst 0.004993 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.data 0.001791 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::total 0.006784 # Average percentage of cache occupancy
@@ -705,17 +722,17 @@ system.cpu.l2cache.demand_misses::total 479 # nu
system.cpu.l2cache.overall_misses::cpu.inst 337 # number of overall misses
system.cpu.l2cache.overall_misses::cpu.data 142 # number of overall misses
system.cpu.l2cache.overall_misses::total 479 # number of overall misses
-system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 24254500 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::cpu.data 7288250 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::total 31542750 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 4058000 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 4058000 # number of ReadExReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 24254500 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 11346250 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 35600750 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 24254500 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 11346250 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 35600750 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 24252500 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.data 7286250 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::total 31538750 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 4056000 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 4056000 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 24252500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 11342250 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 35594750 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 24252500 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 11342250 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 35594750 # number of overall miss cycles
system.cpu.l2cache.ReadReq_accesses::cpu.inst 340 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::cpu.data 91 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::total 431 # number of ReadReq accesses(hits+misses)
@@ -738,17 +755,17 @@ system.cpu.l2cache.demand_miss_rate::total 0.993776 #
system.cpu.l2cache.overall_miss_rate::cpu.inst 0.991176 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::cpu.data 1 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::total 0.993776 # miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 71971.810089 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 80090.659341 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::total 73698.014019 # average ReadReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 79568.627451 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 79568.627451 # average ReadExReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 71971.810089 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 79903.169014 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 74323.068894 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 71971.810089 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 79903.169014 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 74323.068894 # average overall miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 71965.875371 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 80068.681319 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::total 73688.668224 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 79529.411765 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 79529.411765 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 71965.875371 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 79875 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 74310.542797 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 71965.875371 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 79875 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 74310.542797 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -768,17 +785,17 @@ system.cpu.l2cache.demand_mshr_misses::total 479
system.cpu.l2cache.overall_mshr_misses::cpu.inst 337 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.data 142 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::total 479 # number of overall MSHR misses
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 19999000 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 6168250 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::total 26167250 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 3423500 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 3423500 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 19999000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 9591750 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 29590750 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 19999000 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 9591750 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 29590750 # number of overall MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 19997500 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 6166250 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::total 26163750 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 3421500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 3421500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 19997500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 9587750 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 29585250 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 19997500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 9587750 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 29585250 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.991176 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.993039 # mshr miss rate for ReadReq accesses
@@ -790,25 +807,25 @@ system.cpu.l2cache.demand_mshr_miss_rate::total 0.993776
system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.991176 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::total 0.993776 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 59344.213650 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 67782.967033 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 61138.434579 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 67127.450980 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 67127.450980 # average ReadExReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 59344.213650 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 67547.535211 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 61776.096033 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 59344.213650 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 67547.535211 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 61776.096033 # average overall mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 59339.762611 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 67760.989011 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 61130.257009 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 67088.235294 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 67088.235294 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 59339.762611 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 67519.366197 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 61764.613779 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 59339.762611 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 67519.366197 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 61764.613779 # average overall mshr miss latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 92.430317 # Cycle average of tags in use
+system.cpu.dcache.tags.tagsinuse 92.429669 # Cycle average of tags in use
system.cpu.dcache.tags.total_refs 2508 # Total number of references to valid blocks.
system.cpu.dcache.tags.sampled_refs 142 # Sample count of references to valid blocks.
system.cpu.dcache.tags.avg_refs 17.661972 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 92.430317 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_blocks::cpu.data 92.429669 # Average occupied blocks per requestor
system.cpu.dcache.tags.occ_percent::cpu.data 0.022566 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_percent::total 0.022566 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 142 # Occupied blocks per task id
@@ -833,14 +850,14 @@ system.cpu.dcache.demand_misses::cpu.data 531 # n
system.cpu.dcache.demand_misses::total 531 # number of demand (read+write) misses
system.cpu.dcache.overall_misses::cpu.data 531 # number of overall misses
system.cpu.dcache.overall_misses::total 531 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 11709000 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 11709000 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 23266249 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 23266249 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 34975249 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 34975249 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 34975249 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 34975249 # number of overall miss cycles
+system.cpu.dcache.ReadReq_miss_latency::cpu.data 11707000 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 11707000 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.data 23264249 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 23264249 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 34971249 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 34971249 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 34971249 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 34971249 # number of overall miss cycles
system.cpu.dcache.ReadReq_accesses::cpu.data 2114 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.ReadReq_accesses::total 2114 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.data 925 # number of WriteReq accesses(hits+misses)
@@ -857,14 +874,14 @@ system.cpu.dcache.demand_miss_rate::cpu.data 0.174729
system.cpu.dcache.demand_miss_rate::total 0.174729 # miss rate for demand accesses
system.cpu.dcache.overall_miss_rate::cpu.data 0.174729 # miss rate for overall accesses
system.cpu.dcache.overall_miss_rate::total 0.174729 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 69284.023669 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 69284.023669 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 64271.406077 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 64271.406077 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 65866.758945 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 65866.758945 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 65866.758945 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 65866.758945 # average overall miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 69272.189349 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 69272.189349 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 64265.881215 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 64265.881215 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 65859.225989 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 65859.225989 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 65859.225989 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 65859.225989 # average overall miss latency
system.cpu.dcache.blocked_cycles::no_mshrs 573 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 11 # number of cycles access was blocked
@@ -889,14 +906,14 @@ system.cpu.dcache.demand_mshr_misses::cpu.data 142
system.cpu.dcache.demand_mshr_misses::total 142 # number of demand (read+write) MSHR misses
system.cpu.dcache.overall_mshr_misses::cpu.data 142 # number of overall MSHR misses
system.cpu.dcache.overall_mshr_misses::total 142 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 7382750 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 7382750 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 4109999 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 4109999 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 11492749 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 11492749 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 11492749 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 11492749 # number of overall MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 7380750 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 7380750 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 4107999 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 4107999 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 11488749 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 11488749 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 11488749 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 11488749 # number of overall MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.043046 # mshr miss rate for ReadReq accesses
system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.043046 # mshr miss rate for ReadReq accesses
system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.055135 # mshr miss rate for WriteReq accesses
@@ -905,14 +922,14 @@ system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.046726
system.cpu.dcache.demand_mshr_miss_rate::total 0.046726 # mshr miss rate for demand accesses
system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.046726 # mshr miss rate for overall accesses
system.cpu.dcache.overall_mshr_miss_rate::total 0.046726 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 81129.120879 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 81129.120879 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 80588.215686 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 80588.215686 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 80934.852113 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 80934.852113 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 80934.852113 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 80934.852113 # average overall mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 81107.142857 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 81107.142857 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 80549 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 80549 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 80906.683099 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 80906.683099 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 80906.683099 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 80906.683099 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/stats.txt
index c5418ef55..49c05c732 100644
--- a/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/stats.txt
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-atomic/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000003 # Nu
sim_ticks 2907000 # Number of ticks simulated
final_tick 2907000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 1298058 # Simulator instruction rate (inst/s)
-host_op_rate 1293725 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 644853594 # Simulator tick rate (ticks/s)
-host_mem_usage 255756 # Number of bytes of host memory used
-host_seconds 0.00 # Real time elapsed on the host
+host_inst_rate 972729 # Simulator instruction rate (inst/s)
+host_op_rate 970456 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 484177215 # Simulator tick rate (ticks/s)
+host_mem_usage 275596 # Number of bytes of host memory used
+host_seconds 0.01 # Real time elapsed on the host
sim_insts 5814 # Number of instructions simulated
sim_ops 5814 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -35,9 +35,27 @@ system.physmem.bw_write::total 1258341933 # Wr
system.physmem.bw_total::cpu.inst 8001375989 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 2762985896 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 10764361885 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 10764361885 # Throughput (bytes/s)
-system.membus.data_through_bus 31292 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.trans_dist::ReadReq 6978 # Transaction distribution
+system.membus.trans_dist::ReadResp 6978 # Transaction distribution
+system.membus.trans_dist::WriteReq 925 # Transaction distribution
+system.membus.trans_dist::WriteResp 925 # Transaction distribution
+system.membus.pkt_count_system.cpu.icache_port::system.physmem.port 11630 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.dcache_port::system.physmem.port 4176 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 15806 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.icache_port::system.physmem.port 23260 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.dcache_port::system.physmem.port 8032 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 31292 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 7903 # Request fanout histogram
+system.membus.snoop_fanout::mean 0.735797 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0.440936 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 2088 26.42% 26.42% # Request fanout histogram
+system.membus.snoop_fanout::1 5815 73.58% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 7903 # Request fanout histogram
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.dtb.read_hits 0 # DTB read hits
system.cpu.dtb.read_misses 0 # DTB read misses
diff --git a/tests/quick/se/00.hello/ref/mips/linux/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/mips/linux/simple-timing/stats.txt
index ee2cc6627..a40ed7ca5 100644
--- a/tests/quick/se/00.hello/ref/mips/linux/simple-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/mips/linux/simple-timing/stats.txt
@@ -4,10 +4,10 @@ sim_seconds 0.000032 # Nu
sim_ticks 31633000 # Number of ticks simulated
final_tick 31633000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 474922 # Simulator instruction rate (inst/s)
-host_op_rate 474341 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 2577866515 # Simulator tick rate (ticks/s)
-host_mem_usage 263440 # Number of bytes of host memory used
+host_inst_rate 442331 # Simulator instruction rate (inst/s)
+host_op_rate 441894 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 2401898254 # Simulator tick rate (ticks/s)
+host_mem_usage 285092 # Number of bytes of host memory used
host_seconds 0.01 # Real time elapsed on the host
sim_insts 5814 # Number of instructions simulated
sim_ops 5814 # Number of ops (including micro ops) simulated
@@ -29,17 +29,25 @@ system.physmem.bw_inst_read::total 608984289 # In
system.physmem.bw_total::cpu.inst 608984289 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 279202099 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 888186388 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 888186388 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 388 # Transaction distribution
system.membus.trans_dist::ReadResp 388 # Transaction distribution
system.membus.trans_dist::ReadExReq 51 # Transaction distribution
system.membus.trans_dist::ReadExResp 51 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 878 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 878 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 28096 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 28096 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 28096 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 28096 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 28096 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 439 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 439 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 439 # Request fanout histogram
system.membus.reqLayer0.occupancy 439000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 1.4 # Layer utilization (%)
system.membus.respLayer1.occupancy 3951000 # Layer occupancy (ticks)
@@ -441,7 +449,6 @@ system.cpu.dcache.demand_avg_mshr_miss_latency::total 53000
system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 53000 # average overall mshr miss latency
system.cpu.dcache.overall_avg_mshr_miss_latency::total 53000 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 892232795 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 390 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 390 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 51 # Transaction distribution
@@ -449,11 +456,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 51 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 606 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 276 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 882 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 19392 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8832 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 28224 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 28224 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 19392 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8832 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 28224 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 441 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 441 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 441 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 220500 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.7 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 454500 # Layer occupancy (ticks)
diff --git a/tests/quick/se/00.hello/ref/power/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/power/linux/o3-timing/stats.txt
index 895c59829..2b23aa030 100644
--- a/tests/quick/se/00.hello/ref/power/linux/o3-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/power/linux/o3-timing/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000019 # Nu
sim_ticks 18857500 # Number of ticks simulated
final_tick 18857500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 41326 # Simulator instruction rate (inst/s)
-host_op_rate 41320 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 134509153 # Simulator tick rate (ticks/s)
-host_mem_usage 232584 # Number of bytes of host memory used
-host_seconds 0.14 # Real time elapsed on the host
+host_inst_rate 98075 # Simulator instruction rate (inst/s)
+host_op_rate 98051 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 319158839 # Simulator tick rate (ticks/s)
+host_mem_usage 285824 # Number of bytes of host memory used
+host_seconds 0.06 # Real time elapsed on the host
sim_insts 5792 # Number of instructions simulated
sim_ops 5792 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -200,12 +200,12 @@ system.physmem.bytesPerActivate::768-895 1 1.27% 82.28% # By
system.physmem.bytesPerActivate::896-1023 3 3.80% 86.08% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 11 13.92% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 79 # Bytes accessed per row activation
-system.physmem.totQLat 3609000 # Total ticks spent queuing
-system.physmem.totMemAccLat 11934000 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 3635500 # Total ticks spent queuing
+system.physmem.totMemAccLat 11960500 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 2220000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 8128.38 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 8188.06 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 26878.38 # Average memory access latency per DRAM burst
+system.physmem.avgMemAccLat 26938.06 # Average memory access latency per DRAM burst
system.physmem.avgRdBW 1506.88 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
system.physmem.avgRdBWSys 1506.88 # Average system read bandwidth in MiByte/s
@@ -227,17 +227,25 @@ system.physmem.memoryStateTime::REF 520000 # Ti
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
system.physmem.memoryStateTime::ACT 15315250 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 1506880552 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 397 # Transaction distribution
system.membus.trans_dist::ReadResp 397 # Transaction distribution
system.membus.trans_dist::ReadExReq 47 # Transaction distribution
system.membus.trans_dist::ReadExResp 47 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 888 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 888 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 28416 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 28416 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 28416 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 28416 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 28416 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 444 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 444 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 444 # Request fanout histogram
system.membus.reqLayer0.occupancy 555500 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 2.9 # Layer utilization (%)
system.membus.respLayer1.occupancy 4160750 # Layer occupancy (ticks)
@@ -563,7 +571,6 @@ system.cpu.int_regfile_reads 13743 # nu
system.cpu.int_regfile_writes 7176 # number of integer regfile writes
system.cpu.fp_regfile_reads 25 # number of floating regfile reads
system.cpu.fp_regfile_writes 2 # number of floating regfile writes
-system.cpu.toL2Bus.throughput 1530637677 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 405 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 404 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 47 # Transaction distribution
@@ -571,11 +578,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 47 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 699 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 204 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 903 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 22336 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 6528 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 28864 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 28864 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 22336 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 6528 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 28864 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 452 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 452 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 452 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 226000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 1.2 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 584250 # Layer occupancy (ticks)
diff --git a/tests/quick/se/00.hello/ref/power/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/power/linux/simple-atomic/stats.txt
index bcfd2d5d0..080dd7c2e 100644
--- a/tests/quick/se/00.hello/ref/power/linux/simple-atomic/stats.txt
+++ b/tests/quick/se/00.hello/ref/power/linux/simple-atomic/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000003 # Nu
sim_ticks 2896000 # Number of ticks simulated
final_tick 2896000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 1148266 # Simulator instruction rate (inst/s)
-host_op_rate 1144862 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 570752858 # Simulator tick rate (ticks/s)
-host_mem_usage 250716 # Number of bytes of host memory used
-host_seconds 0.01 # Real time elapsed on the host
+host_inst_rate 1326844 # Simulator instruction rate (inst/s)
+host_op_rate 1322603 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 659230594 # Simulator tick rate (ticks/s)
+host_mem_usage 274036 # Number of bytes of host memory used
+host_seconds 0.00 # Real time elapsed on the host
sim_insts 5793 # Number of instructions simulated
sim_ops 5793 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -35,9 +35,27 @@ system.physmem.bw_write::total 1453383978 # Wr
system.physmem.bw_total::cpu.inst 8001381215 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 2737914365 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 10739295580 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 10739295580 # Throughput (bytes/s)
-system.membus.data_through_bus 31101 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.trans_dist::ReadReq 6754 # Transaction distribution
+system.membus.trans_dist::ReadResp 6754 # Transaction distribution
+system.membus.trans_dist::WriteReq 1046 # Transaction distribution
+system.membus.trans_dist::WriteResp 1046 # Transaction distribution
+system.membus.pkt_count_system.cpu.icache_port::system.physmem.port 11586 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.dcache_port::system.physmem.port 4014 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 15600 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.icache_port::system.physmem.port 23172 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.dcache_port::system.physmem.port 7929 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 31101 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 7800 # Request fanout histogram
+system.membus.snoop_fanout::mean 0.742692 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0.437178 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 2007 25.73% 25.73% # Request fanout histogram
+system.membus.snoop_fanout::1 5793 74.27% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 7800 # Request fanout histogram
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.dtb.read_hits 0 # DTB read hits
system.cpu.dtb.read_misses 0 # DTB read misses
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/stats.txt b/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/stats.txt
index 90109d140..8a50d5754 100644
--- a/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/sparc/linux/inorder-timing/stats.txt
@@ -1,14 +1,14 @@
---------- Begin Simulation Statistics ----------
sim_seconds 0.000021 # Number of seconds simulated
-sim_ticks 20918500 # Number of ticks simulated
-final_tick 20918500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_ticks 20927500 # Number of ticks simulated
+final_tick 20927500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 69876 # Simulator instruction rate (inst/s)
-host_op_rate 69862 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 274294219 # Simulator tick rate (ticks/s)
-host_mem_usage 270808 # Number of bytes of host memory used
-host_seconds 0.08 # Real time elapsed on the host
+host_inst_rate 84066 # Simulator instruction rate (inst/s)
+host_op_rate 84047 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 330123200 # Simulator tick rate (ticks/s)
+host_mem_usage 286520 # Number of bytes of host memory used
+host_seconds 0.06 # Real time elapsed on the host
sim_insts 5327 # Number of instructions simulated
sim_ops 5327 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -21,14 +21,14 @@ system.physmem.bytes_inst_read::total 18496 # Nu
system.physmem.num_reads::cpu.inst 289 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu.data 134 # Number of read requests responded to by this memory
system.physmem.num_reads::total 423 # Number of read requests responded to by this memory
-system.physmem.bw_read::cpu.inst 884193417 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 409972034 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 1294165452 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 884193417 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 884193417 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 884193417 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 409972034 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 1294165452 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_read::cpu.inst 883813164 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.data 409795723 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 1293608888 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu.inst 883813164 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 883813164 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total::cpu.inst 883813164 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.data 409795723 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 1293608888 # Total bandwidth to/from this memory (bytes/s)
system.physmem.readReqs 423 # Number of read requests accepted
system.physmem.writeReqs 0 # Number of write requests accepted
system.physmem.readBursts 423 # Number of DRAM read bursts, including those serviced by the write queue
@@ -75,7 +75,7 @@ system.physmem.perBankWrBursts::14 0 # Pe
system.physmem.perBankWrBursts::15 0 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 20849000 # Total gap between requests
+system.physmem.totGap 20858000 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 0 # Read request sizes (log2)
@@ -198,15 +198,15 @@ system.physmem.bytesPerActivate::512-639 8 10.81% 87.84% # By
system.physmem.bytesPerActivate::640-767 4 5.41% 93.24% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 5 6.76% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 74 # Bytes accessed per row activation
-system.physmem.totQLat 3773250 # Total ticks spent queuing
-system.physmem.totMemAccLat 11704500 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 3885750 # Total ticks spent queuing
+system.physmem.totMemAccLat 11817000 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 2115000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 8920.21 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 9186.17 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 27670.21 # Average memory access latency per DRAM burst
-system.physmem.avgRdBW 1294.17 # Average DRAM read bandwidth in MiByte/s
+system.physmem.avgMemAccLat 27936.17 # Average memory access latency per DRAM burst
+system.physmem.avgRdBW 1293.61 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 1294.17 # Average system read bandwidth in MiByte/s
+system.physmem.avgRdBWSys 1293.61 # Average system read bandwidth in MiByte/s
system.physmem.avgWrBWSys 0.00 # Average system write bandwidth in MiByte/s
system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
system.physmem.busUtil 10.11 # Data bus utilization in percentage
@@ -218,24 +218,32 @@ system.physmem.readRowHits 339 # Nu
system.physmem.writeRowHits 0 # Number of row buffer hits during writes
system.physmem.readRowHitRate 80.14 # Row buffer hit rate for reads
system.physmem.writeRowHitRate nan # Row buffer hit rate for writes
-system.physmem.avgGap 49288.42 # Average gap between requests
+system.physmem.avgGap 49309.69 # Average gap between requests
system.physmem.pageHitRate 80.14 # Row buffer hit rate, read and write combined
system.physmem.memoryStateTime::IDLE 13500 # Time in different power states
system.physmem.memoryStateTime::REF 520000 # Time in different power states
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
system.physmem.memoryStateTime::ACT 15312750 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 1294165452 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 342 # Transaction distribution
system.membus.trans_dist::ReadResp 342 # Transaction distribution
system.membus.trans_dist::ReadExReq 81 # Transaction distribution
system.membus.trans_dist::ReadExResp 81 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 846 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 846 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 27072 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 27072 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 27072 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 27072 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 27072 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 423 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 423 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 423 # Request fanout histogram
system.membus.reqLayer0.occupancy 501500 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 2.4 # Layer utilization (%)
system.membus.respLayer1.occupancy 3931250 # Layer occupancy (ticks)
@@ -251,7 +259,7 @@ system.cpu.branchPred.BTBHitPct 43.484736 # BT
system.cpu.branchPred.usedRAS 67 # Number of times the RAS was used to get a target.
system.cpu.branchPred.RASInCorrect 4 # Number of incorrect RAS predictions.
system.cpu.workload.num_syscalls 11 # Number of system calls
-system.cpu.numCycles 41838 # number of cpu cycles simulated
+system.cpu.numCycles 41856 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
system.cpu.branch_predictor.predictedTaken 651 # Number of Branches Predicted As Taken (True).
@@ -273,12 +281,12 @@ system.cpu.execution_unit.executions 3957 # Nu
system.cpu.mult_div_unit.multiplies 0 # Number of Multipy Operations Executed
system.cpu.mult_div_unit.divides 0 # Number of Divide Operations Executed
system.cpu.contextSwitches 1 # Number of context switches
-system.cpu.threadCycles 9657 # Total Number of Cycles A Thread Was Active in CPU (Per-Thread)
+system.cpu.threadCycles 9651 # Total Number of Cycles A Thread Was Active in CPU (Per-Thread)
system.cpu.smtCycles 0 # Total number of cycles that the CPU was in SMT-mode
-system.cpu.timesIdled 422 # Number of times that the entire CPU went into an idle state and unscheduled itself
-system.cpu.idleCycles 35590 # Number of cycles cpu's stages were not processed
-system.cpu.runCycles 6248 # Number of cycles cpu stages are processed.
-system.cpu.activity 14.933792 # Percentage of cycles cpu is active
+system.cpu.timesIdled 424 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 35611 # Number of cycles cpu's stages were not processed
+system.cpu.runCycles 6245 # Number of cycles cpu stages are processed.
+system.cpu.activity 14.920203 # Percentage of cycles cpu is active
system.cpu.comLoads 715 # Number of Load instructions committed
system.cpu.comStores 673 # Number of Store instructions committed
system.cpu.comBranches 1115 # Number of Branches instructions committed
@@ -290,36 +298,36 @@ system.cpu.committedInsts 5327 # Nu
system.cpu.committedOps 5327 # Number of Ops committed (Per-Thread)
system.cpu.smtCommittedInsts 0 # Number of SMT Instructions committed (Per-Thread)
system.cpu.committedInsts_total 5327 # Number of Instructions committed (Total)
-system.cpu.cpi 7.853952 # CPI: Cycles Per Instruction (Per-Thread)
+system.cpu.cpi 7.857331 # CPI: Cycles Per Instruction (Per-Thread)
system.cpu.smt_cpi nan # CPI: Total SMT-CPI
-system.cpu.cpi_total 7.853952 # CPI: Total CPI of All Threads
-system.cpu.ipc 0.127324 # IPC: Instructions Per Cycle (Per-Thread)
+system.cpu.cpi_total 7.857331 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.127270 # IPC: Instructions Per Cycle (Per-Thread)
system.cpu.smt_ipc nan # IPC: Total SMT-IPC
-system.cpu.ipc_total 0.127324 # IPC: Total IPC of All Threads
-system.cpu.stage0.idleCycles 37198 # Number of cycles 0 instructions are processed.
+system.cpu.ipc_total 0.127270 # IPC: Total IPC of All Threads
+system.cpu.stage0.idleCycles 37216 # Number of cycles 0 instructions are processed.
system.cpu.stage0.runCycles 4640 # Number of cycles 1+ instructions are processed.
-system.cpu.stage0.utilization 11.090396 # Percentage of cycles stage was utilized (processing insts).
-system.cpu.stage1.idleCycles 38644 # Number of cycles 0 instructions are processed.
-system.cpu.stage1.runCycles 3194 # Number of cycles 1+ instructions are processed.
-system.cpu.stage1.utilization 7.634208 # Percentage of cycles stage was utilized (processing insts).
-system.cpu.stage2.idleCycles 38804 # Number of cycles 0 instructions are processed.
-system.cpu.stage2.runCycles 3034 # Number of cycles 1+ instructions are processed.
-system.cpu.stage2.utilization 7.251781 # Percentage of cycles stage was utilized (processing insts).
-system.cpu.stage3.idleCycles 40862 # Number of cycles 0 instructions are processed.
-system.cpu.stage3.runCycles 976 # Number of cycles 1+ instructions are processed.
-system.cpu.stage3.utilization 2.332807 # Percentage of cycles stage was utilized (processing insts).
-system.cpu.stage4.idleCycles 38681 # Number of cycles 0 instructions are processed.
+system.cpu.stage0.utilization 11.085627 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage1.idleCycles 38661 # Number of cycles 0 instructions are processed.
+system.cpu.stage1.runCycles 3195 # Number of cycles 1+ instructions are processed.
+system.cpu.stage1.utilization 7.633314 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage2.idleCycles 38823 # Number of cycles 0 instructions are processed.
+system.cpu.stage2.runCycles 3033 # Number of cycles 1+ instructions are processed.
+system.cpu.stage2.utilization 7.246273 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage3.idleCycles 40881 # Number of cycles 0 instructions are processed.
+system.cpu.stage3.runCycles 975 # Number of cycles 1+ instructions are processed.
+system.cpu.stage3.utilization 2.329415 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage4.idleCycles 38699 # Number of cycles 0 instructions are processed.
system.cpu.stage4.runCycles 3157 # Number of cycles 1+ instructions are processed.
-system.cpu.stage4.utilization 7.545772 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage4.utilization 7.542527 # Percentage of cycles stage was utilized (processing insts).
system.cpu.icache.tags.replacements 0 # number of replacements
-system.cpu.icache.tags.tagsinuse 142.676310 # Cycle average of tags in use
+system.cpu.icache.tags.tagsinuse 142.708262 # Cycle average of tags in use
system.cpu.icache.tags.total_refs 892 # Total number of references to valid blocks.
system.cpu.icache.tags.sampled_refs 291 # Sample count of references to valid blocks.
system.cpu.icache.tags.avg_refs 3.065292 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 142.676310 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.069666 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.069666 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_blocks::cpu.inst 142.708262 # Average occupied blocks per requestor
+system.cpu.icache.tags.occ_percent::cpu.inst 0.069682 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_percent::total 0.069682 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 291 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::0 144 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::1 147 # Occupied blocks per task id
@@ -338,12 +346,12 @@ system.cpu.icache.demand_misses::cpu.inst 366 # n
system.cpu.icache.demand_misses::total 366 # number of demand (read+write) misses
system.cpu.icache.overall_misses::cpu.inst 366 # number of overall misses
system.cpu.icache.overall_misses::total 366 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 25425250 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 25425250 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 25425250 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 25425250 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 25425250 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 25425250 # number of overall miss cycles
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 25412000 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 25412000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 25412000 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 25412000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 25412000 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 25412000 # number of overall miss cycles
system.cpu.icache.ReadReq_accesses::cpu.inst 1258 # number of ReadReq accesses(hits+misses)
system.cpu.icache.ReadReq_accesses::total 1258 # number of ReadReq accesses(hits+misses)
system.cpu.icache.demand_accesses::cpu.inst 1258 # number of demand (read+write) accesses
@@ -356,12 +364,12 @@ system.cpu.icache.demand_miss_rate::cpu.inst 0.290938
system.cpu.icache.demand_miss_rate::total 0.290938 # miss rate for demand accesses
system.cpu.icache.overall_miss_rate::cpu.inst 0.290938 # miss rate for overall accesses
system.cpu.icache.overall_miss_rate::total 0.290938 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 69467.896175 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 69467.896175 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 69467.896175 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 69467.896175 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 69467.896175 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 69467.896175 # average overall miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 69431.693989 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 69431.693989 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 69431.693989 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 69431.693989 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 69431.693989 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 69431.693989 # average overall miss latency
system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -382,26 +390,25 @@ system.cpu.icache.demand_mshr_misses::cpu.inst 291
system.cpu.icache.demand_mshr_misses::total 291 # number of demand (read+write) MSHR misses
system.cpu.icache.overall_mshr_misses::cpu.inst 291 # number of overall MSHR misses
system.cpu.icache.overall_mshr_misses::total 291 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 20653250 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 20653250 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 20653250 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 20653250 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 20653250 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 20653250 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 20639500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 20639500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 20639500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 20639500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 20639500 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 20639500 # number of overall MSHR miss cycles
system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.231320 # mshr miss rate for ReadReq accesses
system.cpu.icache.ReadReq_mshr_miss_rate::total 0.231320 # mshr miss rate for ReadReq accesses
system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.231320 # mshr miss rate for demand accesses
system.cpu.icache.demand_mshr_miss_rate::total 0.231320 # mshr miss rate for demand accesses
system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.231320 # mshr miss rate for overall accesses
system.cpu.icache.overall_mshr_miss_rate::total 0.231320 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 70973.367698 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 70973.367698 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 70973.367698 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 70973.367698 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 70973.367698 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 70973.367698 # average overall mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 70926.116838 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 70926.116838 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 70926.116838 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 70926.116838 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 70926.116838 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 70926.116838 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 1303343930 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 345 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 345 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 81 # Transaction distribution
@@ -409,31 +416,41 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 81 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 582 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 270 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 852 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 18624 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8640 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 27264 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 27264 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 18624 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8640 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 27264 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 426 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 426 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 426 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 213000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 1.0 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer0.occupancy 486250 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer0.occupancy 486500 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer0.utilization 2.3 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer1.occupancy 217000 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer1.occupancy 216750 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 1.0 # Layer utilization (%)
system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 169.122448 # Cycle average of tags in use
+system.cpu.l2cache.tags.tagsinuse 169.161112 # Cycle average of tags in use
system.cpu.l2cache.tags.total_refs 3 # Total number of references to valid blocks.
system.cpu.l2cache.tags.sampled_refs 342 # Sample count of references to valid blocks.
system.cpu.l2cache.tags.avg_refs 0.008772 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 142.106217 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 27.016231 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.004337 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.000824 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.005161 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 142.138007 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 27.023105 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::cpu.inst 0.004338 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::cpu.data 0.000825 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::total 0.005162 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_task_id_blocks::1024 342 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 172 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 170 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::0 171 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::1 171 # Occupied blocks per task id
system.cpu.l2cache.tags.occ_task_id_percent::1024 0.010437 # Percentage of cache occupancy per task id
system.cpu.l2cache.tags.tag_accesses 3831 # Number of tag accesses
system.cpu.l2cache.tags.data_accesses 3831 # Number of data accesses
@@ -457,17 +474,17 @@ system.cpu.l2cache.demand_misses::total 423 # nu
system.cpu.l2cache.overall_misses::cpu.inst 289 # number of overall misses
system.cpu.l2cache.overall_misses::cpu.data 134 # number of overall misses
system.cpu.l2cache.overall_misses::total 423 # number of overall misses
-system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 20334750 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::cpu.data 4016000 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::total 24350750 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 5999500 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 5999500 # number of ReadExReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 20334750 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 10015500 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 30350250 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 20334750 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 10015500 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 30350250 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 20321000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.data 4025000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::total 24346000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 6008250 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 6008250 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 20321000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 10033250 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 30354250 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 20321000 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 10033250 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 30354250 # number of overall miss cycles
system.cpu.l2cache.ReadReq_accesses::cpu.inst 291 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::cpu.data 54 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::total 345 # number of ReadReq accesses(hits+misses)
@@ -490,17 +507,17 @@ system.cpu.l2cache.demand_miss_rate::total 0.992958 #
system.cpu.l2cache.overall_miss_rate::cpu.inst 0.993127 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::cpu.data 0.992593 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::total 0.992958 # miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 70362.456747 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 75773.584906 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::total 71201.023392 # average ReadReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 74067.901235 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 74067.901235 # average ReadExReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 70362.456747 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 74742.537313 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 71750 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 70362.456747 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 74742.537313 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 71750 # average overall miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 70314.878893 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 75943.396226 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::total 71187.134503 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 74175.925926 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 74175.925926 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 70314.878893 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 74875 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 71759.456265 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 70314.878893 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 74875 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 71759.456265 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -520,17 +537,17 @@ system.cpu.l2cache.demand_mshr_misses::total 423
system.cpu.l2cache.overall_mshr_misses::cpu.inst 289 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.data 134 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::total 423 # number of overall MSHR misses
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 16720750 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 3361000 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::total 20081750 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 5004000 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 5004000 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 16720750 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 8365000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 25085750 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 16720750 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 8365000 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 25085750 # number of overall MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 16706500 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 3370000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::total 20076500 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 5013250 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 5013250 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 16706500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 8383250 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 25089750 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 16706500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 8383250 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 25089750 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.993127 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 0.981481 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.991304 # mshr miss rate for ReadReq accesses
@@ -542,27 +559,27 @@ system.cpu.l2cache.demand_mshr_miss_rate::total 0.992958
system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.993127 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 0.992593 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::total 0.992958 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 57857.266436 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 63415.094340 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 58718.567251 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 61777.777778 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 61777.777778 # average ReadExReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 57857.266436 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 62425.373134 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 59304.373522 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 57857.266436 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 62425.373134 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 59304.373522 # average overall mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 57807.958478 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 63584.905660 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 58703.216374 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 61891.975309 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 61891.975309 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 57807.958478 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 62561.567164 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 59313.829787 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 57807.958478 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 62561.567164 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 59313.829787 # average overall mshr miss latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 85.354091 # Cycle average of tags in use
+system.cpu.dcache.tags.tagsinuse 85.369863 # Cycle average of tags in use
system.cpu.dcache.tags.total_refs 914 # Total number of references to valid blocks.
system.cpu.dcache.tags.sampled_refs 135 # Sample count of references to valid blocks.
system.cpu.dcache.tags.avg_refs 6.770370 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 85.354091 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.data 0.020838 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.020838 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_blocks::cpu.data 85.369863 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_percent::cpu.data 0.020842 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_percent::total 0.020842 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 135 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::0 38 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::1 97 # Occupied blocks per task id
@@ -585,14 +602,14 @@ system.cpu.dcache.demand_misses::cpu.data 474 # n
system.cpu.dcache.demand_misses::total 474 # number of demand (read+write) misses
system.cpu.dcache.overall_misses::cpu.data 474 # number of overall misses
system.cpu.dcache.overall_misses::total 474 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 4579750 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 4579750 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 28882250 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 28882250 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 33462000 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 33462000 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 33462000 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 33462000 # number of overall miss cycles
+system.cpu.dcache.ReadReq_miss_latency::cpu.data 4588750 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 4588750 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.data 28972250 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 28972250 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 33561000 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 33561000 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 33561000 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 33561000 # number of overall miss cycles
system.cpu.dcache.ReadReq_accesses::cpu.data 715 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.ReadReq_accesses::total 715 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.data 673 # number of WriteReq accesses(hits+misses)
@@ -609,14 +626,14 @@ system.cpu.dcache.demand_miss_rate::cpu.data 0.341499
system.cpu.dcache.demand_miss_rate::total 0.341499 # miss rate for demand accesses
system.cpu.dcache.overall_miss_rate::cpu.data 0.341499 # miss rate for overall accesses
system.cpu.dcache.overall_miss_rate::total 0.341499 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 75077.868852 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 75077.868852 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 69932.808717 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 69932.808717 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 70594.936709 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 70594.936709 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 70594.936709 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 70594.936709 # average overall miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 75225.409836 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 75225.409836 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 70150.726392 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 70150.726392 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 70803.797468 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 70803.797468 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 70803.797468 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 70803.797468 # average overall miss latency
system.cpu.dcache.blocked_cycles::no_mshrs 818 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 31 # number of cycles access was blocked
@@ -641,14 +658,14 @@ system.cpu.dcache.demand_mshr_misses::cpu.data 135
system.cpu.dcache.demand_mshr_misses::total 135 # number of demand (read+write) MSHR misses
system.cpu.dcache.overall_mshr_misses::cpu.data 135 # number of overall MSHR misses
system.cpu.dcache.overall_mshr_misses::total 135 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 4082500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 4082500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 6083000 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 6083000 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 10165500 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 10165500 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 10165500 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 10165500 # number of overall MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 4091500 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 4091500 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 6091750 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 6091750 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 10183250 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 10183250 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 10183250 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 10183250 # number of overall MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.075524 # mshr miss rate for ReadReq accesses
system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.075524 # mshr miss rate for ReadReq accesses
system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.120357 # mshr miss rate for WriteReq accesses
@@ -657,14 +674,14 @@ system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.097262
system.cpu.dcache.demand_mshr_miss_rate::total 0.097262 # mshr miss rate for demand accesses
system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.097262 # mshr miss rate for overall accesses
system.cpu.dcache.overall_mshr_miss_rate::total 0.097262 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 75601.851852 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 75601.851852 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 75098.765432 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 75098.765432 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 75300 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 75300 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 75300 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 75300 # average overall mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 75768.518519 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 75768.518519 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 75206.790123 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 75206.790123 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 75431.481481 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 75431.481481 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 75431.481481 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 75431.481481 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/stats.txt
index 0e41891dc..e454f5068 100644
--- a/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/stats.txt
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-atomic/stats.txt
@@ -4,10 +4,10 @@ sim_seconds 0.000003 # Nu
sim_ticks 2694500 # Number of ticks simulated
final_tick 2694500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 1015247 # Simulator instruction rate (inst/s)
-host_op_rate 1012545 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 510902541 # Simulator tick rate (ticks/s)
-host_mem_usage 261064 # Number of bytes of host memory used
+host_inst_rate 399685 # Simulator instruction rate (inst/s)
+host_op_rate 399265 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 201759641 # Simulator tick rate (ticks/s)
+host_mem_usage 276260 # Number of bytes of host memory used
host_seconds 0.01 # Real time elapsed on the host
sim_insts 5327 # Number of instructions simulated
sim_ops 5327 # Number of ops (including micro ops) simulated
@@ -35,9 +35,27 @@ system.physmem.bw_write::total 1879755057 # Wr
system.physmem.bw_total::cpu.inst 7971794396 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 3587678605 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 11559473001 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 11559473001 # Throughput (bytes/s)
-system.membus.data_through_bus 31147 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.trans_dist::ReadReq 6085 # Transaction distribution
+system.membus.trans_dist::ReadResp 6085 # Transaction distribution
+system.membus.trans_dist::WriteReq 673 # Transaction distribution
+system.membus.trans_dist::WriteResp 673 # Transaction distribution
+system.membus.pkt_count_system.cpu.icache_port::system.physmem.port 10740 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.dcache_port::system.physmem.port 2776 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 13516 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.icache_port::system.physmem.port 21480 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.dcache_port::system.physmem.port 9667 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 31147 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 6758 # Request fanout histogram
+system.membus.snoop_fanout::mean 0.794614 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0.404013 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 1388 20.54% 20.54% # Request fanout histogram
+system.membus.snoop_fanout::1 5370 79.46% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 6758 # Request fanout histogram
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.workload.num_syscalls 11 # Number of system calls
system.cpu.numCycles 5390 # number of cpu cycles simulated
diff --git a/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/stats.txt
index f251b736b..706af6d1d 100644
--- a/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/sparc/linux/simple-timing/stats.txt
@@ -4,10 +4,10 @@ sim_seconds 0.000028 # Nu
sim_ticks 27800000 # Number of ticks simulated
final_tick 27800000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 487107 # Simulator instruction rate (inst/s)
-host_op_rate 486440 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 2535570960 # Simulator tick rate (ticks/s)
-host_mem_usage 269788 # Number of bytes of host memory used
+host_inst_rate 583909 # Simulator instruction rate (inst/s)
+host_op_rate 583078 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 3038583452 # Simulator tick rate (ticks/s)
+host_mem_usage 285748 # Number of bytes of host memory used
host_seconds 0.01 # Real time elapsed on the host
sim_insts 5327 # Number of instructions simulated
sim_ops 5327 # Number of ops (including micro ops) simulated
@@ -29,17 +29,25 @@ system.physmem.bw_inst_read::total 587050360 # In
system.physmem.bw_total::cpu.inst 587050360 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 308489209 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 895539568 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 895539568 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 308 # Transaction distribution
system.membus.trans_dist::ReadResp 308 # Transaction distribution
system.membus.trans_dist::ReadExReq 81 # Transaction distribution
system.membus.trans_dist::ReadExResp 81 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 778 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 778 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 24896 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 24896 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 24896 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 24896 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 24896 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 389 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 389 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 389 # Request fanout histogram
system.membus.reqLayer0.occupancy 389000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 1.4 # Layer utilization (%)
system.membus.respLayer1.occupancy 3501000 # Layer occupancy (ticks)
@@ -426,7 +434,6 @@ system.cpu.dcache.demand_avg_mshr_miss_latency::total 52688.888889
system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 52688.888889 # average overall mshr miss latency
system.cpu.dcache.overall_avg_mshr_miss_latency::total 52688.888889 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 902446043 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 311 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 311 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 81 # Transaction distribution
@@ -434,11 +441,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 81 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 514 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 270 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 784 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 16448 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8640 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 25088 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 25088 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 16448 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8640 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 25088 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 392 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 392 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 392 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 196000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.7 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 385500 # Layer occupancy (ticks)
diff --git a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt
index f7173c445..0db4f4424 100644
--- a/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/x86/linux/o3-timing/stats.txt
@@ -1,14 +1,14 @@
---------- Begin Simulation Statistics ----------
sim_seconds 0.000020 # Number of seconds simulated
-sim_ticks 19744000 # Number of ticks simulated
-final_tick 19744000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_ticks 19678000 # Number of ticks simulated
+final_tick 19678000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 27433 # Simulator instruction rate (inst/s)
-host_op_rate 49695 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 100653274 # Simulator tick rate (ticks/s)
-host_mem_usage 249652 # Number of bytes of host memory used
-host_seconds 0.20 # Real time elapsed on the host
+host_inst_rate 48979 # Simulator instruction rate (inst/s)
+host_op_rate 88725 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 179100946 # Simulator tick rate (ticks/s)
+host_mem_usage 305852 # Number of bytes of host memory used
+host_seconds 0.11 # Real time elapsed on the host
sim_insts 5380 # Number of instructions simulated
sim_ops 9747 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -21,14 +21,14 @@ system.physmem.bytes_inst_read::total 17600 # Nu
system.physmem.num_reads::cpu.inst 275 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu.data 141 # Number of read requests responded to by this memory
system.physmem.num_reads::total 416 # Number of read requests responded to by this memory
-system.physmem.bw_read::cpu.inst 891410049 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 457050243 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 1348460292 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 891410049 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 891410049 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 891410049 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 457050243 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 1348460292 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_read::cpu.inst 894399837 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.data 458583189 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 1352983027 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu.inst 894399837 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 894399837 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total::cpu.inst 894399837 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.data 458583189 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 1352983027 # Total bandwidth to/from this memory (bytes/s)
system.physmem.readReqs 417 # Number of read requests accepted
system.physmem.writeReqs 0 # Number of write requests accepted
system.physmem.readBursts 417 # Number of DRAM read bursts, including those serviced by the write queue
@@ -75,7 +75,7 @@ system.physmem.perBankWrBursts::14 0 # Pe
system.physmem.perBankWrBursts::15 0 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 19695500 # Total gap between requests
+system.physmem.totGap 19629500 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 0 # Read request sizes (log2)
@@ -90,9 +90,9 @@ system.physmem.writePktSize::3 0 # Wr
system.physmem.writePktSize::4 0 # Write request sizes (log2)
system.physmem.writePktSize::5 0 # Write request sizes (log2)
system.physmem.writePktSize::6 0 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 243 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 127 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::2 37 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::0 241 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::1 128 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::2 38 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::3 7 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::4 3 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::5 0 # What read queue length does an incoming req see
@@ -188,44 +188,43 @@ system.physmem.wrQLenPdf::62 0 # Wh
system.physmem.wrQLenPdf::63 0 # What write queue length does an incoming req see
system.physmem.bytesPerActivate::samples 98 # Bytes accessed per row activation
system.physmem.bytesPerActivate::mean 242.285714 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 159.132678 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 257.193096 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 35 35.71% 35.71% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 32 32.65% 68.37% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::256-383 12 12.24% 80.61% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::gmean 158.475642 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::stdev 257.521253 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::0-127 36 36.73% 36.73% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::128-255 30 30.61% 67.35% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::256-383 13 13.27% 80.61% # Bytes accessed per row activation
system.physmem.bytesPerActivate::384-511 6 6.12% 86.73% # Bytes accessed per row activation
system.physmem.bytesPerActivate::640-767 6 6.12% 92.86% # Bytes accessed per row activation
system.physmem.bytesPerActivate::896-1023 4 4.08% 96.94% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 3 3.06% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 98 # Bytes accessed per row activation
-system.physmem.totQLat 4076000 # Total ticks spent queuing
-system.physmem.totMemAccLat 11894750 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 4347000 # Total ticks spent queuing
+system.physmem.totMemAccLat 12165750 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 2085000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 9774.58 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 10424.46 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 28524.58 # Average memory access latency per DRAM burst
-system.physmem.avgRdBW 1351.70 # Average DRAM read bandwidth in MiByte/s
+system.physmem.avgMemAccLat 29174.46 # Average memory access latency per DRAM burst
+system.physmem.avgRdBW 1356.24 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 1351.70 # Average system read bandwidth in MiByte/s
+system.physmem.avgRdBWSys 1356.24 # Average system read bandwidth in MiByte/s
system.physmem.avgWrBWSys 0.00 # Average system write bandwidth in MiByte/s
system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.physmem.busUtil 10.56 # Data bus utilization in percentage
-system.physmem.busUtilRead 10.56 # Data bus utilization in percentage for reads
+system.physmem.busUtil 10.60 # Data bus utilization in percentage
+system.physmem.busUtilRead 10.60 # Data bus utilization in percentage for reads
system.physmem.busUtilWrite 0.00 # Data bus utilization in percentage for writes
-system.physmem.avgRdQLen 1.64 # Average read queue length when enqueuing
+system.physmem.avgRdQLen 1.66 # Average read queue length when enqueuing
system.physmem.avgWrQLen 0.00 # Average write queue length when enqueuing
system.physmem.readRowHits 309 # Number of row buffer hits during reads
system.physmem.writeRowHits 0 # Number of row buffer hits during writes
system.physmem.readRowHitRate 74.10 # Row buffer hit rate for reads
system.physmem.writeRowHitRate nan # Row buffer hit rate for writes
-system.physmem.avgGap 47231.41 # Average gap between requests
+system.physmem.avgGap 47073.14 # Average gap between requests
system.physmem.pageHitRate 74.10 # Row buffer hit rate, read and write combined
system.physmem.memoryStateTime::IDLE 11000 # Time in different power states
system.physmem.memoryStateTime::REF 520000 # Time in different power states
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem.memoryStateTime::ACT 15315250 # Time in different power states
+system.physmem.memoryStateTime::ACT 15318250 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 1348460292 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 339 # Transaction distribution
system.membus.trans_dist::ReadResp 338 # Transaction distribution
system.membus.trans_dist::ReadExReq 78 # Transaction distribution
@@ -233,15 +232,24 @@ system.membus.trans_dist::ReadExResp 78 # Tr
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 833 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.cpu.l2cache.mem_side::total 833 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 833 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 26624 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::total 26624 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 26624 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 26624 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 26624 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::total 26624 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 26624 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 417 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 417 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 417 # Request fanout histogram
system.membus.reqLayer0.occupancy 505000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 2.6 # Layer utilization (%)
system.membus.respLayer1.occupancy 3897000 # Layer occupancy (ticks)
-system.membus.respLayer1.utilization 19.7 # Layer utilization (%)
+system.membus.respLayer1.utilization 19.8 # Layer utilization (%)
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.branchPred.lookups 3423 # Number of BP lookups
system.cpu.branchPred.condPredicted 3423 # Number of conditional branches predicted
@@ -254,7 +262,7 @@ system.cpu.branchPred.usedRAS 247 # Nu
system.cpu.branchPred.RASInCorrect 76 # Number of incorrect RAS predictions.
system.cpu.apic_clk_domain.clock 8000 # Clock period in ticks
system.cpu.workload.num_syscalls 11 # Number of system calls
-system.cpu.numCycles 39489 # number of cpu cycles simulated
+system.cpu.numCycles 39357 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
system.cpu.fetch.icacheStallCycles 10915 # Number of cycles fetch is stalled on an Icache miss
@@ -285,8 +293,8 @@ system.cpu.fetch.rateDist::overflows 0 0.00% 100.00% # Nu
system.cpu.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::total 21893 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.branchRate 0.086682 # Number of branch fetches per cycle
-system.cpu.fetch.rate 0.393223 # Number of inst fetches per cycle
+system.cpu.fetch.branchRate 0.086973 # Number of branch fetches per cycle
+system.cpu.fetch.rate 0.394542 # Number of inst fetches per cycle
system.cpu.decode.IdleCycles 10660 # Number of cycles decode is idle
system.cpu.decode.BlockedCycles 6840 # Number of cycles decode is blocked
system.cpu.decode.RunCycles 3336 # Number of cycles decode is running
@@ -409,7 +417,7 @@ system.cpu.iq.FU_type_0::MemWrite 1379 7.71% 100.00% # Ty
system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
system.cpu.iq.FU_type_0::total 17897 # Type of FU issued
-system.cpu.iq.rate 0.453215 # Inst issue rate
+system.cpu.iq.rate 0.454735 # Inst issue rate
system.cpu.iq.fu_busy_cnt 224 # FU busy when requested
system.cpu.iq.fu_busy_rate 0.012516 # FU busy rate (busy events/executed inst)
system.cpu.iq.int_inst_queue_reads 57983 # Number of integer instruction queue reads
@@ -453,13 +461,13 @@ system.cpu.iew.exec_nop 0 # nu
system.cpu.iew.exec_refs 3251 # number of memory reference insts executed
system.cpu.iew.exec_branches 1662 # Number of branches executed
system.cpu.iew.exec_stores 1282 # Number of stores executed
-system.cpu.iew.exec_rate 0.428626 # Inst execution rate
+system.cpu.iew.exec_rate 0.430063 # Inst execution rate
system.cpu.iew.wb_sent 16636 # cumulative count of insts sent to commit
system.cpu.iew.wb_count 16374 # cumulative count of insts written-back
system.cpu.iew.wb_producers 11006 # num instructions producing a value
system.cpu.iew.wb_consumers 17135 # num instructions consuming a value
system.cpu.iew.wb_penalized 0 # number of instrctions required to write to 'other' IQ
-system.cpu.iew.wb_rate 0.414647 # insts written-back per cycle
+system.cpu.iew.wb_rate 0.416038 # insts written-back per cycle
system.cpu.iew.wb_fanout 0.642311 # average fanout of values written-back
system.cpu.iew.wb_penalized_rate 0 # fraction of instructions written-back that wrote to 'other' IQ
system.cpu.commit.commitSquashedInsts 11720 # The number of squashed insts skipped by commit
@@ -532,13 +540,13 @@ system.cpu.commit.bw_limited 0 # nu
system.cpu.rob.rob_reads 41132 # The number of ROB reads
system.cpu.rob.rob_writes 44928 # The number of ROB writes
system.cpu.timesIdled 158 # Number of times that the entire CPU went into an idle state and unscheduled itself
-system.cpu.idleCycles 17596 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu.idleCycles 17464 # Total number of cycles that the CPU has spent unscheduled due to idling
system.cpu.committedInsts 5380 # Number of Instructions Simulated
system.cpu.committedOps 9747 # Number of Ops (including micro ops) Simulated
-system.cpu.cpi 7.339963 # CPI: Cycles Per Instruction
-system.cpu.cpi_total 7.339963 # CPI: Total CPI of All Threads
-system.cpu.ipc 0.136240 # IPC: Instructions Per Cycle
-system.cpu.ipc_total 0.136240 # IPC: Total IPC of All Threads
+system.cpu.cpi 7.315428 # CPI: Cycles Per Instruction
+system.cpu.cpi_total 7.315428 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.136697 # IPC: Instructions Per Cycle
+system.cpu.ipc_total 0.136697 # IPC: Total IPC of All Threads
system.cpu.int_regfile_reads 21340 # number of integer regfile reads
system.cpu.int_regfile_writes 13120 # number of integer regfile writes
system.cpu.fp_regfile_reads 4 # number of floating regfile reads
@@ -546,7 +554,6 @@ system.cpu.cc_regfile_reads 8069 # nu
system.cpu.cc_regfile_writes 5036 # number of cc regfile writes
system.cpu.misc_regfile_reads 7491 # number of misc regfile reads
system.cpu.misc_regfile_writes 1 # number of misc regfile writes
-system.cpu.toL2Bus.throughput 1351701783 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 340 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 339 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 78 # Transaction distribution
@@ -554,26 +561,38 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 78 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 552 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 283 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 835 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 17664 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9024 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 26688 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 26688 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 17664 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9024 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 26688 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 418 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 3 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::3 418 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::4 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 3 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 3 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 418 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 209000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 1.1 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer0.occupancy 462750 # Layer occupancy (ticks)
-system.cpu.toL2Bus.respLayer0.utilization 2.3 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer1.occupancy 234250 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer0.occupancy 462500 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer0.utilization 2.4 # Layer utilization (%)
+system.cpu.toL2Bus.respLayer1.occupancy 234500 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 1.2 # Layer utilization (%)
system.cpu.icache.tags.replacements 0 # number of replacements
-system.cpu.icache.tags.tagsinuse 131.753616 # Cycle average of tags in use
+system.cpu.icache.tags.tagsinuse 131.539722 # Cycle average of tags in use
system.cpu.icache.tags.total_refs 1800 # Total number of references to valid blocks.
system.cpu.icache.tags.sampled_refs 276 # Sample count of references to valid blocks.
system.cpu.icache.tags.avg_refs 6.521739 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 131.753616 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.064333 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.064333 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_blocks::cpu.inst 131.539722 # Average occupied blocks per requestor
+system.cpu.icache.tags.occ_percent::cpu.inst 0.064228 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_percent::total 0.064228 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 276 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::0 155 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::1 121 # Occupied blocks per task id
@@ -592,12 +611,12 @@ system.cpu.icache.demand_misses::cpu.inst 368 # n
system.cpu.icache.demand_misses::total 368 # number of demand (read+write) misses
system.cpu.icache.overall_misses::cpu.inst 368 # number of overall misses
system.cpu.icache.overall_misses::total 368 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 25386000 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 25386000 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 25386000 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 25386000 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 25386000 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 25386000 # number of overall miss cycles
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 25557250 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 25557250 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 25557250 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 25557250 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 25557250 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 25557250 # number of overall miss cycles
system.cpu.icache.ReadReq_accesses::cpu.inst 2168 # number of ReadReq accesses(hits+misses)
system.cpu.icache.ReadReq_accesses::total 2168 # number of ReadReq accesses(hits+misses)
system.cpu.icache.demand_accesses::cpu.inst 2168 # number of demand (read+write) accesses
@@ -610,12 +629,12 @@ system.cpu.icache.demand_miss_rate::cpu.inst 0.169742
system.cpu.icache.demand_miss_rate::total 0.169742 # miss rate for demand accesses
system.cpu.icache.overall_miss_rate::cpu.inst 0.169742 # miss rate for overall accesses
system.cpu.icache.overall_miss_rate::total 0.169742 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 68983.695652 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 68983.695652 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 68983.695652 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 68983.695652 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 68983.695652 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 68983.695652 # average overall miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 69449.048913 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 69449.048913 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 69449.048913 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 69449.048913 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 69449.048913 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 69449.048913 # average overall miss latency
system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -636,36 +655,36 @@ system.cpu.icache.demand_mshr_misses::cpu.inst 276
system.cpu.icache.demand_mshr_misses::total 276 # number of demand (read+write) MSHR misses
system.cpu.icache.overall_mshr_misses::cpu.inst 276 # number of overall MSHR misses
system.cpu.icache.overall_mshr_misses::total 276 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 19887250 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 19887250 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 19887250 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 19887250 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 19887250 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 19887250 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 20059000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 20059000 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 20059000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 20059000 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 20059000 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 20059000 # number of overall MSHR miss cycles
system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.127306 # mshr miss rate for ReadReq accesses
system.cpu.icache.ReadReq_mshr_miss_rate::total 0.127306 # mshr miss rate for ReadReq accesses
system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.127306 # mshr miss rate for demand accesses
system.cpu.icache.demand_mshr_miss_rate::total 0.127306 # mshr miss rate for demand accesses
system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.127306 # mshr miss rate for overall accesses
system.cpu.icache.overall_mshr_miss_rate::total 0.127306 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 72055.253623 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 72055.253623 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 72055.253623 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 72055.253623 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 72055.253623 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 72055.253623 # average overall mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 72677.536232 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 72677.536232 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 72677.536232 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 72677.536232 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 72677.536232 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 72677.536232 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 163.478116 # Cycle average of tags in use
+system.cpu.l2cache.tags.tagsinuse 163.220102 # Cycle average of tags in use
system.cpu.l2cache.tags.total_refs 1 # Total number of references to valid blocks.
system.cpu.l2cache.tags.sampled_refs 338 # Sample count of references to valid blocks.
system.cpu.l2cache.tags.avg_refs 0.002959 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 131.827183 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 31.650934 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.004023 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.000966 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.004989 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 131.613484 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 31.606618 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::cpu.inst 0.004017 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::cpu.data 0.000965 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::total 0.004981 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_task_id_blocks::1024 338 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1024::0 189 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1024::1 149 # Occupied blocks per task id
@@ -689,17 +708,17 @@ system.cpu.l2cache.demand_misses::total 417 # nu
system.cpu.l2cache.overall_misses::cpu.inst 275 # number of overall misses
system.cpu.l2cache.overall_misses::cpu.data 142 # number of overall misses
system.cpu.l2cache.overall_misses::total 417 # number of overall misses
-system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 19600750 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 19772500 # number of ReadReq miss cycles
system.cpu.l2cache.ReadReq_miss_latency::cpu.data 4946500 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::total 24547250 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 5508750 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 5508750 # number of ReadExReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 19600750 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 10455250 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 30056000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 19600750 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 10455250 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 30056000 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::total 24719000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 5510000 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 5510000 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 19772500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 10456500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 30229000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 19772500 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 10456500 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 30229000 # number of overall miss cycles
system.cpu.l2cache.ReadReq_accesses::cpu.inst 276 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::cpu.data 64 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::total 340 # number of ReadReq accesses(hits+misses)
@@ -722,17 +741,17 @@ system.cpu.l2cache.demand_miss_rate::total 0.997608 #
system.cpu.l2cache.overall_miss_rate::cpu.inst 0.996377 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::cpu.data 1 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::total 0.997608 # miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 71275.454545 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 71900 # average ReadReq miss latency
system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 77289.062500 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::total 72410.766962 # average ReadReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 70625 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 70625 # average ReadExReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 71275.454545 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 73628.521127 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 72076.738609 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 71275.454545 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 73628.521127 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 72076.738609 # average overall miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::total 72917.404130 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 70641.025641 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 70641.025641 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 71900 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 73637.323944 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 72491.606715 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 71900 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 73637.323944 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 72491.606715 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -752,17 +771,17 @@ system.cpu.l2cache.demand_mshr_misses::total 417
system.cpu.l2cache.overall_mshr_misses::cpu.inst 275 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.data 142 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::total 417 # number of overall MSHR misses
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 16144250 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 16317000 # number of ReadReq MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 4156000 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::total 20300250 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 4539250 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 4539250 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 16144250 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 8695250 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 24839500 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 16144250 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 8695250 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 24839500 # number of overall MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::total 20473000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 4539500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 4539500 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 16317000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 8695500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 25012500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 16317000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 8695500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 25012500 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.996377 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.997059 # mshr miss rate for ReadReq accesses
@@ -774,27 +793,27 @@ system.cpu.l2cache.demand_mshr_miss_rate::total 0.997608
system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.996377 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::total 0.997608 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 58706.363636 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 59334.545455 # average ReadReq mshr miss latency
system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 64937.500000 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 59882.743363 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 58195.512821 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 58195.512821 # average ReadExReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 58706.363636 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 61234.154930 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 59567.146283 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 58706.363636 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 61234.154930 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 59567.146283 # average overall mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 60392.330383 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 58198.717949 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 58198.717949 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 59334.545455 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 61235.915493 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 59982.014388 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 59334.545455 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 61235.915493 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 59982.014388 # average overall mshr miss latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 82.450988 # Cycle average of tags in use
+system.cpu.dcache.tags.tagsinuse 82.331185 # Cycle average of tags in use
system.cpu.dcache.tags.total_refs 2400 # Total number of references to valid blocks.
system.cpu.dcache.tags.sampled_refs 141 # Sample count of references to valid blocks.
system.cpu.dcache.tags.avg_refs 17.021277 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 82.450988 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.data 0.020130 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.020130 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_blocks::cpu.data 82.331185 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_percent::cpu.data 0.020100 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_percent::total 0.020100 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 141 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::0 54 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::1 87 # Occupied blocks per task id
@@ -819,12 +838,12 @@ system.cpu.dcache.overall_misses::cpu.data 214 #
system.cpu.dcache.overall_misses::total 214 # number of overall misses
system.cpu.dcache.ReadReq_miss_latency::cpu.data 9815500 # number of ReadReq miss cycles
system.cpu.dcache.ReadReq_miss_latency::total 9815500 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 5769250 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 5769250 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 15584750 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 15584750 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 15584750 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 15584750 # number of overall miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.data 5771000 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 5771000 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 15586500 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 15586500 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 15586500 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 15586500 # number of overall miss cycles
system.cpu.dcache.ReadReq_accesses::cpu.data 1679 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.ReadReq_accesses::total 1679 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.data 935 # number of WriteReq accesses(hits+misses)
@@ -843,12 +862,12 @@ system.cpu.dcache.overall_miss_rate::cpu.data 0.081867
system.cpu.dcache.overall_miss_rate::total 0.081867 # miss rate for overall accesses
system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 72172.794118 # average ReadReq miss latency
system.cpu.dcache.ReadReq_avg_miss_latency::total 72172.794118 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 73964.743590 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 73964.743590 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 72825.934579 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 72825.934579 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 72825.934579 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 72825.934579 # average overall miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 73987.179487 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 73987.179487 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 72834.112150 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 72834.112150 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 72834.112150 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 72834.112150 # average overall miss latency
system.cpu.dcache.blocked_cycles::no_mshrs 201 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 5 # number of cycles access was blocked
@@ -873,12 +892,12 @@ system.cpu.dcache.overall_mshr_misses::cpu.data 142
system.cpu.dcache.overall_mshr_misses::total 142 # number of overall MSHR misses
system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 5009500 # number of ReadReq MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_miss_latency::total 5009500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 5586750 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 5586750 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 10596250 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 10596250 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 10596250 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 10596250 # number of overall MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 5588000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 5588000 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 10597500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 10597500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 10597500 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 10597500 # number of overall MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.038118 # mshr miss rate for ReadReq accesses
system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.038118 # mshr miss rate for ReadReq accesses
system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.083422 # mshr miss rate for WriteReq accesses
@@ -889,12 +908,12 @@ system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.054323
system.cpu.dcache.overall_mshr_miss_rate::total 0.054323 # mshr miss rate for overall accesses
system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 78273.437500 # average ReadReq mshr miss latency
system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 78273.437500 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 71625 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 71625 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 74621.478873 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 74621.478873 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 74621.478873 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 74621.478873 # average overall mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 71641.025641 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 71641.025641 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 74630.281690 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 74630.281690 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 74630.281690 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 74630.281690 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt
index 0a6735ef0..baff57318 100644
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-atomic/stats.txt
@@ -4,10 +4,10 @@ sim_seconds 0.000006 # Nu
sim_ticks 5615000 # Number of ticks simulated
final_tick 5615000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 478524 # Simulator instruction rate (inst/s)
-host_op_rate 865796 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 498092788 # Simulator tick rate (ticks/s)
-host_mem_usage 271572 # Number of bytes of host memory used
+host_inst_rate 365210 # Simulator instruction rate (inst/s)
+host_op_rate 661016 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 380445830 # Simulator tick rate (ticks/s)
+host_mem_usage 292780 # Number of bytes of host memory used
host_seconds 0.01 # Real time elapsed on the host
sim_insts 5381 # Number of instructions simulated
sim_ops 9748 # Number of ops (including micro ops) simulated
@@ -35,9 +35,33 @@ system.physmem.bw_write::total 1266607302 # Wr
system.physmem.bw_total::cpu.inst 9779519145 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 2525022262 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 12304541407 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 12304541407 # Throughput (bytes/s)
-system.membus.data_through_bus 69090 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.trans_dist::ReadReq 7917 # Transaction distribution
+system.membus.trans_dist::ReadResp 7917 # Transaction distribution
+system.membus.trans_dist::WriteReq 935 # Transaction distribution
+system.membus.trans_dist::WriteResp 935 # Transaction distribution
+system.membus.pkt_count_system.cpu.icache_port::system.physmem.port 13728 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.icache_port::total 13728 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.dcache_port::system.physmem.port 3976 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.dcache_port::total 3976 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 17704 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.icache_port::system.physmem.port 54912 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.icache_port::total 54912 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.dcache_port::system.physmem.port 14178 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.dcache_port::total 14178 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 69090 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 8852 # Request fanout histogram
+system.membus.snoop_fanout::mean 2.775418 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0.417330 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::2 1988 22.46% 22.46% # Request fanout histogram
+system.membus.snoop_fanout::3 6864 77.54% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 2 # Request fanout histogram
+system.membus.snoop_fanout::max_value 3 # Request fanout histogram
+system.membus.snoop_fanout::total 8852 # Request fanout histogram
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.apic_clk_domain.clock 8000 # Clock period in ticks
system.cpu.workload.num_syscalls 11 # Number of system calls
diff --git a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt
index bc4d8d180..8118efe8c 100644
--- a/tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt
+++ b/tests/quick/se/00.hello/ref/x86/linux/simple-timing/stats.txt
@@ -4,10 +4,10 @@ sim_seconds 0.000028 # Nu
sim_ticks 28358000 # Number of ticks simulated
final_tick 28358000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 260669 # Simulator instruction rate (inst/s)
-host_op_rate 471875 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 1371807276 # Simulator tick rate (ticks/s)
-host_mem_usage 281320 # Number of bytes of host memory used
+host_inst_rate 307468 # Simulator instruction rate (inst/s)
+host_op_rate 556583 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 1618053178 # Simulator tick rate (ticks/s)
+host_mem_usage 302528 # Number of bytes of host memory used
host_seconds 0.02 # Real time elapsed on the host
sim_insts 5381 # Number of instructions simulated
sim_ops 9748 # Number of ops (including micro ops) simulated
@@ -29,7 +29,6 @@ system.physmem.bw_inst_read::total 512306933 # In
system.physmem.bw_total::cpu.inst 512306933 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 302419070 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 814726003 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 814726003 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 282 # Transaction distribution
system.membus.trans_dist::ReadResp 282 # Transaction distribution
system.membus.trans_dist::ReadExReq 79 # Transaction distribution
@@ -37,11 +36,20 @@ system.membus.trans_dist::ReadExResp 79 # Tr
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 722 # Packet count per connected master and slave (bytes)
system.membus.pkt_count_system.cpu.l2cache.mem_side::total 722 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 722 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 23104 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::total 23104 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 23104 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 23104 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 23104 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::total 23104 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 23104 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 361 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 361 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 361 # Request fanout histogram
system.membus.reqLayer0.occupancy 361000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 1.3 # Layer utilization (%)
system.membus.respLayer1.occupancy 3249000 # Layer occupancy (ticks)
@@ -428,7 +436,6 @@ system.cpu.dcache.demand_avg_mshr_miss_latency::total 53000
system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 53000 # average overall mshr miss latency
system.cpu.dcache.overall_avg_mshr_miss_latency::total 53000 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 816982862 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 283 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 283 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 79 # Transaction distribution
@@ -436,11 +443,23 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 79 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 456 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 268 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 724 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 14592 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8576 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 23168 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 23168 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 14592 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8576 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 23168 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 362 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 3 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::3 362 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::4 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 3 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 3 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 362 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 181000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.6 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 342000 # Layer occupancy (ticks)
diff --git a/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing/stats.txt b/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing/stats.txt
index 921de5f0b..5f7cff1f0 100644
--- a/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing/stats.txt
+++ b/tests/quick/se/01.hello-2T-smt/ref/alpha/linux/o3-timing/stats.txt
@@ -1,34 +1,34 @@
---------- Begin Simulation Statistics ----------
sim_seconds 0.000023 # Number of seconds simulated
-sim_ticks 23170000 # Number of ticks simulated
-final_tick 23170000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_ticks 23061500 # Number of ticks simulated
+final_tick 23061500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 44420 # Simulator instruction rate (inst/s)
-host_op_rate 44416 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 80747825 # Simulator tick rate (ticks/s)
-host_mem_usage 237048 # Number of bytes of host memory used
-host_seconds 0.29 # Real time elapsed on the host
+host_inst_rate 90290 # Simulator instruction rate (inst/s)
+host_op_rate 90281 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 163358622 # Simulator tick rate (ticks/s)
+host_mem_usage 290460 # Number of bytes of host memory used
+host_seconds 0.14 # Real time elapsed on the host
sim_insts 12744 # Number of instructions simulated
sim_ops 12744 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
-system.physmem.bytes_read::cpu.inst 40384 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu.data 22272 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu.inst 40576 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu.data 22080 # Number of bytes read from this memory
system.physmem.bytes_read::total 62656 # Number of bytes read from this memory
-system.physmem.bytes_inst_read::cpu.inst 40384 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::total 40384 # Number of instructions bytes read from this memory
-system.physmem.num_reads::cpu.inst 631 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu.data 348 # Number of read requests responded to by this memory
+system.physmem.bytes_inst_read::cpu.inst 40576 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::total 40576 # Number of instructions bytes read from this memory
+system.physmem.num_reads::cpu.inst 634 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu.data 345 # Number of read requests responded to by this memory
system.physmem.num_reads::total 979 # Number of read requests responded to by this memory
-system.physmem.bw_read::cpu.inst 1742943461 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 961242987 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 2704186448 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 1742943461 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 1742943461 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 1742943461 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 961242987 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 2704186448 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_read::cpu.inst 1759469245 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.data 957439889 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 2716909134 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu.inst 1759469245 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 1759469245 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total::cpu.inst 1759469245 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.data 957439889 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 2716909134 # Total bandwidth to/from this memory (bytes/s)
system.physmem.readReqs 979 # Number of read requests accepted
system.physmem.writeReqs 0 # Number of write requests accepted
system.physmem.readBursts 979 # Number of DRAM read bursts, including those serviced by the write queue
@@ -45,17 +45,17 @@ system.physmem.perBankRdBursts::0 84 # Pe
system.physmem.perBankRdBursts::1 151 # Per bank write bursts
system.physmem.perBankRdBursts::2 78 # Per bank write bursts
system.physmem.perBankRdBursts::3 58 # Per bank write bursts
-system.physmem.perBankRdBursts::4 88 # Per bank write bursts
-system.physmem.perBankRdBursts::5 48 # Per bank write bursts
+system.physmem.perBankRdBursts::4 89 # Per bank write bursts
+system.physmem.perBankRdBursts::5 50 # Per bank write bursts
system.physmem.perBankRdBursts::6 33 # Per bank write bursts
system.physmem.perBankRdBursts::7 51 # Per bank write bursts
system.physmem.perBankRdBursts::8 42 # Per bank write bursts
system.physmem.perBankRdBursts::9 39 # Per bank write bursts
-system.physmem.perBankRdBursts::10 31 # Per bank write bursts
+system.physmem.perBankRdBursts::10 29 # Per bank write bursts
system.physmem.perBankRdBursts::11 34 # Per bank write bursts
system.physmem.perBankRdBursts::12 15 # Per bank write bursts
system.physmem.perBankRdBursts::13 120 # Per bank write bursts
-system.physmem.perBankRdBursts::14 70 # Per bank write bursts
+system.physmem.perBankRdBursts::14 69 # Per bank write bursts
system.physmem.perBankRdBursts::15 37 # Per bank write bursts
system.physmem.perBankWrBursts::0 0 # Per bank write bursts
system.physmem.perBankWrBursts::1 0 # Per bank write bursts
@@ -75,7 +75,7 @@ system.physmem.perBankWrBursts::14 0 # Pe
system.physmem.perBankWrBursts::15 0 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 23015000 # Total gap between requests
+system.physmem.totGap 22909000 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 0 # Read request sizes (log2)
@@ -90,12 +90,12 @@ system.physmem.writePktSize::3 0 # Wr
system.physmem.writePktSize::4 0 # Write request sizes (log2)
system.physmem.writePktSize::5 0 # Write request sizes (log2)
system.physmem.writePktSize::6 0 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 351 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 324 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::2 193 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::3 75 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::4 24 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::5 12 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::0 342 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::1 332 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::2 191 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::3 77 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::4 27 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::5 10 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::6 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::7 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::8 0 # What read queue length does an incoming req see
@@ -187,91 +187,99 @@ system.physmem.wrQLenPdf::61 0 # Wh
system.physmem.wrQLenPdf::62 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::63 0 # What write queue length does an incoming req see
system.physmem.bytesPerActivate::samples 196 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::mean 289.959184 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 186.164854 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 288.512504 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 61 31.12% 31.12% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 60 30.61% 61.73% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::mean 290.285714 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::gmean 185.772581 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::stdev 289.019279 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::0-127 62 31.63% 31.63% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::128-255 59 30.10% 61.73% # Bytes accessed per row activation
system.physmem.bytesPerActivate::256-383 22 11.22% 72.96% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::384-511 9 4.59% 77.55% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::512-639 16 8.16% 85.71% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::640-767 6 3.06% 88.78% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::768-895 5 2.55% 91.33% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::384-511 8 4.08% 77.04% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::512-639 15 7.65% 84.69% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::640-767 9 4.59% 89.29% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::768-895 4 2.04% 91.33% # Bytes accessed per row activation
system.physmem.bytesPerActivate::896-1023 4 2.04% 93.37% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 13 6.63% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 196 # Bytes accessed per row activation
-system.physmem.totQLat 11386250 # Total ticks spent queuing
-system.physmem.totMemAccLat 29742500 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 11811000 # Total ticks spent queuing
+system.physmem.totMemAccLat 30167250 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 4895000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 11630.49 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 12064.35 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 30380.49 # Average memory access latency per DRAM burst
-system.physmem.avgRdBW 2704.19 # Average DRAM read bandwidth in MiByte/s
+system.physmem.avgMemAccLat 30814.35 # Average memory access latency per DRAM burst
+system.physmem.avgRdBW 2716.91 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 2704.19 # Average system read bandwidth in MiByte/s
+system.physmem.avgRdBWSys 2716.91 # Average system read bandwidth in MiByte/s
system.physmem.avgWrBWSys 0.00 # Average system write bandwidth in MiByte/s
system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.physmem.busUtil 21.13 # Data bus utilization in percentage
-system.physmem.busUtilRead 21.13 # Data bus utilization in percentage for reads
+system.physmem.busUtil 21.23 # Data bus utilization in percentage
+system.physmem.busUtilRead 21.23 # Data bus utilization in percentage for reads
system.physmem.busUtilWrite 0.00 # Data bus utilization in percentage for writes
-system.physmem.avgRdQLen 2.40 # Average read queue length when enqueuing
+system.physmem.avgRdQLen 2.42 # Average read queue length when enqueuing
system.physmem.avgWrQLen 0.00 # Average write queue length when enqueuing
system.physmem.readRowHits 767 # Number of row buffer hits during reads
system.physmem.writeRowHits 0 # Number of row buffer hits during writes
system.physmem.readRowHitRate 78.35 # Row buffer hit rate for reads
system.physmem.writeRowHitRate nan # Row buffer hit rate for writes
-system.physmem.avgGap 23508.68 # Average gap between requests
+system.physmem.avgGap 23400.41 # Average gap between requests
system.physmem.pageHitRate 78.35 # Row buffer hit rate, read and write combined
system.physmem.memoryStateTime::IDLE 25750 # Time in different power states
system.physmem.memoryStateTime::REF 520000 # Time in different power states
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
system.physmem.memoryStateTime::ACT 15300500 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 2704186448 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 833 # Transaction distribution
system.membus.trans_dist::ReadResp 833 # Transaction distribution
system.membus.trans_dist::ReadExReq 146 # Transaction distribution
system.membus.trans_dist::ReadExResp 146 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 1958 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 1958 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 62656 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 62656 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 62656 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
-system.membus.reqLayer0.occupancy 1208500 # Layer occupancy (ticks)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 62656 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 62656 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 979 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 979 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 979 # Request fanout histogram
+system.membus.reqLayer0.occupancy 1210000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 5.2 # Layer utilization (%)
-system.membus.respLayer1.occupancy 9081250 # Layer occupancy (ticks)
-system.membus.respLayer1.utilization 39.2 # Layer utilization (%)
+system.membus.respLayer1.occupancy 9085500 # Layer occupancy (ticks)
+system.membus.respLayer1.utilization 39.4 # Layer utilization (%)
system.cpu_clk_domain.clock 500 # Clock period in ticks
-system.cpu.branchPred.lookups 7166 # Number of BP lookups
-system.cpu.branchPred.condPredicted 4000 # Number of conditional branches predicted
-system.cpu.branchPred.condIncorrect 1467 # Number of conditional branches incorrect
-system.cpu.branchPred.BTBLookups 5305 # Number of BTB lookups
-system.cpu.branchPred.BTBHits 908 # Number of BTB hits
+system.cpu.branchPred.lookups 6891 # Number of BP lookups
+system.cpu.branchPred.condPredicted 3900 # Number of conditional branches predicted
+system.cpu.branchPred.condIncorrect 1379 # Number of conditional branches incorrect
+system.cpu.branchPred.BTBLookups 5156 # Number of BTB lookups
+system.cpu.branchPred.BTBHits 960 # Number of BTB hits
system.cpu.branchPred.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
-system.cpu.branchPred.BTBHitPct 17.115928 # BTB Hit Percentage
-system.cpu.branchPred.usedRAS 981 # Number of times the RAS was used to get a target.
-system.cpu.branchPred.RASInCorrect 74 # Number of incorrect RAS predictions.
+system.cpu.branchPred.BTBHitPct 18.619085 # BTB Hit Percentage
+system.cpu.branchPred.usedRAS 963 # Number of times the RAS was used to get a target.
+system.cpu.branchPred.RASInCorrect 72 # Number of incorrect RAS predictions.
system.cpu.dtb.fetch_hits 0 # ITB hits
system.cpu.dtb.fetch_misses 0 # ITB misses
system.cpu.dtb.fetch_acv 0 # ITB acv
system.cpu.dtb.fetch_accesses 0 # ITB accesses
-system.cpu.dtb.read_hits 4855 # DTB read hits
-system.cpu.dtb.read_misses 98 # DTB read misses
+system.cpu.dtb.read_hits 4694 # DTB read hits
+system.cpu.dtb.read_misses 106 # DTB read misses
system.cpu.dtb.read_acv 0 # DTB read access violations
-system.cpu.dtb.read_accesses 4953 # DTB read accesses
-system.cpu.dtb.write_hits 2092 # DTB write hits
+system.cpu.dtb.read_accesses 4800 # DTB read accesses
+system.cpu.dtb.write_hits 2103 # DTB write hits
system.cpu.dtb.write_misses 62 # DTB write misses
system.cpu.dtb.write_acv 0 # DTB write access violations
-system.cpu.dtb.write_accesses 2154 # DTB write accesses
-system.cpu.dtb.data_hits 6947 # DTB hits
-system.cpu.dtb.data_misses 160 # DTB misses
+system.cpu.dtb.write_accesses 2165 # DTB write accesses
+system.cpu.dtb.data_hits 6797 # DTB hits
+system.cpu.dtb.data_misses 168 # DTB misses
system.cpu.dtb.data_acv 0 # DTB access violations
-system.cpu.dtb.data_accesses 7107 # DTB accesses
-system.cpu.itb.fetch_hits 5289 # ITB hits
+system.cpu.dtb.data_accesses 6965 # DTB accesses
+system.cpu.itb.fetch_hits 5123 # ITB hits
system.cpu.itb.fetch_misses 59 # ITB misses
system.cpu.itb.fetch_acv 0 # ITB acv
-system.cpu.itb.fetch_accesses 5348 # ITB accesses
+system.cpu.itb.fetch_accesses 5182 # ITB accesses
system.cpu.itb.read_hits 0 # DTB read hits
system.cpu.itb.read_misses 0 # DTB read misses
system.cpu.itb.read_acv 0 # DTB read access violations
@@ -286,318 +294,318 @@ system.cpu.itb.data_acv 0 # DT
system.cpu.itb.data_accesses 0 # DTB accesses
system.cpu.workload0.num_syscalls 17 # Number of system calls
system.cpu.workload1.num_syscalls 17 # Number of system calls
-system.cpu.numCycles 46341 # number of cpu cycles simulated
+system.cpu.numCycles 46124 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu.fetch.icacheStallCycles 1308 # Number of cycles fetch is stalled on an Icache miss
-system.cpu.fetch.Insts 39806 # Number of instructions fetch has processed
-system.cpu.fetch.Branches 7166 # Number of branches that fetch encountered
-system.cpu.fetch.predictedBranches 1889 # Number of branches that fetch has predicted taken
-system.cpu.fetch.Cycles 11048 # Number of cycles fetch has run and was not squashing or blocked
-system.cpu.fetch.SquashCycles 1548 # Number of cycles fetch has spent squashing
-system.cpu.fetch.MiscStallCycles 233 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
-system.cpu.fetch.CacheLines 5289 # Number of cache lines fetched
-system.cpu.fetch.IcacheSquashes 806 # Number of outstanding Icache misses that were squashed
-system.cpu.fetch.rateDist::samples 28474 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::mean 1.397977 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::stdev 2.796585 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.icacheStallCycles 1227 # Number of cycles fetch is stalled on an Icache miss
+system.cpu.fetch.Insts 38336 # Number of instructions fetch has processed
+system.cpu.fetch.Branches 6891 # Number of branches that fetch encountered
+system.cpu.fetch.predictedBranches 1923 # Number of branches that fetch has predicted taken
+system.cpu.fetch.Cycles 10750 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu.fetch.SquashCycles 1460 # Number of cycles fetch has spent squashing
+system.cpu.fetch.MiscStallCycles 320 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
+system.cpu.fetch.CacheLines 5123 # Number of cache lines fetched
+system.cpu.fetch.IcacheSquashes 789 # Number of outstanding Icache misses that were squashed
+system.cpu.fetch.rateDist::samples 27999 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::mean 1.369192 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::stdev 2.769631 # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::0 21786 76.51% 76.51% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::1 553 1.94% 78.45% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::2 412 1.45% 79.90% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::3 526 1.85% 81.75% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::4 512 1.80% 83.55% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::5 421 1.48% 85.02% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::6 497 1.75% 86.77% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::7 420 1.48% 88.25% # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::8 3347 11.75% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::0 21530 76.90% 76.90% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::1 534 1.91% 78.80% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::2 415 1.48% 80.29% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::3 507 1.81% 82.10% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::4 495 1.77% 83.86% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::5 425 1.52% 85.38% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::6 487 1.74% 87.12% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::7 424 1.51% 88.64% # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.rateDist::8 3182 11.36% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
system.cpu.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.rateDist::total 28474 # Number of instructions fetched each cycle (Total)
-system.cpu.fetch.branchRate 0.154636 # Number of branch fetches per cycle
-system.cpu.fetch.rate 0.858980 # Number of inst fetches per cycle
-system.cpu.decode.IdleCycles 37975 # Number of cycles decode is idle
-system.cpu.decode.BlockedCycles 11845 # Number of cycles decode is blocked
-system.cpu.decode.RunCycles 5079 # Number of cycles decode is running
-system.cpu.decode.UnblockCycles 648 # Number of cycles decode is unblocking
-system.cpu.decode.SquashCycles 1147 # Number of cycles decode is squashing
-system.cpu.decode.BranchResolved 634 # Number of times decode resolved a branch
-system.cpu.decode.BranchMispred 427 # Number of times decode detected a branch misprediction
-system.cpu.decode.DecodedInsts 32375 # Number of instructions handled by decode
-system.cpu.decode.SquashedInsts 893 # Number of squashed instructions handled by decode
-system.cpu.rename.SquashCycles 1147 # Number of cycles rename is squashing
-system.cpu.rename.IdleCycles 38612 # Number of cycles rename is idle
-system.cpu.rename.BlockCycles 4919 # Number of cycles rename is blocking
-system.cpu.rename.serializeStallCycles 1229 # count of cycles rename stalled for serializing inst
-system.cpu.rename.RunCycles 5110 # Number of cycles rename is running
-system.cpu.rename.UnblockCycles 5677 # Number of cycles rename is unblocking
-system.cpu.rename.RenamedInsts 30348 # Number of instructions processed by rename
-system.cpu.rename.ROBFullEvents 40 # Number of times rename has blocked due to ROB full
-system.cpu.rename.IQFullEvents 343 # Number of times rename has blocked due to IQ full
-system.cpu.rename.LQFullEvents 655 # Number of times rename has blocked due to LQ full
-system.cpu.rename.SQFullEvents 4509 # Number of times rename has blocked due to SQ full
-system.cpu.rename.RenamedOperands 22899 # Number of destination operands rename has renamed
-system.cpu.rename.RenameLookups 37890 # Number of register rename lookups that rename has made
-system.cpu.rename.int_rename_lookups 37872 # Number of integer rename lookups
+system.cpu.fetch.rateDist::total 27999 # Number of instructions fetched each cycle (Total)
+system.cpu.fetch.branchRate 0.149402 # Number of branch fetches per cycle
+system.cpu.fetch.rate 0.831151 # Number of inst fetches per cycle
+system.cpu.decode.IdleCycles 37351 # Number of cycles decode is idle
+system.cpu.decode.BlockedCycles 11762 # Number of cycles decode is blocked
+system.cpu.decode.RunCycles 4916 # Number of cycles decode is running
+system.cpu.decode.UnblockCycles 633 # Number of cycles decode is unblocking
+system.cpu.decode.SquashCycles 1095 # Number of cycles decode is squashing
+system.cpu.decode.BranchResolved 569 # Number of times decode resolved a branch
+system.cpu.decode.BranchMispred 385 # Number of times decode detected a branch misprediction
+system.cpu.decode.DecodedInsts 31322 # Number of instructions handled by decode
+system.cpu.decode.SquashedInsts 859 # Number of squashed instructions handled by decode
+system.cpu.rename.SquashCycles 1095 # Number of cycles rename is squashing
+system.cpu.rename.IdleCycles 37970 # Number of cycles rename is idle
+system.cpu.rename.BlockCycles 4830 # Number of cycles rename is blocking
+system.cpu.rename.serializeStallCycles 1235 # count of cycles rename stalled for serializing inst
+system.cpu.rename.RunCycles 4957 # Number of cycles rename is running
+system.cpu.rename.UnblockCycles 5670 # Number of cycles rename is unblocking
+system.cpu.rename.RenamedInsts 29386 # Number of instructions processed by rename
+system.cpu.rename.ROBFullEvents 35 # Number of times rename has blocked due to ROB full
+system.cpu.rename.IQFullEvents 318 # Number of times rename has blocked due to IQ full
+system.cpu.rename.LQFullEvents 603 # Number of times rename has blocked due to LQ full
+system.cpu.rename.SQFullEvents 4545 # Number of times rename has blocked due to SQ full
+system.cpu.rename.RenamedOperands 22134 # Number of destination operands rename has renamed
+system.cpu.rename.RenameLookups 36672 # Number of register rename lookups that rename has made
+system.cpu.rename.int_rename_lookups 36654 # Number of integer rename lookups
system.cpu.rename.fp_rename_lookups 16 # Number of floating rename lookups
system.cpu.rename.CommittedMaps 9140 # Number of HB maps that are committed
-system.cpu.rename.UndoneMaps 13759 # Number of HB maps that are undone due to squashing
-system.cpu.rename.serializingInsts 60 # count of serializing insts renamed
+system.cpu.rename.UndoneMaps 12994 # Number of HB maps that are undone due to squashing
+system.cpu.rename.serializingInsts 62 # count of serializing insts renamed
system.cpu.rename.tempSerializingInsts 48 # count of temporary serializing insts renamed
-system.cpu.rename.skidInsts 2110 # count of insts added to the skid buffer
-system.cpu.memDep0.insertedLoads 2877 # Number of loads inserted to the mem dependence unit.
-system.cpu.memDep0.insertedStores 1488 # Number of stores inserted to the mem dependence unit.
-system.cpu.memDep0.conflictingLoads 42 # Number of conflicting loads.
-system.cpu.memDep0.conflictingStores 28 # Number of conflicting stores.
-system.cpu.memDep1.insertedLoads 2903 # Number of loads inserted to the mem dependence unit.
-system.cpu.memDep1.insertedStores 1354 # Number of stores inserted to the mem dependence unit.
-system.cpu.memDep1.conflictingLoads 6 # Number of conflicting loads.
+system.cpu.rename.skidInsts 2157 # count of insts added to the skid buffer
+system.cpu.memDep0.insertedLoads 2815 # Number of loads inserted to the mem dependence unit.
+system.cpu.memDep0.insertedStores 1467 # Number of stores inserted to the mem dependence unit.
+system.cpu.memDep0.conflictingLoads 39 # Number of conflicting loads.
+system.cpu.memDep0.conflictingStores 26 # Number of conflicting stores.
+system.cpu.memDep1.insertedLoads 2781 # Number of loads inserted to the mem dependence unit.
+system.cpu.memDep1.insertedStores 1339 # Number of stores inserted to the mem dependence unit.
+system.cpu.memDep1.conflictingLoads 5 # Number of conflicting loads.
system.cpu.memDep1.conflictingStores 0 # Number of conflicting stores.
-system.cpu.iq.iqInstsAdded 27058 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu.iq.iqInstsAdded 26455 # Number of instructions added to the IQ (excludes non-spec)
system.cpu.iq.iqNonSpecInstsAdded 53 # Number of non-speculative instructions added to the IQ
-system.cpu.iq.iqInstsIssued 22518 # Number of instructions issued
-system.cpu.iq.iqSquashedInstsIssued 66 # Number of squashed instructions issued
-system.cpu.iq.iqSquashedInstsExamined 13496 # Number of squashed instructions iterated over during squash; mainly for profiling
-system.cpu.iq.iqSquashedOperandsExamined 7946 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu.iq.iqInstsIssued 22074 # Number of instructions issued
+system.cpu.iq.iqSquashedInstsIssued 73 # Number of squashed instructions issued
+system.cpu.iq.iqSquashedInstsExamined 12913 # Number of squashed instructions iterated over during squash; mainly for profiling
+system.cpu.iq.iqSquashedOperandsExamined 7569 # Number of squashed operands that are examined and possibly removed from graph
system.cpu.iq.iqSquashedNonSpecRemoved 19 # Number of squashed non-spec instructions that were removed
-system.cpu.iq.issued_per_cycle::samples 28474 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::mean 0.790827 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::stdev 1.507053 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::samples 27999 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::mean 0.788385 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::stdev 1.505249 # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::0 20065 70.47% 70.47% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::1 2633 9.25% 79.71% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::2 1896 6.66% 86.37% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::3 1407 4.94% 91.31% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::4 1291 4.53% 95.85% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::5 643 2.26% 98.11% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::6 327 1.15% 99.26% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::7 165 0.58% 99.83% # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::8 47 0.17% 100.00% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::0 19729 70.46% 70.46% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::1 2607 9.31% 79.77% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::2 1874 6.69% 86.47% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::3 1399 5.00% 91.46% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::4 1239 4.43% 95.89% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::5 603 2.15% 98.04% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::6 335 1.20% 99.24% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::7 163 0.58% 99.82% # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::8 50 0.18% 100.00% # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
system.cpu.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
-system.cpu.iq.issued_per_cycle::total 28474 # Number of insts issued each cycle
+system.cpu.iq.issued_per_cycle::total 27999 # Number of insts issued each cycle
system.cpu.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntAlu 21 6.95% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntMult 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::IntDiv 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatAdd 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatCmp 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatCvt 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatMult 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatDiv 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::FloatSqrt 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAdd 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdAlu 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdCmp 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdCvt 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMisc 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMult 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdShift 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdSqrt 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 6.95% # attempts to use FU when none available
-system.cpu.iq.fu_full::MemRead 199 65.89% 72.85% # attempts to use FU when none available
-system.cpu.iq.fu_full::MemWrite 82 27.15% 100.00% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntAlu 17 5.72% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntMult 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::IntDiv 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatAdd 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCmp 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatCvt 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatMult 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatDiv 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::FloatSqrt 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAdd 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAddAcc 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdAlu 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCmp 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdCvt 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMisc 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMult 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdMultAcc 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShift 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdShiftAcc 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdSqrt 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAdd 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatAlu 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCmp 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatCvt 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatDiv 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMisc 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMult 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatMultAcc 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::SimdFloatSqrt 0 0.00% 5.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemRead 196 65.99% 71.72% # attempts to use FU when none available
+system.cpu.iq.fu_full::MemWrite 84 28.28% 100.00% # attempts to use FU when none available
system.cpu.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
system.cpu.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
system.cpu.iq.FU_type_0::No_OpClass 2 0.02% 0.02% # Type of FU issued
-system.cpu.iq.FU_type_0::IntAlu 7409 65.93% 65.95% # Type of FU issued
-system.cpu.iq.FU_type_0::IntMult 1 0.01% 65.95% # Type of FU issued
-system.cpu.iq.FU_type_0::IntDiv 0 0.00% 65.95% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatAdd 2 0.02% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatMult 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMult 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdShift 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 65.97% # Type of FU issued
-system.cpu.iq.FU_type_0::MemRead 2656 23.63% 89.61% # Type of FU issued
-system.cpu.iq.FU_type_0::MemWrite 1168 10.39% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IntAlu 7289 65.97% 65.99% # Type of FU issued
+system.cpu.iq.FU_type_0::IntMult 1 0.01% 66.00% # Type of FU issued
+system.cpu.iq.FU_type_0::IntDiv 0 0.00% 66.00% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatAdd 2 0.02% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCmp 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatCvt 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatMult 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatDiv 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::FloatSqrt 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAdd 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAddAcc 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdAlu 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCmp 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdCvt 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMisc 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMult 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdMultAcc 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShift 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdShiftAcc 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdSqrt 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAdd 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatAlu 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCmp 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatCvt 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatDiv 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMisc 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMult 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::SimdFloatSqrt 0 0.00% 66.02% # Type of FU issued
+system.cpu.iq.FU_type_0::MemRead 2595 23.49% 89.50% # Type of FU issued
+system.cpu.iq.FU_type_0::MemWrite 1160 10.50% 100.00% # Type of FU issued
system.cpu.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
system.cpu.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
-system.cpu.iq.FU_type_0::total 11238 # Type of FU issued
+system.cpu.iq.FU_type_0::total 11049 # Type of FU issued
system.cpu.iq.FU_type_1::No_OpClass 2 0.02% 0.02% # Type of FU issued
-system.cpu.iq.FU_type_1::IntAlu 7461 66.14% 66.16% # Type of FU issued
-system.cpu.iq.FU_type_1::IntMult 1 0.01% 66.17% # Type of FU issued
-system.cpu.iq.FU_type_1::IntDiv 0 0.00% 66.17% # Type of FU issued
-system.cpu.iq.FU_type_1::FloatAdd 2 0.02% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::FloatCmp 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::FloatCvt 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::FloatMult 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::FloatDiv 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::FloatSqrt 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdAdd 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdAddAcc 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdAlu 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdCmp 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdCvt 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdMisc 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdMult 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdMultAcc 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdShift 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdShiftAcc 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdSqrt 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdFloatAdd 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdFloatAlu 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdFloatCmp 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdFloatCvt 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdFloatDiv 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdFloatMisc 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdFloatMult 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdFloatMultAcc 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::SimdFloatSqrt 0 0.00% 66.19% # Type of FU issued
-system.cpu.iq.FU_type_1::MemRead 2675 23.71% 89.90% # Type of FU issued
-system.cpu.iq.FU_type_1::MemWrite 1139 10.10% 100.00% # Type of FU issued
+system.cpu.iq.FU_type_1::IntAlu 7322 66.41% 66.43% # Type of FU issued
+system.cpu.iq.FU_type_1::IntMult 1 0.01% 66.44% # Type of FU issued
+system.cpu.iq.FU_type_1::IntDiv 0 0.00% 66.44% # Type of FU issued
+system.cpu.iq.FU_type_1::FloatAdd 2 0.02% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::FloatCmp 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::FloatCvt 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::FloatMult 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::FloatDiv 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::FloatSqrt 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdAdd 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdAddAcc 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdAlu 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdCmp 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdCvt 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdMisc 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdMult 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdMultAcc 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdShift 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdShiftAcc 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdSqrt 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdFloatAdd 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdFloatAlu 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdFloatCmp 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdFloatCvt 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdFloatDiv 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdFloatMisc 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdFloatMult 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdFloatMultAcc 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::SimdFloatSqrt 0 0.00% 66.46% # Type of FU issued
+system.cpu.iq.FU_type_1::MemRead 2566 23.27% 89.73% # Type of FU issued
+system.cpu.iq.FU_type_1::MemWrite 1132 10.27% 100.00% # Type of FU issued
system.cpu.iq.FU_type_1::IprAccess 0 0.00% 100.00% # Type of FU issued
system.cpu.iq.FU_type_1::InstPrefetch 0 0.00% 100.00% # Type of FU issued
-system.cpu.iq.FU_type_1::total 11280 # Type of FU issued
-system.cpu.iq.FU_type::total 22518 0.00% 0.00% # Type of FU issued
-system.cpu.iq.rate 0.485920 # Inst issue rate
-system.cpu.iq.fu_busy_cnt::0 151 # FU busy when requested
-system.cpu.iq.fu_busy_cnt::1 151 # FU busy when requested
-system.cpu.iq.fu_busy_cnt::total 302 # FU busy when requested
-system.cpu.iq.fu_busy_rate::0 0.006706 # FU busy rate (busy events/executed inst)
-system.cpu.iq.fu_busy_rate::1 0.006706 # FU busy rate (busy events/executed inst)
-system.cpu.iq.fu_busy_rate::total 0.013411 # FU busy rate (busy events/executed inst)
-system.cpu.iq.int_inst_queue_reads 73836 # Number of integer instruction queue reads
-system.cpu.iq.int_inst_queue_writes 40624 # Number of integer instruction queue writes
-system.cpu.iq.int_inst_queue_wakeup_accesses 19843 # Number of integer instruction queue wakeup accesses
+system.cpu.iq.FU_type_1::total 11025 # Type of FU issued
+system.cpu.iq.FU_type::total 22074 0.00% 0.00% # Type of FU issued
+system.cpu.iq.rate 0.478579 # Inst issue rate
+system.cpu.iq.fu_busy_cnt::0 149 # FU busy when requested
+system.cpu.iq.fu_busy_cnt::1 148 # FU busy when requested
+system.cpu.iq.fu_busy_cnt::total 297 # FU busy when requested
+system.cpu.iq.fu_busy_rate::0 0.006750 # FU busy rate (busy events/executed inst)
+system.cpu.iq.fu_busy_rate::1 0.006705 # FU busy rate (busy events/executed inst)
+system.cpu.iq.fu_busy_rate::total 0.013455 # FU busy rate (busy events/executed inst)
+system.cpu.iq.int_inst_queue_reads 72475 # Number of integer instruction queue reads
+system.cpu.iq.int_inst_queue_writes 39437 # Number of integer instruction queue writes
+system.cpu.iq.int_inst_queue_wakeup_accesses 19551 # Number of integer instruction queue wakeup accesses
system.cpu.iq.fp_inst_queue_reads 42 # Number of floating instruction queue reads
system.cpu.iq.fp_inst_queue_writes 20 # Number of floating instruction queue writes
system.cpu.iq.fp_inst_queue_wakeup_accesses 20 # Number of floating instruction queue wakeup accesses
-system.cpu.iq.int_alu_accesses 22794 # Number of integer alu accesses
+system.cpu.iq.int_alu_accesses 22345 # Number of integer alu accesses
system.cpu.iq.fp_alu_accesses 22 # Number of floating point alu accesses
-system.cpu.iew.lsq.thread0.forwLoads 70 # Number of loads that had data forwarded from stores
+system.cpu.iew.lsq.thread0.forwLoads 67 # Number of loads that had data forwarded from stores
system.cpu.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
-system.cpu.iew.lsq.thread0.squashedLoads 1694 # Number of loads squashed
+system.cpu.iew.lsq.thread0.squashedLoads 1632 # Number of loads squashed
system.cpu.iew.lsq.thread0.ignoredResponses 2 # Number of memory responses ignored because the instruction is squashed
system.cpu.iew.lsq.thread0.memOrderViolation 18 # Number of memory ordering violations
-system.cpu.iew.lsq.thread0.squashedStores 623 # Number of stores squashed
+system.cpu.iew.lsq.thread0.squashedStores 602 # Number of stores squashed
system.cpu.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
system.cpu.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
system.cpu.iew.lsq.thread0.rescheduledLoads 1 # Number of loads that were rescheduled
-system.cpu.iew.lsq.thread0.cacheBlocked 321 # Number of times an access to memory failed due to the cache being blocked
-system.cpu.iew.lsq.thread1.forwLoads 81 # Number of loads that had data forwarded from stores
+system.cpu.iew.lsq.thread0.cacheBlocked 311 # Number of times an access to memory failed due to the cache being blocked
+system.cpu.iew.lsq.thread1.forwLoads 78 # Number of loads that had data forwarded from stores
system.cpu.iew.lsq.thread1.invAddrLoads 0 # Number of loads ignored due to an invalid address
-system.cpu.iew.lsq.thread1.squashedLoads 1720 # Number of loads squashed
-system.cpu.iew.lsq.thread1.ignoredResponses 2 # Number of memory responses ignored because the instruction is squashed
-system.cpu.iew.lsq.thread1.memOrderViolation 21 # Number of memory ordering violations
-system.cpu.iew.lsq.thread1.squashedStores 489 # Number of stores squashed
+system.cpu.iew.lsq.thread1.squashedLoads 1598 # Number of loads squashed
+system.cpu.iew.lsq.thread1.ignoredResponses 5 # Number of memory responses ignored because the instruction is squashed
+system.cpu.iew.lsq.thread1.memOrderViolation 20 # Number of memory ordering violations
+system.cpu.iew.lsq.thread1.squashedStores 474 # Number of stores squashed
system.cpu.iew.lsq.thread1.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
system.cpu.iew.lsq.thread1.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
system.cpu.iew.lsq.thread1.rescheduledLoads 1 # Number of loads that were rescheduled
-system.cpu.iew.lsq.thread1.cacheBlocked 265 # Number of times an access to memory failed due to the cache being blocked
+system.cpu.iew.lsq.thread1.cacheBlocked 263 # Number of times an access to memory failed due to the cache being blocked
system.cpu.iew.iewIdleCycles 0 # Number of cycles IEW is idle
-system.cpu.iew.iewSquashCycles 1147 # Number of cycles IEW is squashing
-system.cpu.iew.iewBlockCycles 2751 # Number of cycles IEW is blocking
-system.cpu.iew.iewUnblockCycles 416 # Number of cycles IEW is unblocking
-system.cpu.iew.iewDispatchedInsts 27257 # Number of instructions dispatched to IQ
-system.cpu.iew.iewDispSquashedInsts 298 # Number of squashed instructions skipped by dispatch
-system.cpu.iew.iewDispLoadInsts 5780 # Number of dispatched load instructions
-system.cpu.iew.iewDispStoreInsts 2842 # Number of dispatched store instructions
+system.cpu.iew.iewSquashCycles 1095 # Number of cycles IEW is squashing
+system.cpu.iew.iewBlockCycles 2708 # Number of cycles IEW is blocking
+system.cpu.iew.iewUnblockCycles 391 # Number of cycles IEW is unblocking
+system.cpu.iew.iewDispatchedInsts 26654 # Number of instructions dispatched to IQ
+system.cpu.iew.iewDispSquashedInsts 299 # Number of squashed instructions skipped by dispatch
+system.cpu.iew.iewDispLoadInsts 5596 # Number of dispatched load instructions
+system.cpu.iew.iewDispStoreInsts 2806 # Number of dispatched store instructions
system.cpu.iew.iewDispNonSpecInsts 53 # Number of dispatched non-speculative instructions
-system.cpu.iew.iewIQFullEvents 25 # Number of times the IQ has become full, causing a stall
-system.cpu.iew.iewLSQFullEvents 391 # Number of times the LSQ has become full, causing a stall
-system.cpu.iew.memOrderViolationEvents 39 # Number of memory order violations
-system.cpu.iew.predictedTakenIncorrect 140 # Number of branches that were predicted taken incorrectly
-system.cpu.iew.predictedNotTakenIncorrect 1160 # Number of branches that were predicted not taken incorrectly
-system.cpu.iew.branchMispredicts 1300 # Number of branch mispredicts detected at execute
-system.cpu.iew.iewExecutedInsts 21263 # Number of executed instructions
-system.cpu.iew.iewExecLoadInsts::0 2485 # Number of load instructions executed
-system.cpu.iew.iewExecLoadInsts::1 2477 # Number of load instructions executed
-system.cpu.iew.iewExecLoadInsts::total 4962 # Number of load instructions executed
-system.cpu.iew.iewExecSquashedInsts 1255 # Number of squashed instructions skipped in execute
+system.cpu.iew.iewIQFullEvents 29 # Number of times the IQ has become full, causing a stall
+system.cpu.iew.iewLSQFullEvents 359 # Number of times the LSQ has become full, causing a stall
+system.cpu.iew.memOrderViolationEvents 38 # Number of memory order violations
+system.cpu.iew.predictedTakenIncorrect 141 # Number of branches that were predicted taken incorrectly
+system.cpu.iew.predictedNotTakenIncorrect 1078 # Number of branches that were predicted not taken incorrectly
+system.cpu.iew.branchMispredicts 1219 # Number of branch mispredicts detected at execute
+system.cpu.iew.iewExecutedInsts 20887 # Number of executed instructions
+system.cpu.iew.iewExecLoadInsts::0 2420 # Number of load instructions executed
+system.cpu.iew.iewExecLoadInsts::1 2389 # Number of load instructions executed
+system.cpu.iew.iewExecLoadInsts::total 4809 # Number of load instructions executed
+system.cpu.iew.iewExecSquashedInsts 1187 # Number of squashed instructions skipped in execute
system.cpu.iew.exec_swp::0 0 # number of swp insts executed
system.cpu.iew.exec_swp::1 0 # number of swp insts executed
system.cpu.iew.exec_swp::total 0 # number of swp insts executed
system.cpu.iew.exec_nop::0 73 # number of nop insts executed
system.cpu.iew.exec_nop::1 73 # number of nop insts executed
system.cpu.iew.exec_nop::total 146 # number of nop insts executed
-system.cpu.iew.exec_refs::0 3579 # number of memory reference insts executed
-system.cpu.iew.exec_refs::1 3558 # number of memory reference insts executed
-system.cpu.iew.exec_refs::total 7137 # number of memory reference insts executed
-system.cpu.iew.exec_branches::0 1685 # Number of branches executed
-system.cpu.iew.exec_branches::1 1728 # Number of branches executed
-system.cpu.iew.exec_branches::total 3413 # Number of branches executed
-system.cpu.iew.exec_stores::0 1094 # Number of stores executed
+system.cpu.iew.exec_refs::0 3521 # number of memory reference insts executed
+system.cpu.iew.exec_refs::1 3470 # number of memory reference insts executed
+system.cpu.iew.exec_refs::total 6991 # number of memory reference insts executed
+system.cpu.iew.exec_branches::0 1644 # Number of branches executed
+system.cpu.iew.exec_branches::1 1667 # Number of branches executed
+system.cpu.iew.exec_branches::total 3311 # Number of branches executed
+system.cpu.iew.exec_stores::0 1101 # Number of stores executed
system.cpu.iew.exec_stores::1 1081 # Number of stores executed
-system.cpu.iew.exec_stores::total 2175 # Number of stores executed
-system.cpu.iew.exec_rate 0.458838 # Inst execution rate
-system.cpu.iew.wb_sent::0 10071 # cumulative count of insts sent to commit
-system.cpu.iew.wb_sent::1 10174 # cumulative count of insts sent to commit
-system.cpu.iew.wb_sent::total 20245 # cumulative count of insts sent to commit
-system.cpu.iew.wb_count::0 9887 # cumulative count of insts written-back
-system.cpu.iew.wb_count::1 9976 # cumulative count of insts written-back
-system.cpu.iew.wb_count::total 19863 # cumulative count of insts written-back
-system.cpu.iew.wb_producers::0 5227 # num instructions producing a value
-system.cpu.iew.wb_producers::1 5224 # num instructions producing a value
-system.cpu.iew.wb_producers::total 10451 # num instructions producing a value
-system.cpu.iew.wb_consumers::0 6995 # num instructions consuming a value
-system.cpu.iew.wb_consumers::1 6944 # num instructions consuming a value
-system.cpu.iew.wb_consumers::total 13939 # num instructions consuming a value
+system.cpu.iew.exec_stores::total 2182 # Number of stores executed
+system.cpu.iew.exec_rate 0.452845 # Inst execution rate
+system.cpu.iew.wb_sent::0 9956 # cumulative count of insts sent to commit
+system.cpu.iew.wb_sent::1 9970 # cumulative count of insts sent to commit
+system.cpu.iew.wb_sent::total 19926 # cumulative count of insts sent to commit
+system.cpu.iew.wb_count::0 9780 # cumulative count of insts written-back
+system.cpu.iew.wb_count::1 9791 # cumulative count of insts written-back
+system.cpu.iew.wb_count::total 19571 # cumulative count of insts written-back
+system.cpu.iew.wb_producers::0 5173 # num instructions producing a value
+system.cpu.iew.wb_producers::1 5150 # num instructions producing a value
+system.cpu.iew.wb_producers::total 10323 # num instructions producing a value
+system.cpu.iew.wb_consumers::0 6916 # num instructions consuming a value
+system.cpu.iew.wb_consumers::1 6837 # num instructions consuming a value
+system.cpu.iew.wb_consumers::total 13753 # num instructions consuming a value
system.cpu.iew.wb_penalized::0 0 # number of instrctions required to write to 'other' IQ
system.cpu.iew.wb_penalized::1 0 # number of instrctions required to write to 'other' IQ
system.cpu.iew.wb_penalized::total 0 # number of instrctions required to write to 'other' IQ
-system.cpu.iew.wb_rate::0 0.213353 # insts written-back per cycle
-system.cpu.iew.wb_rate::1 0.215274 # insts written-back per cycle
-system.cpu.iew.wb_rate::total 0.428627 # insts written-back per cycle
-system.cpu.iew.wb_fanout::0 0.747248 # average fanout of values written-back
-system.cpu.iew.wb_fanout::1 0.752304 # average fanout of values written-back
-system.cpu.iew.wb_fanout::total 0.749767 # average fanout of values written-back
+system.cpu.iew.wb_rate::0 0.212037 # insts written-back per cycle
+system.cpu.iew.wb_rate::1 0.212276 # insts written-back per cycle
+system.cpu.iew.wb_rate::total 0.424313 # insts written-back per cycle
+system.cpu.iew.wb_fanout::0 0.747976 # average fanout of values written-back
+system.cpu.iew.wb_fanout::1 0.753254 # average fanout of values written-back
+system.cpu.iew.wb_fanout::total 0.750600 # average fanout of values written-back
system.cpu.iew.wb_penalized_rate::0 0 # fraction of instructions written-back that wrote to 'other' IQ
system.cpu.iew.wb_penalized_rate::1 0 # fraction of instructions written-back that wrote to 'other' IQ
system.cpu.iew.wb_penalized_rate::total 0 # fraction of instructions written-back that wrote to 'other' IQ
-system.cpu.commit.commitSquashedInsts 14469 # The number of squashed insts skipped by commit
+system.cpu.commit.commitSquashedInsts 13856 # The number of squashed insts skipped by commit
system.cpu.commit.commitNonSpecStalls 34 # The number of times commit has been forced to stall to communicate backwards
-system.cpu.commit.branchMispredicts 1066 # The number of times a branch was mispredicted
-system.cpu.commit.committed_per_cycle::samples 28402 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::mean 0.449898 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::stdev 1.318202 # Number of insts commited each cycle
+system.cpu.commit.branchMispredicts 1015 # The number of times a branch was mispredicted
+system.cpu.commit.committed_per_cycle::samples 27930 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::mean 0.457501 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::stdev 1.335540 # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::0 23341 82.18% 82.18% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::1 2401 8.45% 90.63% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::2 1094 3.85% 94.49% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::3 390 1.37% 95.86% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::4 322 1.13% 96.99% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::5 184 0.65% 97.64% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::6 208 0.73% 98.37% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::7 133 0.47% 98.84% # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::8 329 1.16% 100.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::0 22902 82.00% 82.00% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::1 2402 8.60% 90.60% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::2 1059 3.79% 94.39% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::3 373 1.34% 95.73% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::4 324 1.16% 96.89% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::5 194 0.69% 97.58% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::6 197 0.71% 98.28% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::7 141 0.50% 98.79% # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::8 338 1.21% 100.00% # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
system.cpu.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
-system.cpu.commit.committed_per_cycle::total 28402 # Number of insts commited each cycle
+system.cpu.commit.committed_per_cycle::total 27930 # Number of insts commited each cycle
system.cpu.commit.committedInsts::0 6389 # Number of instructions committed
system.cpu.commit.committedInsts::1 6389 # Number of instructions committed
system.cpu.commit.committedInsts::total 12778 # Number of instructions committed
@@ -699,159 +707,168 @@ system.cpu.commit.op_class_1::IprAccess 0 0.00% 100.00% # Cl
system.cpu.commit.op_class_1::InstPrefetch 0 0.00% 100.00% # Class of committed instruction
system.cpu.commit.op_class_1::total 6389 # Class of committed instruction
system.cpu.commit.op_class::total 12778 0.00% 0.00% # Class of committed instruction
-system.cpu.commit.bw_lim_events 329 # number cycles where commit BW limit reached
+system.cpu.commit.bw_lim_events 338 # number cycles where commit BW limit reached
system.cpu.commit.bw_limited::0 0 # number of insts not committed due to BW limits
system.cpu.commit.bw_limited::1 0 # number of insts not committed due to BW limits
system.cpu.commit.bw_limited::total 0 # number of insts not committed due to BW limits
-system.cpu.rob.rob_reads 131970 # The number of ROB reads
-system.cpu.rob.rob_writes 57167 # The number of ROB writes
-system.cpu.timesIdled 413 # Number of times that the entire CPU went into an idle state and unscheduled itself
-system.cpu.idleCycles 17867 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu.rob.rob_reads 129256 # The number of ROB reads
+system.cpu.rob.rob_writes 55848 # The number of ROB writes
+system.cpu.timesIdled 409 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 18125 # Total number of cycles that the CPU has spent unscheduled due to idling
system.cpu.committedInsts::0 6372 # Number of Instructions Simulated
system.cpu.committedInsts::1 6372 # Number of Instructions Simulated
system.cpu.committedInsts::total 12744 # Number of Instructions Simulated
system.cpu.committedOps::0 6372 # Number of Ops (including micro ops) Simulated
system.cpu.committedOps::1 6372 # Number of Ops (including micro ops) Simulated
system.cpu.committedOps::total 12744 # Number of Ops (including micro ops) Simulated
-system.cpu.cpi::0 7.272599 # CPI: Cycles Per Instruction
-system.cpu.cpi::1 7.272599 # CPI: Cycles Per Instruction
-system.cpu.cpi_total 3.636299 # CPI: Total CPI of All Threads
-system.cpu.ipc::0 0.137502 # IPC: Instructions Per Cycle
-system.cpu.ipc::1 0.137502 # IPC: Instructions Per Cycle
-system.cpu.ipc_total 0.275005 # IPC: Total IPC of All Threads
-system.cpu.int_regfile_reads 26712 # number of integer regfile reads
-system.cpu.int_regfile_writes 15170 # number of integer regfile writes
+system.cpu.cpi::0 7.238544 # CPI: Cycles Per Instruction
+system.cpu.cpi::1 7.238544 # CPI: Cycles Per Instruction
+system.cpu.cpi_total 3.619272 # CPI: Total CPI of All Threads
+system.cpu.ipc::0 0.138149 # IPC: Instructions Per Cycle
+system.cpu.ipc::1 0.138149 # IPC: Instructions Per Cycle
+system.cpu.ipc_total 0.276299 # IPC: Total IPC of All Threads
+system.cpu.int_regfile_reads 26323 # number of integer regfile reads
+system.cpu.int_regfile_writes 14897 # number of integer regfile writes
system.cpu.fp_regfile_reads 16 # number of floating regfile reads
system.cpu.fp_regfile_writes 4 # number of floating regfile writes
system.cpu.misc_regfile_reads 2 # number of misc regfile reads
system.cpu.misc_regfile_writes 2 # number of misc regfile writes
-system.cpu.toL2Bus.throughput 2709710833 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 835 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 835 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 146 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExResp 146 # Transaction distribution
-system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 1266 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 696 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 1272 # Packet count per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 690 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 1962 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 40512 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 22272 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 62784 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 62784 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 40704 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 22080 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 62784 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 981 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 981 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 981 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 490500 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 2.1 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer0.occupancy 1042000 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer0.occupancy 1047000 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer0.utilization 4.5 # Layer utilization (%)
-system.cpu.toL2Bus.respLayer1.occupancy 550750 # Layer occupancy (ticks)
+system.cpu.toL2Bus.respLayer1.occupancy 547500 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 2.4 # Layer utilization (%)
system.cpu.icache.tags.replacements::0 7 # number of replacements
system.cpu.icache.tags.replacements::1 0 # number of replacements
system.cpu.icache.tags.replacements::total 7 # number of replacements
-system.cpu.icache.tags.tagsinuse 316.397057 # Cycle average of tags in use
-system.cpu.icache.tags.total_refs 4348 # Total number of references to valid blocks.
-system.cpu.icache.tags.sampled_refs 633 # Sample count of references to valid blocks.
-system.cpu.icache.tags.avg_refs 6.868878 # Average number of references to valid blocks.
+system.cpu.icache.tags.tagsinuse 316.469432 # Cycle average of tags in use
+system.cpu.icache.tags.total_refs 4175 # Total number of references to valid blocks.
+system.cpu.icache.tags.sampled_refs 636 # Sample count of references to valid blocks.
+system.cpu.icache.tags.avg_refs 6.564465 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 316.397057 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.154491 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.154491 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_task_id_blocks::1024 626 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::0 275 # Occupied blocks per task id
-system.cpu.icache.tags.age_task_id_blocks_1024::1 351 # Occupied blocks per task id
-system.cpu.icache.tags.occ_task_id_percent::1024 0.305664 # Percentage of cache occupancy per task id
-system.cpu.icache.tags.tag_accesses 11201 # Number of tag accesses
-system.cpu.icache.tags.data_accesses 11201 # Number of data accesses
-system.cpu.icache.ReadReq_hits::cpu.inst 4348 # number of ReadReq hits
-system.cpu.icache.ReadReq_hits::total 4348 # number of ReadReq hits
-system.cpu.icache.demand_hits::cpu.inst 4348 # number of demand (read+write) hits
-system.cpu.icache.demand_hits::total 4348 # number of demand (read+write) hits
-system.cpu.icache.overall_hits::cpu.inst 4348 # number of overall hits
-system.cpu.icache.overall_hits::total 4348 # number of overall hits
-system.cpu.icache.ReadReq_misses::cpu.inst 936 # number of ReadReq misses
-system.cpu.icache.ReadReq_misses::total 936 # number of ReadReq misses
-system.cpu.icache.demand_misses::cpu.inst 936 # number of demand (read+write) misses
-system.cpu.icache.demand_misses::total 936 # number of demand (read+write) misses
-system.cpu.icache.overall_misses::cpu.inst 936 # number of overall misses
-system.cpu.icache.overall_misses::total 936 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 64563991 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 64563991 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 64563991 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 64563991 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 64563991 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 64563991 # number of overall miss cycles
-system.cpu.icache.ReadReq_accesses::cpu.inst 5284 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.ReadReq_accesses::total 5284 # number of ReadReq accesses(hits+misses)
-system.cpu.icache.demand_accesses::cpu.inst 5284 # number of demand (read+write) accesses
-system.cpu.icache.demand_accesses::total 5284 # number of demand (read+write) accesses
-system.cpu.icache.overall_accesses::cpu.inst 5284 # number of overall (read+write) accesses
-system.cpu.icache.overall_accesses::total 5284 # number of overall (read+write) accesses
-system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.177139 # miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_miss_rate::total 0.177139 # miss rate for ReadReq accesses
-system.cpu.icache.demand_miss_rate::cpu.inst 0.177139 # miss rate for demand accesses
-system.cpu.icache.demand_miss_rate::total 0.177139 # miss rate for demand accesses
-system.cpu.icache.overall_miss_rate::cpu.inst 0.177139 # miss rate for overall accesses
-system.cpu.icache.overall_miss_rate::total 0.177139 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 68978.622863 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 68978.622863 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 68978.622863 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 68978.622863 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 68978.622863 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 68978.622863 # average overall miss latency
-system.cpu.icache.blocked_cycles::no_mshrs 3153 # number of cycles access was blocked
+system.cpu.icache.tags.occ_blocks::cpu.inst 316.469432 # Average occupied blocks per requestor
+system.cpu.icache.tags.occ_percent::cpu.inst 0.154526 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_percent::total 0.154526 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_task_id_blocks::1024 629 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::0 282 # Occupied blocks per task id
+system.cpu.icache.tags.age_task_id_blocks_1024::1 347 # Occupied blocks per task id
+system.cpu.icache.tags.occ_task_id_percent::1024 0.307129 # Percentage of cache occupancy per task id
+system.cpu.icache.tags.tag_accesses 10870 # Number of tag accesses
+system.cpu.icache.tags.data_accesses 10870 # Number of data accesses
+system.cpu.icache.ReadReq_hits::cpu.inst 4175 # number of ReadReq hits
+system.cpu.icache.ReadReq_hits::total 4175 # number of ReadReq hits
+system.cpu.icache.demand_hits::cpu.inst 4175 # number of demand (read+write) hits
+system.cpu.icache.demand_hits::total 4175 # number of demand (read+write) hits
+system.cpu.icache.overall_hits::cpu.inst 4175 # number of overall hits
+system.cpu.icache.overall_hits::total 4175 # number of overall hits
+system.cpu.icache.ReadReq_misses::cpu.inst 942 # number of ReadReq misses
+system.cpu.icache.ReadReq_misses::total 942 # number of ReadReq misses
+system.cpu.icache.demand_misses::cpu.inst 942 # number of demand (read+write) misses
+system.cpu.icache.demand_misses::total 942 # number of demand (read+write) misses
+system.cpu.icache.overall_misses::cpu.inst 942 # number of overall misses
+system.cpu.icache.overall_misses::total 942 # number of overall misses
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 64530491 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 64530491 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 64530491 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 64530491 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 64530491 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 64530491 # number of overall miss cycles
+system.cpu.icache.ReadReq_accesses::cpu.inst 5117 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.ReadReq_accesses::total 5117 # number of ReadReq accesses(hits+misses)
+system.cpu.icache.demand_accesses::cpu.inst 5117 # number of demand (read+write) accesses
+system.cpu.icache.demand_accesses::total 5117 # number of demand (read+write) accesses
+system.cpu.icache.overall_accesses::cpu.inst 5117 # number of overall (read+write) accesses
+system.cpu.icache.overall_accesses::total 5117 # number of overall (read+write) accesses
+system.cpu.icache.ReadReq_miss_rate::cpu.inst 0.184092 # miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_miss_rate::total 0.184092 # miss rate for ReadReq accesses
+system.cpu.icache.demand_miss_rate::cpu.inst 0.184092 # miss rate for demand accesses
+system.cpu.icache.demand_miss_rate::total 0.184092 # miss rate for demand accesses
+system.cpu.icache.overall_miss_rate::cpu.inst 0.184092 # miss rate for overall accesses
+system.cpu.icache.overall_miss_rate::total 0.184092 # miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 68503.705945 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 68503.705945 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 68503.705945 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 68503.705945 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 68503.705945 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 68503.705945 # average overall miss latency
+system.cpu.icache.blocked_cycles::no_mshrs 3163 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.icache.blocked::no_mshrs 83 # number of cycles access was blocked
+system.cpu.icache.blocked::no_mshrs 86 # number of cycles access was blocked
system.cpu.icache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.icache.avg_blocked_cycles::no_mshrs 37.987952 # average number of cycles each access was blocked
+system.cpu.icache.avg_blocked_cycles::no_mshrs 36.779070 # average number of cycles each access was blocked
system.cpu.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.icache.fast_writes 0 # number of fast writes performed
system.cpu.icache.cache_copies 0 # number of cache copies performed
-system.cpu.icache.ReadReq_mshr_hits::cpu.inst 303 # number of ReadReq MSHR hits
-system.cpu.icache.ReadReq_mshr_hits::total 303 # number of ReadReq MSHR hits
-system.cpu.icache.demand_mshr_hits::cpu.inst 303 # number of demand (read+write) MSHR hits
-system.cpu.icache.demand_mshr_hits::total 303 # number of demand (read+write) MSHR hits
-system.cpu.icache.overall_mshr_hits::cpu.inst 303 # number of overall MSHR hits
-system.cpu.icache.overall_mshr_hits::total 303 # number of overall MSHR hits
-system.cpu.icache.ReadReq_mshr_misses::cpu.inst 633 # number of ReadReq MSHR misses
-system.cpu.icache.ReadReq_mshr_misses::total 633 # number of ReadReq MSHR misses
-system.cpu.icache.demand_mshr_misses::cpu.inst 633 # number of demand (read+write) MSHR misses
-system.cpu.icache.demand_mshr_misses::total 633 # number of demand (read+write) MSHR misses
-system.cpu.icache.overall_mshr_misses::cpu.inst 633 # number of overall MSHR misses
-system.cpu.icache.overall_mshr_misses::total 633 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 46517493 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 46517493 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 46517493 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 46517493 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 46517493 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 46517493 # number of overall MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.119796 # mshr miss rate for ReadReq accesses
-system.cpu.icache.ReadReq_mshr_miss_rate::total 0.119796 # mshr miss rate for ReadReq accesses
-system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.119796 # mshr miss rate for demand accesses
-system.cpu.icache.demand_mshr_miss_rate::total 0.119796 # mshr miss rate for demand accesses
-system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.119796 # mshr miss rate for overall accesses
-system.cpu.icache.overall_mshr_miss_rate::total 0.119796 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 73487.350711 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 73487.350711 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 73487.350711 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 73487.350711 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 73487.350711 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 73487.350711 # average overall mshr miss latency
+system.cpu.icache.ReadReq_mshr_hits::cpu.inst 306 # number of ReadReq MSHR hits
+system.cpu.icache.ReadReq_mshr_hits::total 306 # number of ReadReq MSHR hits
+system.cpu.icache.demand_mshr_hits::cpu.inst 306 # number of demand (read+write) MSHR hits
+system.cpu.icache.demand_mshr_hits::total 306 # number of demand (read+write) MSHR hits
+system.cpu.icache.overall_mshr_hits::cpu.inst 306 # number of overall MSHR hits
+system.cpu.icache.overall_mshr_hits::total 306 # number of overall MSHR hits
+system.cpu.icache.ReadReq_mshr_misses::cpu.inst 636 # number of ReadReq MSHR misses
+system.cpu.icache.ReadReq_mshr_misses::total 636 # number of ReadReq MSHR misses
+system.cpu.icache.demand_mshr_misses::cpu.inst 636 # number of demand (read+write) MSHR misses
+system.cpu.icache.demand_mshr_misses::total 636 # number of demand (read+write) MSHR misses
+system.cpu.icache.overall_mshr_misses::cpu.inst 636 # number of overall MSHR misses
+system.cpu.icache.overall_mshr_misses::total 636 # number of overall MSHR misses
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 46571744 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 46571744 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 46571744 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 46571744 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 46571744 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 46571744 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.124292 # mshr miss rate for ReadReq accesses
+system.cpu.icache.ReadReq_mshr_miss_rate::total 0.124292 # mshr miss rate for ReadReq accesses
+system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.124292 # mshr miss rate for demand accesses
+system.cpu.icache.demand_mshr_miss_rate::total 0.124292 # mshr miss rate for demand accesses
+system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.124292 # mshr miss rate for overall accesses
+system.cpu.icache.overall_mshr_miss_rate::total 0.124292 # mshr miss rate for overall accesses
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 73226.012579 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 73226.012579 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 73226.012579 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 73226.012579 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 73226.012579 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 73226.012579 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.l2cache.tags.replacements::0 0 # number of replacements
system.cpu.l2cache.tags.replacements::1 0 # number of replacements
system.cpu.l2cache.tags.replacements::total 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 435.916526 # Cycle average of tags in use
+system.cpu.l2cache.tags.tagsinuse 435.675938 # Cycle average of tags in use
system.cpu.l2cache.tags.total_refs 2 # Total number of references to valid blocks.
system.cpu.l2cache.tags.sampled_refs 833 # Sample count of references to valid blocks.
system.cpu.l2cache.tags.avg_refs 0.002401 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 317.007070 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 118.909455 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_percent::cpu.inst 0.009674 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::cpu.data 0.003629 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.013303 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 317.099899 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 118.576039 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_percent::cpu.inst 0.009677 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::cpu.data 0.003619 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::total 0.013296 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_task_id_blocks::1024 833 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::0 350 # Occupied blocks per task id
-system.cpu.l2cache.tags.age_task_id_blocks_1024::1 483 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::0 354 # Occupied blocks per task id
+system.cpu.l2cache.tags.age_task_id_blocks_1024::1 479 # Occupied blocks per task id
system.cpu.l2cache.tags.occ_task_id_percent::1024 0.025421 # Percentage of cache occupancy per task id
system.cpu.l2cache.tags.tag_accesses 8827 # Number of tag accesses
system.cpu.l2cache.tags.data_accesses 8827 # Number of data accesses
@@ -861,61 +878,61 @@ system.cpu.l2cache.demand_hits::cpu.inst 2 # nu
system.cpu.l2cache.demand_hits::total 2 # number of demand (read+write) hits
system.cpu.l2cache.overall_hits::cpu.inst 2 # number of overall hits
system.cpu.l2cache.overall_hits::total 2 # number of overall hits
-system.cpu.l2cache.ReadReq_misses::cpu.inst 631 # number of ReadReq misses
-system.cpu.l2cache.ReadReq_misses::cpu.data 202 # number of ReadReq misses
+system.cpu.l2cache.ReadReq_misses::cpu.inst 634 # number of ReadReq misses
+system.cpu.l2cache.ReadReq_misses::cpu.data 199 # number of ReadReq misses
system.cpu.l2cache.ReadReq_misses::total 833 # number of ReadReq misses
system.cpu.l2cache.ReadExReq_misses::cpu.data 146 # number of ReadExReq misses
system.cpu.l2cache.ReadExReq_misses::total 146 # number of ReadExReq misses
-system.cpu.l2cache.demand_misses::cpu.inst 631 # number of demand (read+write) misses
-system.cpu.l2cache.demand_misses::cpu.data 348 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::cpu.inst 634 # number of demand (read+write) misses
+system.cpu.l2cache.demand_misses::cpu.data 345 # number of demand (read+write) misses
system.cpu.l2cache.demand_misses::total 979 # number of demand (read+write) misses
-system.cpu.l2cache.overall_misses::cpu.inst 631 # number of overall misses
-system.cpu.l2cache.overall_misses::cpu.data 348 # number of overall misses
+system.cpu.l2cache.overall_misses::cpu.inst 634 # number of overall misses
+system.cpu.l2cache.overall_misses::cpu.data 345 # number of overall misses
system.cpu.l2cache.overall_misses::total 979 # number of overall misses
-system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 45857000 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::cpu.data 16325500 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::total 62182500 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 11847250 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 11847250 # number of ReadExReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 45857000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 28172750 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::total 74029750 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 45857000 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 28172750 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::total 74029750 # number of overall miss cycles
-system.cpu.l2cache.ReadReq_accesses::cpu.inst 633 # number of ReadReq accesses(hits+misses)
-system.cpu.l2cache.ReadReq_accesses::cpu.data 202 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 45908000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.data 16069250 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::total 61977250 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 11885750 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 11885750 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 45908000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 27955000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::total 73863000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 45908000 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 27955000 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::total 73863000 # number of overall miss cycles
+system.cpu.l2cache.ReadReq_accesses::cpu.inst 636 # number of ReadReq accesses(hits+misses)
+system.cpu.l2cache.ReadReq_accesses::cpu.data 199 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::total 835 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadExReq_accesses::cpu.data 146 # number of ReadExReq accesses(hits+misses)
system.cpu.l2cache.ReadExReq_accesses::total 146 # number of ReadExReq accesses(hits+misses)
-system.cpu.l2cache.demand_accesses::cpu.inst 633 # number of demand (read+write) accesses
-system.cpu.l2cache.demand_accesses::cpu.data 348 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.inst 636 # number of demand (read+write) accesses
+system.cpu.l2cache.demand_accesses::cpu.data 345 # number of demand (read+write) accesses
system.cpu.l2cache.demand_accesses::total 981 # number of demand (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.inst 633 # number of overall (read+write) accesses
-system.cpu.l2cache.overall_accesses::cpu.data 348 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.inst 636 # number of overall (read+write) accesses
+system.cpu.l2cache.overall_accesses::cpu.data 345 # number of overall (read+write) accesses
system.cpu.l2cache.overall_accesses::total 981 # number of overall (read+write) accesses
-system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.996840 # miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_miss_rate::cpu.inst 0.996855 # miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_miss_rate::cpu.data 1 # miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_miss_rate::total 0.997605 # miss rate for ReadReq accesses
system.cpu.l2cache.ReadExReq_miss_rate::cpu.data 1 # miss rate for ReadExReq accesses
system.cpu.l2cache.ReadExReq_miss_rate::total 1 # miss rate for ReadExReq accesses
-system.cpu.l2cache.demand_miss_rate::cpu.inst 0.996840 # miss rate for demand accesses
+system.cpu.l2cache.demand_miss_rate::cpu.inst 0.996855 # miss rate for demand accesses
system.cpu.l2cache.demand_miss_rate::cpu.data 1 # miss rate for demand accesses
system.cpu.l2cache.demand_miss_rate::total 0.997961 # miss rate for demand accesses
-system.cpu.l2cache.overall_miss_rate::cpu.inst 0.996840 # miss rate for overall accesses
+system.cpu.l2cache.overall_miss_rate::cpu.inst 0.996855 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::cpu.data 1 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::total 0.997961 # miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 72673.534073 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 80819.306931 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::total 74648.859544 # average ReadReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 81145.547945 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 81145.547945 # average ReadExReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 72673.534073 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 80956.178161 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::total 75617.722165 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 72673.534073 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 80956.178161 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::total 75617.722165 # average overall miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 72410.094637 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 80750 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::total 74402.460984 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 81409.246575 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 81409.246575 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 72410.094637 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 81028.985507 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::total 75447.395301 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 72410.094637 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 81028.985507 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::total 75447.395301 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.l2cache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -924,164 +941,164 @@ system.cpu.l2cache.avg_blocked_cycles::no_mshrs nan
system.cpu.l2cache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.l2cache.fast_writes 0 # number of fast writes performed
system.cpu.l2cache.cache_copies 0 # number of cache copies performed
-system.cpu.l2cache.ReadReq_mshr_misses::cpu.inst 631 # number of ReadReq MSHR misses
-system.cpu.l2cache.ReadReq_mshr_misses::cpu.data 202 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadReq_mshr_misses::cpu.inst 634 # number of ReadReq MSHR misses
+system.cpu.l2cache.ReadReq_mshr_misses::cpu.data 199 # number of ReadReq MSHR misses
system.cpu.l2cache.ReadReq_mshr_misses::total 833 # number of ReadReq MSHR misses
system.cpu.l2cache.ReadExReq_mshr_misses::cpu.data 146 # number of ReadExReq MSHR misses
system.cpu.l2cache.ReadExReq_mshr_misses::total 146 # number of ReadExReq MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.inst 631 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.demand_mshr_misses::cpu.data 348 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.demand_mshr_misses::cpu.inst 634 # number of demand (read+write) MSHR misses
+system.cpu.l2cache.demand_mshr_misses::cpu.data 345 # number of demand (read+write) MSHR misses
system.cpu.l2cache.demand_mshr_misses::total 979 # number of demand (read+write) MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.inst 631 # number of overall MSHR misses
-system.cpu.l2cache.overall_mshr_misses::cpu.data 348 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_misses::cpu.inst 634 # number of overall MSHR misses
+system.cpu.l2cache.overall_mshr_misses::cpu.data 345 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::total 979 # number of overall MSHR misses
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 37981000 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 13848500 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::total 51829500 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 10060750 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 10060750 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 37981000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 23909250 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::total 61890250 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 37981000 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 23909250 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::total 61890250 # number of overall MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.996840 # mshr miss rate for ReadReq accesses
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 37994000 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 13623750 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::total 51617750 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 10099750 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 10099750 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 37994000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 23723500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::total 61717500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 37994000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 23723500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::total 61717500 # number of overall MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.996855 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::total 0.997605 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadExReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadExReq accesses
system.cpu.l2cache.ReadExReq_mshr_miss_rate::total 1 # mshr miss rate for ReadExReq accesses
-system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.996840 # mshr miss rate for demand accesses
+system.cpu.l2cache.demand_mshr_miss_rate::cpu.inst 0.996855 # mshr miss rate for demand accesses
system.cpu.l2cache.demand_mshr_miss_rate::cpu.data 1 # mshr miss rate for demand accesses
system.cpu.l2cache.demand_mshr_miss_rate::total 0.997961 # mshr miss rate for demand accesses
-system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.996840 # mshr miss rate for overall accesses
+system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.996855 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::total 0.997961 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 60191.759113 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 68556.930693 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 62220.288115 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 68909.246575 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 68909.246575 # average ReadExReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 60191.759113 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 68704.741379 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::total 63217.824311 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 60191.759113 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 68704.741379 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::total 63217.824311 # average overall mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 59927.444795 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 68461.055276 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 61966.086435 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 69176.369863 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 69176.369863 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 59927.444795 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 68763.768116 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::total 63041.368744 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 59927.444795 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 68763.768116 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::total 63041.368744 # average overall mshr miss latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.dcache.tags.replacements::0 0 # number of replacements
system.cpu.dcache.tags.replacements::1 0 # number of replacements
system.cpu.dcache.tags.replacements::total 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 212.136486 # Cycle average of tags in use
-system.cpu.dcache.tags.total_refs 4920 # Total number of references to valid blocks.
-system.cpu.dcache.tags.sampled_refs 348 # Sample count of references to valid blocks.
-system.cpu.dcache.tags.avg_refs 14.137931 # Average number of references to valid blocks.
+system.cpu.dcache.tags.tagsinuse 211.551618 # Cycle average of tags in use
+system.cpu.dcache.tags.total_refs 4777 # Total number of references to valid blocks.
+system.cpu.dcache.tags.sampled_refs 345 # Sample count of references to valid blocks.
+system.cpu.dcache.tags.avg_refs 13.846377 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 212.136486 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.data 0.051791 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.051791 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_task_id_blocks::1024 348 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::0 97 # Occupied blocks per task id
-system.cpu.dcache.tags.age_task_id_blocks_1024::1 251 # Occupied blocks per task id
-system.cpu.dcache.tags.occ_task_id_percent::1024 0.084961 # Percentage of cache occupancy per task id
-system.cpu.dcache.tags.tag_accesses 12242 # Number of tag accesses
-system.cpu.dcache.tags.data_accesses 12242 # Number of data accesses
-system.cpu.dcache.ReadReq_hits::cpu.data 3896 # number of ReadReq hits
-system.cpu.dcache.ReadReq_hits::total 3896 # number of ReadReq hits
-system.cpu.dcache.WriteReq_hits::cpu.data 1024 # number of WriteReq hits
-system.cpu.dcache.WriteReq_hits::total 1024 # number of WriteReq hits
-system.cpu.dcache.demand_hits::cpu.data 4920 # number of demand (read+write) hits
-system.cpu.dcache.demand_hits::total 4920 # number of demand (read+write) hits
-system.cpu.dcache.overall_hits::cpu.data 4920 # number of overall hits
-system.cpu.dcache.overall_hits::total 4920 # number of overall hits
-system.cpu.dcache.ReadReq_misses::cpu.data 321 # number of ReadReq misses
-system.cpu.dcache.ReadReq_misses::total 321 # number of ReadReq misses
-system.cpu.dcache.WriteReq_misses::cpu.data 706 # number of WriteReq misses
-system.cpu.dcache.WriteReq_misses::total 706 # number of WriteReq misses
-system.cpu.dcache.demand_misses::cpu.data 1027 # number of demand (read+write) misses
-system.cpu.dcache.demand_misses::total 1027 # number of demand (read+write) misses
-system.cpu.dcache.overall_misses::cpu.data 1027 # number of overall misses
-system.cpu.dcache.overall_misses::total 1027 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 23379250 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 23379250 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 51507169 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 51507169 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 74886419 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 74886419 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 74886419 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 74886419 # number of overall miss cycles
-system.cpu.dcache.ReadReq_accesses::cpu.data 4217 # number of ReadReq accesses(hits+misses)
-system.cpu.dcache.ReadReq_accesses::total 4217 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.tags.occ_blocks::cpu.data 211.551618 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_percent::cpu.data 0.051648 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_percent::total 0.051648 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_task_id_blocks::1024 345 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::0 95 # Occupied blocks per task id
+system.cpu.dcache.tags.age_task_id_blocks_1024::1 250 # Occupied blocks per task id
+system.cpu.dcache.tags.occ_task_id_percent::1024 0.084229 # Percentage of cache occupancy per task id
+system.cpu.dcache.tags.tag_accesses 11951 # Number of tag accesses
+system.cpu.dcache.tags.data_accesses 11951 # Number of data accesses
+system.cpu.dcache.ReadReq_hits::cpu.data 3755 # number of ReadReq hits
+system.cpu.dcache.ReadReq_hits::total 3755 # number of ReadReq hits
+system.cpu.dcache.WriteReq_hits::cpu.data 1022 # number of WriteReq hits
+system.cpu.dcache.WriteReq_hits::total 1022 # number of WriteReq hits
+system.cpu.dcache.demand_hits::cpu.data 4777 # number of demand (read+write) hits
+system.cpu.dcache.demand_hits::total 4777 # number of demand (read+write) hits
+system.cpu.dcache.overall_hits::cpu.data 4777 # number of overall hits
+system.cpu.dcache.overall_hits::total 4777 # number of overall hits
+system.cpu.dcache.ReadReq_misses::cpu.data 318 # number of ReadReq misses
+system.cpu.dcache.ReadReq_misses::total 318 # number of ReadReq misses
+system.cpu.dcache.WriteReq_misses::cpu.data 708 # number of WriteReq misses
+system.cpu.dcache.WriteReq_misses::total 708 # number of WriteReq misses
+system.cpu.dcache.demand_misses::cpu.data 1026 # number of demand (read+write) misses
+system.cpu.dcache.demand_misses::total 1026 # number of demand (read+write) misses
+system.cpu.dcache.overall_misses::cpu.data 1026 # number of overall misses
+system.cpu.dcache.overall_misses::total 1026 # number of overall misses
+system.cpu.dcache.ReadReq_miss_latency::cpu.data 22790250 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 22790250 # number of ReadReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.data 51585419 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 51585419 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 74375669 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 74375669 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 74375669 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 74375669 # number of overall miss cycles
+system.cpu.dcache.ReadReq_accesses::cpu.data 4073 # number of ReadReq accesses(hits+misses)
+system.cpu.dcache.ReadReq_accesses::total 4073 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.data 1730 # number of WriteReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::total 1730 # number of WriteReq accesses(hits+misses)
-system.cpu.dcache.demand_accesses::cpu.data 5947 # number of demand (read+write) accesses
-system.cpu.dcache.demand_accesses::total 5947 # number of demand (read+write) accesses
-system.cpu.dcache.overall_accesses::cpu.data 5947 # number of overall (read+write) accesses
-system.cpu.dcache.overall_accesses::total 5947 # number of overall (read+write) accesses
-system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.076120 # miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_miss_rate::total 0.076120 # miss rate for ReadReq accesses
-system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.408092 # miss rate for WriteReq accesses
-system.cpu.dcache.WriteReq_miss_rate::total 0.408092 # miss rate for WriteReq accesses
-system.cpu.dcache.demand_miss_rate::cpu.data 0.172692 # miss rate for demand accesses
-system.cpu.dcache.demand_miss_rate::total 0.172692 # miss rate for demand accesses
-system.cpu.dcache.overall_miss_rate::cpu.data 0.172692 # miss rate for overall accesses
-system.cpu.dcache.overall_miss_rate::total 0.172692 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 72832.554517 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 72832.554517 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 72956.330028 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 72956.330028 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 72917.642648 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 72917.642648 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 72917.642648 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 72917.642648 # average overall miss latency
-system.cpu.dcache.blocked_cycles::no_mshrs 5674 # number of cycles access was blocked
+system.cpu.dcache.demand_accesses::cpu.data 5803 # number of demand (read+write) accesses
+system.cpu.dcache.demand_accesses::total 5803 # number of demand (read+write) accesses
+system.cpu.dcache.overall_accesses::cpu.data 5803 # number of overall (read+write) accesses
+system.cpu.dcache.overall_accesses::total 5803 # number of overall (read+write) accesses
+system.cpu.dcache.ReadReq_miss_rate::cpu.data 0.078075 # miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_miss_rate::total 0.078075 # miss rate for ReadReq accesses
+system.cpu.dcache.WriteReq_miss_rate::cpu.data 0.409249 # miss rate for WriteReq accesses
+system.cpu.dcache.WriteReq_miss_rate::total 0.409249 # miss rate for WriteReq accesses
+system.cpu.dcache.demand_miss_rate::cpu.data 0.176805 # miss rate for demand accesses
+system.cpu.dcache.demand_miss_rate::total 0.176805 # miss rate for demand accesses
+system.cpu.dcache.overall_miss_rate::cpu.data 0.176805 # miss rate for overall accesses
+system.cpu.dcache.overall_miss_rate::total 0.176805 # miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 71667.452830 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 71667.452830 # average ReadReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 72860.761299 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 72860.761299 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 72490.905458 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 72490.905458 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 72490.905458 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 72490.905458 # average overall miss latency
+system.cpu.dcache.blocked_cycles::no_mshrs 5512 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.blocked::no_mshrs 139 # number of cycles access was blocked
+system.cpu.dcache.blocked::no_mshrs 138 # number of cycles access was blocked
system.cpu.dcache.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu.dcache.avg_blocked_cycles::no_mshrs 40.820144 # average number of cycles each access was blocked
+system.cpu.dcache.avg_blocked_cycles::no_mshrs 39.942029 # average number of cycles each access was blocked
system.cpu.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu.dcache.fast_writes 0 # number of fast writes performed
system.cpu.dcache.cache_copies 0 # number of cache copies performed
system.cpu.dcache.ReadReq_mshr_hits::cpu.data 119 # number of ReadReq MSHR hits
system.cpu.dcache.ReadReq_mshr_hits::total 119 # number of ReadReq MSHR hits
-system.cpu.dcache.WriteReq_mshr_hits::cpu.data 560 # number of WriteReq MSHR hits
-system.cpu.dcache.WriteReq_mshr_hits::total 560 # number of WriteReq MSHR hits
-system.cpu.dcache.demand_mshr_hits::cpu.data 679 # number of demand (read+write) MSHR hits
-system.cpu.dcache.demand_mshr_hits::total 679 # number of demand (read+write) MSHR hits
-system.cpu.dcache.overall_mshr_hits::cpu.data 679 # number of overall MSHR hits
-system.cpu.dcache.overall_mshr_hits::total 679 # number of overall MSHR hits
-system.cpu.dcache.ReadReq_mshr_misses::cpu.data 202 # number of ReadReq MSHR misses
-system.cpu.dcache.ReadReq_mshr_misses::total 202 # number of ReadReq MSHR misses
+system.cpu.dcache.WriteReq_mshr_hits::cpu.data 562 # number of WriteReq MSHR hits
+system.cpu.dcache.WriteReq_mshr_hits::total 562 # number of WriteReq MSHR hits
+system.cpu.dcache.demand_mshr_hits::cpu.data 681 # number of demand (read+write) MSHR hits
+system.cpu.dcache.demand_mshr_hits::total 681 # number of demand (read+write) MSHR hits
+system.cpu.dcache.overall_mshr_hits::cpu.data 681 # number of overall MSHR hits
+system.cpu.dcache.overall_mshr_hits::total 681 # number of overall MSHR hits
+system.cpu.dcache.ReadReq_mshr_misses::cpu.data 199 # number of ReadReq MSHR misses
+system.cpu.dcache.ReadReq_mshr_misses::total 199 # number of ReadReq MSHR misses
system.cpu.dcache.WriteReq_mshr_misses::cpu.data 146 # number of WriteReq MSHR misses
system.cpu.dcache.WriteReq_mshr_misses::total 146 # number of WriteReq MSHR misses
-system.cpu.dcache.demand_mshr_misses::cpu.data 348 # number of demand (read+write) MSHR misses
-system.cpu.dcache.demand_mshr_misses::total 348 # number of demand (read+write) MSHR misses
-system.cpu.dcache.overall_mshr_misses::cpu.data 348 # number of overall MSHR misses
-system.cpu.dcache.overall_mshr_misses::total 348 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 16537500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 16537500 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 11999490 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 11999490 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 28536990 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 28536990 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 28536990 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 28536990 # number of overall MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.047901 # mshr miss rate for ReadReq accesses
-system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.047901 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.demand_mshr_misses::cpu.data 345 # number of demand (read+write) MSHR misses
+system.cpu.dcache.demand_mshr_misses::total 345 # number of demand (read+write) MSHR misses
+system.cpu.dcache.overall_mshr_misses::cpu.data 345 # number of overall MSHR misses
+system.cpu.dcache.overall_mshr_misses::total 345 # number of overall MSHR misses
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 16277750 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 16277750 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 12037990 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 12037990 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 28315740 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 28315740 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 28315740 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 28315740 # number of overall MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.048858 # mshr miss rate for ReadReq accesses
+system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.048858 # mshr miss rate for ReadReq accesses
system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.084393 # mshr miss rate for WriteReq accesses
system.cpu.dcache.WriteReq_mshr_miss_rate::total 0.084393 # mshr miss rate for WriteReq accesses
-system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.058517 # mshr miss rate for demand accesses
-system.cpu.dcache.demand_mshr_miss_rate::total 0.058517 # mshr miss rate for demand accesses
-system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.058517 # mshr miss rate for overall accesses
-system.cpu.dcache.overall_mshr_miss_rate::total 0.058517 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 81868.811881 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 81868.811881 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 82188.287671 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 82188.287671 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 82002.844828 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 82002.844828 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 82002.844828 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 82002.844828 # average overall mshr miss latency
+system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.059452 # mshr miss rate for demand accesses
+system.cpu.dcache.demand_mshr_miss_rate::total 0.059452 # mshr miss rate for demand accesses
+system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.059452 # mshr miss rate for overall accesses
+system.cpu.dcache.overall_mshr_miss_rate::total 0.059452 # mshr miss rate for overall accesses
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 81797.738693 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 81797.738693 # average ReadReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 82451.986301 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 82451.986301 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 82074.608696 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 82074.608696 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 82074.608696 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 82074.608696 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/02.insttest/ref/sparc/linux/inorder-timing/stats.txt b/tests/quick/se/02.insttest/ref/sparc/linux/inorder-timing/stats.txt
index 2ad955d95..bc6a50393 100644
--- a/tests/quick/se/02.insttest/ref/sparc/linux/inorder-timing/stats.txt
+++ b/tests/quick/se/02.insttest/ref/sparc/linux/inorder-timing/stats.txt
@@ -1,14 +1,14 @@
---------- Begin Simulation Statistics ----------
sim_seconds 0.000028 # Number of seconds simulated
-sim_ticks 27662000 # Number of ticks simulated
-final_tick 27662000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_ticks 27671000 # Number of ticks simulated
+final_tick 27671000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 71683 # Simulator instruction rate (inst/s)
-host_op_rate 71677 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 130761776 # Simulator tick rate (ticks/s)
-host_mem_usage 270740 # Number of bytes of host memory used
-host_seconds 0.21 # Real time elapsed on the host
+host_inst_rate 87465 # Simulator instruction rate (inst/s)
+host_op_rate 87458 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 159601098 # Simulator tick rate (ticks/s)
+host_mem_usage 286440 # Number of bytes of host memory used
+host_seconds 0.17 # Real time elapsed on the host
sim_insts 15162 # Number of instructions simulated
sim_ops 15162 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -21,14 +21,14 @@ system.physmem.bytes_inst_read::total 19008 # Nu
system.physmem.num_reads::cpu.inst 297 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu.data 138 # Number of read requests responded to by this memory
system.physmem.num_reads::total 435 # Number of read requests responded to by this memory
-system.physmem.bw_read::cpu.inst 687152050 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu.data 319282771 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 1006434820 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu.inst 687152050 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 687152050 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu.inst 687152050 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu.data 319282771 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 1006434820 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_read::cpu.inst 686928553 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu.data 319178924 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 1006107477 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu.inst 686928553 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 686928553 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total::cpu.inst 686928553 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu.data 319178924 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 1006107477 # Total bandwidth to/from this memory (bytes/s)
system.physmem.readReqs 436 # Number of read requests accepted
system.physmem.writeReqs 0 # Number of write requests accepted
system.physmem.readBursts 436 # Number of DRAM read bursts, including those serviced by the write queue
@@ -75,7 +75,7 @@ system.physmem.perBankWrBursts::14 0 # Pe
system.physmem.perBankWrBursts::15 0 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 27628500 # Total gap between requests
+system.physmem.totGap 27637500 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 0 # Read request sizes (log2)
@@ -92,8 +92,8 @@ system.physmem.writePktSize::5 0 # Wr
system.physmem.writePktSize::6 0 # Write request sizes (log2)
system.physmem.rdQLenPdf::0 273 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::1 115 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::2 35 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::3 11 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::2 34 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::3 12 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::4 2 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::5 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::6 0 # What read queue length does an incoming req see
@@ -199,44 +199,52 @@ system.physmem.bytesPerActivate::640-767 3 4.55% 78.79% # By
system.physmem.bytesPerActivate::768-895 3 4.55% 83.33% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 11 16.67% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 66 # Bytes accessed per row activation
-system.physmem.totQLat 2526750 # Total ticks spent queuing
-system.physmem.totMemAccLat 10701750 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 2648750 # Total ticks spent queuing
+system.physmem.totMemAccLat 10823750 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 2180000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 5795.30 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 6075.11 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 24545.30 # Average memory access latency per DRAM burst
-system.physmem.avgRdBW 1008.75 # Average DRAM read bandwidth in MiByte/s
+system.physmem.avgMemAccLat 24825.11 # Average memory access latency per DRAM burst
+system.physmem.avgRdBW 1008.42 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 1008.75 # Average system read bandwidth in MiByte/s
+system.physmem.avgRdBWSys 1008.42 # Average system read bandwidth in MiByte/s
system.physmem.avgWrBWSys 0.00 # Average system write bandwidth in MiByte/s
system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
system.physmem.busUtil 7.88 # Data bus utilization in percentage
system.physmem.busUtilRead 7.88 # Data bus utilization in percentage for reads
system.physmem.busUtilWrite 0.00 # Data bus utilization in percentage for writes
-system.physmem.avgRdQLen 1.49 # Average read queue length when enqueuing
+system.physmem.avgRdQLen 1.50 # Average read queue length when enqueuing
system.physmem.avgWrQLen 0.00 # Average write queue length when enqueuing
system.physmem.readRowHits 362 # Number of row buffer hits during reads
system.physmem.writeRowHits 0 # Number of row buffer hits during writes
system.physmem.readRowHitRate 83.03 # Row buffer hit rate for reads
system.physmem.writeRowHitRate nan # Row buffer hit rate for writes
-system.physmem.avgGap 63368.12 # Average gap between requests
+system.physmem.avgGap 63388.76 # Average gap between requests
system.physmem.pageHitRate 83.03 # Row buffer hit rate, read and write combined
system.physmem.memoryStateTime::IDLE 1258750 # Time in different power states
system.physmem.memoryStateTime::REF 780000 # Time in different power states
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem.memoryStateTime::ACT 21617500 # Time in different power states
+system.physmem.memoryStateTime::ACT 21626500 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 1006434820 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 351 # Transaction distribution
system.membus.trans_dist::ReadResp 350 # Transaction distribution
system.membus.trans_dist::ReadExReq 85 # Transaction distribution
system.membus.trans_dist::ReadExResp 85 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 871 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 871 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 27840 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 27840 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 27840 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 27840 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 27840 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 436 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 436 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 436 # Request fanout histogram
system.membus.reqLayer0.occupancy 519500 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 1.9 # Layer utilization (%)
system.membus.respLayer1.occupancy 4048500 # Layer occupancy (ticks)
@@ -252,7 +260,7 @@ system.cpu.branchPred.BTBHitPct 66.317073 # BT
system.cpu.branchPred.usedRAS 174 # Number of times the RAS was used to get a target.
system.cpu.branchPred.RASInCorrect 5 # Number of incorrect RAS predictions.
system.cpu.workload.num_syscalls 18 # Number of system calls
-system.cpu.numCycles 55325 # number of cpu cycles simulated
+system.cpu.numCycles 55343 # number of cpu cycles simulated
system.cpu.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu.numWorkItemsCompleted 0 # number of work items this cpu completed
system.cpu.branch_predictor.predictedTaken 2893 # Number of Branches Predicted As Taken (True).
@@ -274,12 +282,12 @@ system.cpu.execution_unit.executions 11045 # Nu
system.cpu.mult_div_unit.multiplies 0 # Number of Multipy Operations Executed
system.cpu.mult_div_unit.divides 0 # Number of Divide Operations Executed
system.cpu.contextSwitches 1 # Number of context switches
-system.cpu.threadCycles 21861 # Total Number of Cycles A Thread Was Active in CPU (Per-Thread)
+system.cpu.threadCycles 21863 # Total Number of Cycles A Thread Was Active in CPU (Per-Thread)
system.cpu.smtCycles 0 # Total number of cycles that the CPU was in SMT-mode
-system.cpu.timesIdled 438 # Number of times that the entire CPU went into an idle state and unscheduled itself
-system.cpu.idleCycles 37757 # Number of cycles cpu's stages were not processed
+system.cpu.timesIdled 440 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu.idleCycles 37775 # Number of cycles cpu's stages were not processed
system.cpu.runCycles 17568 # Number of cycles cpu stages are processed.
-system.cpu.activity 31.754180 # Percentage of cycles cpu is active
+system.cpu.activity 31.743852 # Percentage of cycles cpu is active
system.cpu.comLoads 2225 # Number of Load instructions committed
system.cpu.comStores 1448 # Number of Store instructions committed
system.cpu.comBranches 3358 # Number of Branches instructions committed
@@ -291,36 +299,36 @@ system.cpu.committedInsts 15162 # Nu
system.cpu.committedOps 15162 # Number of Ops committed (Per-Thread)
system.cpu.smtCommittedInsts 0 # Number of SMT Instructions committed (Per-Thread)
system.cpu.committedInsts_total 15162 # Number of Instructions committed (Total)
-system.cpu.cpi 3.648925 # CPI: Cycles Per Instruction (Per-Thread)
+system.cpu.cpi 3.650112 # CPI: Cycles Per Instruction (Per-Thread)
system.cpu.smt_cpi nan # CPI: Total SMT-CPI
-system.cpu.cpi_total 3.648925 # CPI: Total CPI of All Threads
-system.cpu.ipc 0.274053 # IPC: Instructions Per Cycle (Per-Thread)
+system.cpu.cpi_total 3.650112 # CPI: Total CPI of All Threads
+system.cpu.ipc 0.273964 # IPC: Instructions Per Cycle (Per-Thread)
system.cpu.smt_ipc nan # IPC: Total SMT-IPC
-system.cpu.ipc_total 0.274053 # IPC: Total IPC of All Threads
-system.cpu.stage0.idleCycles 41899 # Number of cycles 0 instructions are processed.
+system.cpu.ipc_total 0.273964 # IPC: Total IPC of All Threads
+system.cpu.stage0.idleCycles 41917 # Number of cycles 0 instructions are processed.
system.cpu.stage0.runCycles 13426 # Number of cycles 1+ instructions are processed.
-system.cpu.stage0.utilization 24.267510 # Percentage of cycles stage was utilized (processing insts).
-system.cpu.stage1.idleCycles 45972 # Number of cycles 0 instructions are processed.
+system.cpu.stage0.utilization 24.259617 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage1.idleCycles 45990 # Number of cycles 0 instructions are processed.
system.cpu.stage1.runCycles 9353 # Number of cycles 1+ instructions are processed.
-system.cpu.stage1.utilization 16.905558 # Percentage of cycles stage was utilized (processing insts).
-system.cpu.stage2.idleCycles 46522 # Number of cycles 0 instructions are processed.
+system.cpu.stage1.utilization 16.900060 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage2.idleCycles 46540 # Number of cycles 0 instructions are processed.
system.cpu.stage2.runCycles 8803 # Number of cycles 1+ instructions are processed.
-system.cpu.stage2.utilization 15.911432 # Percentage of cycles stage was utilized (processing insts).
-system.cpu.stage3.idleCycles 52447 # Number of cycles 0 instructions are processed.
+system.cpu.stage2.utilization 15.906257 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage3.idleCycles 52465 # Number of cycles 0 instructions are processed.
system.cpu.stage3.runCycles 2878 # Number of cycles 1+ instructions are processed.
-system.cpu.stage3.utilization 5.201988 # Percentage of cycles stage was utilized (processing insts).
-system.cpu.stage4.idleCycles 46016 # Number of cycles 0 instructions are processed.
+system.cpu.stage3.utilization 5.200296 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage4.idleCycles 46034 # Number of cycles 0 instructions are processed.
system.cpu.stage4.runCycles 9309 # Number of cycles 1+ instructions are processed.
-system.cpu.stage4.utilization 16.826028 # Percentage of cycles stage was utilized (processing insts).
+system.cpu.stage4.utilization 16.820555 # Percentage of cycles stage was utilized (processing insts).
system.cpu.icache.tags.replacements 0 # number of replacements
-system.cpu.icache.tags.tagsinuse 168.857752 # Cycle average of tags in use
+system.cpu.icache.tags.tagsinuse 168.877638 # Cycle average of tags in use
system.cpu.icache.tags.total_refs 3004 # Total number of references to valid blocks.
system.cpu.icache.tags.sampled_refs 299 # Sample count of references to valid blocks.
system.cpu.icache.tags.avg_refs 10.046823 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 168.857752 # Average occupied blocks per requestor
-system.cpu.icache.tags.occ_percent::cpu.inst 0.082450 # Average percentage of cache occupancy
-system.cpu.icache.tags.occ_percent::total 0.082450 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_blocks::cpu.inst 168.877638 # Average occupied blocks per requestor
+system.cpu.icache.tags.occ_percent::cpu.inst 0.082460 # Average percentage of cache occupancy
+system.cpu.icache.tags.occ_percent::total 0.082460 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 299 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::0 79 # Occupied blocks per task id
system.cpu.icache.tags.age_task_id_blocks_1024::1 220 # Occupied blocks per task id
@@ -339,12 +347,12 @@ system.cpu.icache.demand_misses::cpu.inst 381 # n
system.cpu.icache.demand_misses::total 381 # number of demand (read+write) misses
system.cpu.icache.overall_misses::cpu.inst 381 # number of overall misses
system.cpu.icache.overall_misses::total 381 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 25881500 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 25881500 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 25881500 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 25881500 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 25881500 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 25881500 # number of overall miss cycles
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 25899500 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 25899500 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 25899500 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 25899500 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 25899500 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 25899500 # number of overall miss cycles
system.cpu.icache.ReadReq_accesses::cpu.inst 3385 # number of ReadReq accesses(hits+misses)
system.cpu.icache.ReadReq_accesses::total 3385 # number of ReadReq accesses(hits+misses)
system.cpu.icache.demand_accesses::cpu.inst 3385 # number of demand (read+write) accesses
@@ -357,12 +365,12 @@ system.cpu.icache.demand_miss_rate::cpu.inst 0.112555
system.cpu.icache.demand_miss_rate::total 0.112555 # miss rate for demand accesses
system.cpu.icache.overall_miss_rate::cpu.inst 0.112555 # miss rate for overall accesses
system.cpu.icache.overall_miss_rate::total 0.112555 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 67930.446194 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 67930.446194 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 67930.446194 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 67930.446194 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 67930.446194 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 67930.446194 # average overall miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 67977.690289 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 67977.690289 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 67977.690289 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 67977.690289 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 67977.690289 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 67977.690289 # average overall miss latency
system.cpu.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -383,26 +391,25 @@ system.cpu.icache.demand_mshr_misses::cpu.inst 301
system.cpu.icache.demand_mshr_misses::total 301 # number of demand (read+write) MSHR misses
system.cpu.icache.overall_mshr_misses::cpu.inst 301 # number of overall MSHR misses
system.cpu.icache.overall_mshr_misses::total 301 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 20450500 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 20450500 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 20450500 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 20450500 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 20450500 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 20450500 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 20459500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 20459500 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 20459500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 20459500 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 20459500 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 20459500 # number of overall MSHR miss cycles
system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.088922 # mshr miss rate for ReadReq accesses
system.cpu.icache.ReadReq_mshr_miss_rate::total 0.088922 # mshr miss rate for ReadReq accesses
system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.088922 # mshr miss rate for demand accesses
system.cpu.icache.demand_mshr_miss_rate::total 0.088922 # mshr miss rate for demand accesses
system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.088922 # mshr miss rate for overall accesses
system.cpu.icache.overall_mshr_miss_rate::total 0.088922 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 67941.860465 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 67941.860465 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 67941.860465 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 67941.860465 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 67941.860465 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 67941.860465 # average overall mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 67971.760797 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 67971.760797 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 67971.760797 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 67971.760797 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 67971.760797 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 67971.760797 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 1011062107 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 354 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 352 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 85 # Transaction distribution
@@ -410,11 +417,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 85 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 600 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 276 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 876 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 19136 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8832 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 27968 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 27968 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 19136 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8832 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 27968 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 439 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 439 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 439 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 219500 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.8 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 500000 # Layer occupancy (ticks)
@@ -422,16 +439,16 @@ system.cpu.toL2Bus.respLayer0.utilization 1.8 # L
system.cpu.toL2Bus.respLayer1.occupancy 222000 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 0.8 # Layer utilization (%)
system.cpu.l2cache.tags.replacements 0 # number of replacements
-system.cpu.l2cache.tags.tagsinuse 199.884332 # Cycle average of tags in use
+system.cpu.l2cache.tags.tagsinuse 199.907137 # Cycle average of tags in use
system.cpu.l2cache.tags.total_refs 2 # Total number of references to valid blocks.
system.cpu.l2cache.tags.sampled_refs 350 # Sample count of references to valid blocks.
system.cpu.l2cache.tags.avg_refs 0.005714 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 168.191422 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 31.692910 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 168.211200 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 31.695937 # Average occupied blocks per requestor
system.cpu.l2cache.tags.occ_percent::cpu.inst 0.005133 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.data 0.000967 # Average percentage of cache occupancy
-system.cpu.l2cache.tags.occ_percent::total 0.006100 # Average percentage of cache occupancy
+system.cpu.l2cache.tags.occ_percent::total 0.006101 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_task_id_blocks::1024 350 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1024::0 91 # Occupied blocks per task id
system.cpu.l2cache.tags.age_task_id_blocks_1024::1 259 # Occupied blocks per task id
@@ -455,16 +472,16 @@ system.cpu.l2cache.demand_misses::total 437 # nu
system.cpu.l2cache.overall_misses::cpu.inst 299 # number of overall misses
system.cpu.l2cache.overall_misses::cpu.data 138 # number of overall misses
system.cpu.l2cache.overall_misses::total 437 # number of overall misses
-system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 20127000 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 20136000 # number of ReadReq miss cycles
system.cpu.l2cache.ReadReq_miss_latency::cpu.data 3695250 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::total 23822250 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 6008750 # number of ReadExReq miss cycles
-system.cpu.l2cache.ReadExReq_miss_latency::total 6008750 # number of ReadExReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 20127000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 9704000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::total 23831250 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 5999750 # number of ReadExReq miss cycles
+system.cpu.l2cache.ReadExReq_miss_latency::total 5999750 # number of ReadExReq miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 20136000 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 9695000 # number of demand (read+write) miss cycles
system.cpu.l2cache.demand_miss_latency::total 29831000 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 20127000 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 9704000 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 20136000 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 9695000 # number of overall miss cycles
system.cpu.l2cache.overall_miss_latency::total 29831000 # number of overall miss cycles
system.cpu.l2cache.ReadReq_accesses::cpu.inst 301 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::cpu.data 53 # number of ReadReq accesses(hits+misses)
@@ -488,16 +505,16 @@ system.cpu.l2cache.demand_miss_rate::total 0.995444 #
system.cpu.l2cache.overall_miss_rate::cpu.inst 0.993355 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::cpu.data 1 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::total 0.995444 # miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 67314.381271 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 67344.481605 # average ReadReq miss latency
system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 69721.698113 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::total 67676.846591 # average ReadReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 70691.176471 # average ReadExReq miss latency
-system.cpu.l2cache.ReadExReq_avg_miss_latency::total 70691.176471 # average ReadExReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 67314.381271 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 70318.840580 # average overall miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::total 67702.414773 # average ReadReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 70585.294118 # average ReadExReq miss latency
+system.cpu.l2cache.ReadExReq_avg_miss_latency::total 70585.294118 # average ReadExReq miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 67344.481605 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 70253.623188 # average overall miss latency
system.cpu.l2cache.demand_avg_miss_latency::total 68263.157895 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 67314.381271 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 70318.840580 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 67344.481605 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 70253.623188 # average overall miss latency
system.cpu.l2cache.overall_avg_miss_latency::total 68263.157895 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
@@ -518,16 +535,16 @@ system.cpu.l2cache.demand_mshr_misses::total 437
system.cpu.l2cache.overall_mshr_misses::cpu.inst 299 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.data 138 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::total 437 # number of overall MSHR misses
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 16410500 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 16419500 # number of ReadReq MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 3036250 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::total 19446750 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 4964250 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 4964250 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 16410500 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 8000500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::total 19455750 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 4955250 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 4955250 # number of ReadExReq MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 16419500 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 7991500 # number of demand (read+write) MSHR miss cycles
system.cpu.l2cache.demand_mshr_miss_latency::total 24411000 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 16410500 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 8000500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 16419500 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 7991500 # number of overall MSHR miss cycles
system.cpu.l2cache.overall_mshr_miss_latency::total 24411000 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.993355 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadReq accesses
@@ -540,27 +557,27 @@ system.cpu.l2cache.demand_mshr_miss_rate::total 0.995444
system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.993355 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::total 0.995444 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 54884.615385 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 54914.715719 # average ReadReq mshr miss latency
system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 57287.735849 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 55246.448864 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 58402.941176 # average ReadExReq mshr miss latency
-system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 58402.941176 # average ReadExReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 54884.615385 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 57974.637681 # average overall mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 55272.017045 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 58297.058824 # average ReadExReq mshr miss latency
+system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 58297.058824 # average ReadExReq mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 54914.715719 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 57909.420290 # average overall mshr miss latency
system.cpu.l2cache.demand_avg_mshr_miss_latency::total 55860.411899 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 54884.615385 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 57974.637681 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 54914.715719 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 57909.420290 # average overall mshr miss latency
system.cpu.l2cache.overall_avg_mshr_miss_latency::total 55860.411899 # average overall mshr miss latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 98.520897 # Cycle average of tags in use
+system.cpu.dcache.tags.tagsinuse 98.529834 # Cycle average of tags in use
system.cpu.dcache.tags.total_refs 3193 # Total number of references to valid blocks.
system.cpu.dcache.tags.sampled_refs 138 # Sample count of references to valid blocks.
system.cpu.dcache.tags.avg_refs 23.137681 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 98.520897 # Average occupied blocks per requestor
-system.cpu.dcache.tags.occ_percent::cpu.data 0.024053 # Average percentage of cache occupancy
-system.cpu.dcache.tags.occ_percent::total 0.024053 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_blocks::cpu.data 98.529834 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_percent::cpu.data 0.024055 # Average percentage of cache occupancy
+system.cpu.dcache.tags.occ_percent::total 0.024055 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 138 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::0 16 # Occupied blocks per task id
system.cpu.dcache.tags.age_task_id_blocks_1024::1 122 # Occupied blocks per task id
@@ -587,12 +604,12 @@ system.cpu.dcache.overall_misses::cpu.data 480 #
system.cpu.dcache.overall_misses::total 480 # number of overall misses
system.cpu.dcache.ReadReq_miss_latency::cpu.data 4268250 # number of ReadReq miss cycles
system.cpu.dcache.ReadReq_miss_latency::total 4268250 # number of ReadReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::cpu.data 25916250 # number of WriteReq miss cycles
-system.cpu.dcache.WriteReq_miss_latency::total 25916250 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 30184500 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 30184500 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 30184500 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 30184500 # number of overall miss cycles
+system.cpu.dcache.WriteReq_miss_latency::cpu.data 25898250 # number of WriteReq miss cycles
+system.cpu.dcache.WriteReq_miss_latency::total 25898250 # number of WriteReq miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 30166500 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 30166500 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 30166500 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 30166500 # number of overall miss cycles
system.cpu.dcache.ReadReq_accesses::cpu.data 2225 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.ReadReq_accesses::total 2225 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.data 1442 # number of WriteReq accesses(hits+misses)
@@ -613,12 +630,12 @@ system.cpu.dcache.overall_miss_rate::cpu.data 0.130897
system.cpu.dcache.overall_miss_rate::total 0.130897 # miss rate for overall accesses
system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 73590.517241 # average ReadReq miss latency
system.cpu.dcache.ReadReq_avg_miss_latency::total 73590.517241 # average ReadReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 61412.914692 # average WriteReq miss latency
-system.cpu.dcache.WriteReq_avg_miss_latency::total 61412.914692 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 62884.375000 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 62884.375000 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 62884.375000 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 62884.375000 # average overall miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 61370.260664 # average WriteReq miss latency
+system.cpu.dcache.WriteReq_avg_miss_latency::total 61370.260664 # average WriteReq miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 62846.875000 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 62846.875000 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 62846.875000 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 62846.875000 # average overall miss latency
system.cpu.dcache.blocked_cycles::no_mshrs 1102 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 33 # number of cycles access was blocked
@@ -645,12 +662,12 @@ system.cpu.dcache.overall_mshr_misses::cpu.data 138
system.cpu.dcache.overall_mshr_misses::total 138 # number of overall MSHR misses
system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 3749750 # number of ReadReq MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_miss_latency::total 3749750 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 6096750 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.WriteReq_mshr_miss_latency::total 6096750 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 9846500 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 9846500 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 9846500 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 9846500 # number of overall MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 6087750 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.WriteReq_mshr_miss_latency::total 6087750 # number of WriteReq MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 9837500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 9837500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 9837500 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 9837500 # number of overall MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.023820 # mshr miss rate for ReadReq accesses
system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.023820 # mshr miss rate for ReadReq accesses
system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.058946 # mshr miss rate for WriteReq accesses
@@ -661,12 +678,12 @@ system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.037633
system.cpu.dcache.overall_mshr_miss_rate::total 0.037633 # mshr miss rate for overall accesses
system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 70750 # average ReadReq mshr miss latency
system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 70750 # average ReadReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 71726.470588 # average WriteReq mshr miss latency
-system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 71726.470588 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 71351.449275 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 71351.449275 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 71351.449275 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 71351.449275 # average overall mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 71620.588235 # average WriteReq mshr miss latency
+system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 71620.588235 # average WriteReq mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 71286.231884 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 71286.231884 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 71286.231884 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 71286.231884 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/02.insttest/ref/sparc/linux/o3-timing/stats.txt b/tests/quick/se/02.insttest/ref/sparc/linux/o3-timing/stats.txt
index 07c326366..5e7063deb 100644
--- a/tests/quick/se/02.insttest/ref/sparc/linux/o3-timing/stats.txt
+++ b/tests/quick/se/02.insttest/ref/sparc/linux/o3-timing/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000026 # Nu
sim_ticks 25944000 # Number of ticks simulated
final_tick 25944000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 14664 # Simulator instruction rate (inst/s)
-host_op_rate 14664 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 26353337 # Simulator tick rate (ticks/s)
-host_mem_usage 237548 # Number of bytes of host memory used
-host_seconds 0.98 # Real time elapsed on the host
+host_inst_rate 79125 # Simulator instruction rate (inst/s)
+host_op_rate 79119 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 142180718 # Simulator tick rate (ticks/s)
+host_mem_usage 289004 # Number of bytes of host memory used
+host_seconds 0.18 # Real time elapsed on the host
sim_insts 14436 # Number of instructions simulated
sim_ops 14436 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -91,8 +91,8 @@ system.physmem.writePktSize::4 0 # Wr
system.physmem.writePktSize::5 0 # Write request sizes (log2)
system.physmem.writePktSize::6 0 # Write request sizes (log2)
system.physmem.rdQLenPdf::0 288 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 137 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::2 53 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::1 136 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::2 54 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::3 10 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::4 3 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::5 1 # What read queue length does an incoming req see
@@ -200,12 +200,12 @@ system.physmem.bytesPerActivate::768-895 6 8.33% 83.33% # By
system.physmem.bytesPerActivate::896-1023 1 1.39% 84.72% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 11 15.28% 100.00% # Bytes accessed per row activation
system.physmem.bytesPerActivate::total 72 # Bytes accessed per row activation
-system.physmem.totQLat 2648500 # Total ticks spent queuing
-system.physmem.totMemAccLat 11873500 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totQLat 2786000 # Total ticks spent queuing
+system.physmem.totMemAccLat 12011000 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 2460000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 5383.13 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 5662.60 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 24133.13 # Average memory access latency per DRAM burst
+system.physmem.avgMemAccLat 24412.60 # Average memory access latency per DRAM burst
system.physmem.avgRdBW 1213.69 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
system.physmem.avgRdBWSys 1213.69 # Average system read bandwidth in MiByte/s
@@ -227,17 +227,25 @@ system.physmem.memoryStateTime::REF 780000 # Ti
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
system.physmem.memoryStateTime::ACT 22761250 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 1211224175 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 409 # Transaction distribution
system.membus.trans_dist::ReadResp 408 # Transaction distribution
system.membus.trans_dist::ReadExReq 83 # Transaction distribution
system.membus.trans_dist::ReadExResp 83 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 983 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 983 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 31424 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 31424 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 31424 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 31424 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 31424 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 492 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 492 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 492 # Request fanout histogram
system.membus.reqLayer0.occupancy 611000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 2.4 # Layer utilization (%)
system.membus.respLayer1.occupancy 4586750 # Layer occupancy (ticks)
@@ -540,7 +548,6 @@ system.cpu.int_regfile_reads 33400 # nu
system.cpu.int_regfile_writes 18599 # number of integer regfile writes
system.cpu.misc_regfile_reads 7136 # number of misc regfile reads
system.cpu.misc_regfile_writes 569 # number of misc regfile writes
-system.cpu.toL2Bus.throughput 1216157879 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 411 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 410 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 83 # Transaction distribution
@@ -548,11 +555,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 83 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 692 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 295 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 987 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 22144 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9408 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 31552 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 31552 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 22144 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 9408 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 31552 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 494 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 494 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 494 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 247000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 1.0 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 579250 # Layer occupancy (ticks)
@@ -560,12 +577,12 @@ system.cpu.toL2Bus.respLayer0.utilization 2.2 # L
system.cpu.toL2Bus.respLayer1.occupancy 233000 # Layer occupancy (ticks)
system.cpu.toL2Bus.respLayer1.utilization 0.9 # Layer utilization (%)
system.cpu.icache.tags.replacements 0 # number of replacements
-system.cpu.icache.tags.tagsinuse 192.510615 # Cycle average of tags in use
+system.cpu.icache.tags.tagsinuse 192.510962 # Cycle average of tags in use
system.cpu.icache.tags.total_refs 5925 # Total number of references to valid blocks.
system.cpu.icache.tags.sampled_refs 346 # Sample count of references to valid blocks.
system.cpu.icache.tags.avg_refs 17.124277 # Average number of references to valid blocks.
system.cpu.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.icache.tags.occ_blocks::cpu.inst 192.510615 # Average occupied blocks per requestor
+system.cpu.icache.tags.occ_blocks::cpu.inst 192.510962 # Average occupied blocks per requestor
system.cpu.icache.tags.occ_percent::cpu.inst 0.093999 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_percent::total 0.093999 # Average percentage of cache occupancy
system.cpu.icache.tags.occ_task_id_blocks::1024 346 # Occupied blocks per task id
@@ -586,12 +603,12 @@ system.cpu.icache.demand_misses::cpu.inst 528 # n
system.cpu.icache.demand_misses::total 528 # number of demand (read+write) misses
system.cpu.icache.overall_misses::cpu.inst 528 # number of overall misses
system.cpu.icache.overall_misses::total 528 # number of overall misses
-system.cpu.icache.ReadReq_miss_latency::cpu.inst 32454000 # number of ReadReq miss cycles
-system.cpu.icache.ReadReq_miss_latency::total 32454000 # number of ReadReq miss cycles
-system.cpu.icache.demand_miss_latency::cpu.inst 32454000 # number of demand (read+write) miss cycles
-system.cpu.icache.demand_miss_latency::total 32454000 # number of demand (read+write) miss cycles
-system.cpu.icache.overall_miss_latency::cpu.inst 32454000 # number of overall miss cycles
-system.cpu.icache.overall_miss_latency::total 32454000 # number of overall miss cycles
+system.cpu.icache.ReadReq_miss_latency::cpu.inst 32445000 # number of ReadReq miss cycles
+system.cpu.icache.ReadReq_miss_latency::total 32445000 # number of ReadReq miss cycles
+system.cpu.icache.demand_miss_latency::cpu.inst 32445000 # number of demand (read+write) miss cycles
+system.cpu.icache.demand_miss_latency::total 32445000 # number of demand (read+write) miss cycles
+system.cpu.icache.overall_miss_latency::cpu.inst 32445000 # number of overall miss cycles
+system.cpu.icache.overall_miss_latency::total 32445000 # number of overall miss cycles
system.cpu.icache.ReadReq_accesses::cpu.inst 6453 # number of ReadReq accesses(hits+misses)
system.cpu.icache.ReadReq_accesses::total 6453 # number of ReadReq accesses(hits+misses)
system.cpu.icache.demand_accesses::cpu.inst 6453 # number of demand (read+write) accesses
@@ -604,12 +621,12 @@ system.cpu.icache.demand_miss_rate::cpu.inst 0.081822
system.cpu.icache.demand_miss_rate::total 0.081822 # miss rate for demand accesses
system.cpu.icache.overall_miss_rate::cpu.inst 0.081822 # miss rate for overall accesses
system.cpu.icache.overall_miss_rate::total 0.081822 # miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 61465.909091 # average ReadReq miss latency
-system.cpu.icache.ReadReq_avg_miss_latency::total 61465.909091 # average ReadReq miss latency
-system.cpu.icache.demand_avg_miss_latency::cpu.inst 61465.909091 # average overall miss latency
-system.cpu.icache.demand_avg_miss_latency::total 61465.909091 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::cpu.inst 61465.909091 # average overall miss latency
-system.cpu.icache.overall_avg_miss_latency::total 61465.909091 # average overall miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::cpu.inst 61448.863636 # average ReadReq miss latency
+system.cpu.icache.ReadReq_avg_miss_latency::total 61448.863636 # average ReadReq miss latency
+system.cpu.icache.demand_avg_miss_latency::cpu.inst 61448.863636 # average overall miss latency
+system.cpu.icache.demand_avg_miss_latency::total 61448.863636 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::cpu.inst 61448.863636 # average overall miss latency
+system.cpu.icache.overall_avg_miss_latency::total 61448.863636 # average overall miss latency
system.cpu.icache.blocked_cycles::no_mshrs 42 # number of cycles access was blocked
system.cpu.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.icache.blocked::no_mshrs 1 # number of cycles access was blocked
@@ -630,24 +647,24 @@ system.cpu.icache.demand_mshr_misses::cpu.inst 346
system.cpu.icache.demand_mshr_misses::total 346 # number of demand (read+write) MSHR misses
system.cpu.icache.overall_mshr_misses::cpu.inst 346 # number of overall MSHR misses
system.cpu.icache.overall_mshr_misses::total 346 # number of overall MSHR misses
-system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 23048750 # number of ReadReq MSHR miss cycles
-system.cpu.icache.ReadReq_mshr_miss_latency::total 23048750 # number of ReadReq MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::cpu.inst 23048750 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.demand_mshr_miss_latency::total 23048750 # number of demand (read+write) MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::cpu.inst 23048750 # number of overall MSHR miss cycles
-system.cpu.icache.overall_mshr_miss_latency::total 23048750 # number of overall MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::cpu.inst 23039750 # number of ReadReq MSHR miss cycles
+system.cpu.icache.ReadReq_mshr_miss_latency::total 23039750 # number of ReadReq MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::cpu.inst 23039750 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.demand_mshr_miss_latency::total 23039750 # number of demand (read+write) MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::cpu.inst 23039750 # number of overall MSHR miss cycles
+system.cpu.icache.overall_mshr_miss_latency::total 23039750 # number of overall MSHR miss cycles
system.cpu.icache.ReadReq_mshr_miss_rate::cpu.inst 0.053618 # mshr miss rate for ReadReq accesses
system.cpu.icache.ReadReq_mshr_miss_rate::total 0.053618 # mshr miss rate for ReadReq accesses
system.cpu.icache.demand_mshr_miss_rate::cpu.inst 0.053618 # mshr miss rate for demand accesses
system.cpu.icache.demand_mshr_miss_rate::total 0.053618 # mshr miss rate for demand accesses
system.cpu.icache.overall_mshr_miss_rate::cpu.inst 0.053618 # mshr miss rate for overall accesses
system.cpu.icache.overall_mshr_miss_rate::total 0.053618 # mshr miss rate for overall accesses
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 66614.884393 # average ReadReq mshr miss latency
-system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 66614.884393 # average ReadReq mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 66614.884393 # average overall mshr miss latency
-system.cpu.icache.demand_avg_mshr_miss_latency::total 66614.884393 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 66614.884393 # average overall mshr miss latency
-system.cpu.icache.overall_avg_mshr_miss_latency::total 66614.884393 # average overall mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::cpu.inst 66588.872832 # average ReadReq mshr miss latency
+system.cpu.icache.ReadReq_avg_mshr_miss_latency::total 66588.872832 # average ReadReq mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::cpu.inst 66588.872832 # average overall mshr miss latency
+system.cpu.icache.demand_avg_mshr_miss_latency::total 66588.872832 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::cpu.inst 66588.872832 # average overall mshr miss latency
+system.cpu.icache.overall_avg_mshr_miss_latency::total 66588.872832 # average overall mshr miss latency
system.cpu.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.l2cache.tags.replacements 0 # number of replacements
system.cpu.l2cache.tags.tagsinuse 226.536653 # Cycle average of tags in use
@@ -655,8 +672,8 @@ system.cpu.l2cache.tags.total_refs 2 # To
system.cpu.l2cache.tags.sampled_refs 408 # Sample count of references to valid blocks.
system.cpu.l2cache.tags.avg_refs 0.004902 # Average number of references to valid blocks.
system.cpu.l2cache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.l2cache.tags.occ_blocks::cpu.inst 191.902478 # Average occupied blocks per requestor
-system.cpu.l2cache.tags.occ_blocks::cpu.data 34.634175 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.inst 191.902825 # Average occupied blocks per requestor
+system.cpu.l2cache.tags.occ_blocks::cpu.data 34.633828 # Average occupied blocks per requestor
system.cpu.l2cache.tags.occ_percent::cpu.inst 0.005856 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::cpu.data 0.001057 # Average percentage of cache occupancy
system.cpu.l2cache.tags.occ_percent::total 0.006913 # Average percentage of cache occupancy
@@ -683,16 +700,16 @@ system.cpu.l2cache.demand_misses::total 492 # nu
system.cpu.l2cache.overall_misses::cpu.inst 344 # number of overall misses
system.cpu.l2cache.overall_misses::cpu.data 148 # number of overall misses
system.cpu.l2cache.overall_misses::total 492 # number of overall misses
-system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 22682250 # number of ReadReq miss cycles
-system.cpu.l2cache.ReadReq_miss_latency::cpu.data 4667500 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.inst 22673250 # number of ReadReq miss cycles
+system.cpu.l2cache.ReadReq_miss_latency::cpu.data 4676500 # number of ReadReq miss cycles
system.cpu.l2cache.ReadReq_miss_latency::total 27349750 # number of ReadReq miss cycles
system.cpu.l2cache.ReadExReq_miss_latency::cpu.data 6151000 # number of ReadExReq miss cycles
system.cpu.l2cache.ReadExReq_miss_latency::total 6151000 # number of ReadExReq miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.inst 22682250 # number of demand (read+write) miss cycles
-system.cpu.l2cache.demand_miss_latency::cpu.data 10818500 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.inst 22673250 # number of demand (read+write) miss cycles
+system.cpu.l2cache.demand_miss_latency::cpu.data 10827500 # number of demand (read+write) miss cycles
system.cpu.l2cache.demand_miss_latency::total 33500750 # number of demand (read+write) miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.inst 22682250 # number of overall miss cycles
-system.cpu.l2cache.overall_miss_latency::cpu.data 10818500 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.inst 22673250 # number of overall miss cycles
+system.cpu.l2cache.overall_miss_latency::cpu.data 10827500 # number of overall miss cycles
system.cpu.l2cache.overall_miss_latency::total 33500750 # number of overall miss cycles
system.cpu.l2cache.ReadReq_accesses::cpu.inst 346 # number of ReadReq accesses(hits+misses)
system.cpu.l2cache.ReadReq_accesses::cpu.data 65 # number of ReadReq accesses(hits+misses)
@@ -716,16 +733,16 @@ system.cpu.l2cache.demand_miss_rate::total 0.995951 #
system.cpu.l2cache.overall_miss_rate::cpu.inst 0.994220 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::cpu.data 1 # miss rate for overall accesses
system.cpu.l2cache.overall_miss_rate::total 0.995951 # miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 65936.773256 # average ReadReq miss latency
-system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 71807.692308 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.inst 65910.610465 # average ReadReq miss latency
+system.cpu.l2cache.ReadReq_avg_miss_latency::cpu.data 71946.153846 # average ReadReq miss latency
system.cpu.l2cache.ReadReq_avg_miss_latency::total 66869.804401 # average ReadReq miss latency
system.cpu.l2cache.ReadExReq_avg_miss_latency::cpu.data 74108.433735 # average ReadExReq miss latency
system.cpu.l2cache.ReadExReq_avg_miss_latency::total 74108.433735 # average ReadExReq miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 65936.773256 # average overall miss latency
-system.cpu.l2cache.demand_avg_miss_latency::cpu.data 73097.972973 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.inst 65910.610465 # average overall miss latency
+system.cpu.l2cache.demand_avg_miss_latency::cpu.data 73158.783784 # average overall miss latency
system.cpu.l2cache.demand_avg_miss_latency::total 68090.955285 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 65936.773256 # average overall miss latency
-system.cpu.l2cache.overall_avg_miss_latency::cpu.data 73097.972973 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.inst 65910.610465 # average overall miss latency
+system.cpu.l2cache.overall_avg_miss_latency::cpu.data 73158.783784 # average overall miss latency
system.cpu.l2cache.overall_avg_miss_latency::total 68090.955285 # average overall miss latency
system.cpu.l2cache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu.l2cache.blocked_cycles::no_targets 0 # number of cycles access was blocked
@@ -746,16 +763,16 @@ system.cpu.l2cache.demand_mshr_misses::total 492
system.cpu.l2cache.overall_mshr_misses::cpu.inst 344 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::cpu.data 148 # number of overall MSHR misses
system.cpu.l2cache.overall_mshr_misses::total 492 # number of overall MSHR misses
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 18356250 # number of ReadReq MSHR miss cycles
-system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 3880500 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.inst 18347250 # number of ReadReq MSHR miss cycles
+system.cpu.l2cache.ReadReq_mshr_miss_latency::cpu.data 3889500 # number of ReadReq MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_latency::total 22236750 # number of ReadReq MSHR miss cycles
system.cpu.l2cache.ReadExReq_mshr_miss_latency::cpu.data 5131500 # number of ReadExReq MSHR miss cycles
system.cpu.l2cache.ReadExReq_mshr_miss_latency::total 5131500 # number of ReadExReq MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 18356250 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 9012000 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.inst 18347250 # number of demand (read+write) MSHR miss cycles
+system.cpu.l2cache.demand_mshr_miss_latency::cpu.data 9021000 # number of demand (read+write) MSHR miss cycles
system.cpu.l2cache.demand_mshr_miss_latency::total 27368250 # number of demand (read+write) MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 18356250 # number of overall MSHR miss cycles
-system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 9012000 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.inst 18347250 # number of overall MSHR miss cycles
+system.cpu.l2cache.overall_mshr_miss_latency::cpu.data 9021000 # number of overall MSHR miss cycles
system.cpu.l2cache.overall_mshr_miss_latency::total 27368250 # number of overall MSHR miss cycles
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.inst 0.994220 # mshr miss rate for ReadReq accesses
system.cpu.l2cache.ReadReq_mshr_miss_rate::cpu.data 1 # mshr miss rate for ReadReq accesses
@@ -768,25 +785,25 @@ system.cpu.l2cache.demand_mshr_miss_rate::total 0.995951
system.cpu.l2cache.overall_mshr_miss_rate::cpu.inst 0.994220 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::cpu.data 1 # mshr miss rate for overall accesses
system.cpu.l2cache.overall_mshr_miss_rate::total 0.995951 # mshr miss rate for overall accesses
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 53361.191860 # average ReadReq mshr miss latency
-system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 59700 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.inst 53335.029070 # average ReadReq mshr miss latency
+system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::cpu.data 59838.461538 # average ReadReq mshr miss latency
system.cpu.l2cache.ReadReq_avg_mshr_miss_latency::total 54368.581907 # average ReadReq mshr miss latency
system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::cpu.data 61825.301205 # average ReadExReq mshr miss latency
system.cpu.l2cache.ReadExReq_avg_mshr_miss_latency::total 61825.301205 # average ReadExReq mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 53361.191860 # average overall mshr miss latency
-system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 60891.891892 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.inst 53335.029070 # average overall mshr miss latency
+system.cpu.l2cache.demand_avg_mshr_miss_latency::cpu.data 60952.702703 # average overall mshr miss latency
system.cpu.l2cache.demand_avg_mshr_miss_latency::total 55626.524390 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 53361.191860 # average overall mshr miss latency
-system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 60891.891892 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.inst 53335.029070 # average overall mshr miss latency
+system.cpu.l2cache.overall_avg_mshr_miss_latency::cpu.data 60952.702703 # average overall mshr miss latency
system.cpu.l2cache.overall_avg_mshr_miss_latency::total 55626.524390 # average overall mshr miss latency
system.cpu.l2cache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu.dcache.tags.replacements 0 # number of replacements
-system.cpu.dcache.tags.tagsinuse 98.823641 # Cycle average of tags in use
+system.cpu.dcache.tags.tagsinuse 98.823294 # Cycle average of tags in use
system.cpu.dcache.tags.total_refs 4124 # Total number of references to valid blocks.
system.cpu.dcache.tags.sampled_refs 147 # Sample count of references to valid blocks.
system.cpu.dcache.tags.avg_refs 28.054422 # Average number of references to valid blocks.
system.cpu.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu.dcache.tags.occ_blocks::cpu.data 98.823641 # Average occupied blocks per requestor
+system.cpu.dcache.tags.occ_blocks::cpu.data 98.823294 # Average occupied blocks per requestor
system.cpu.dcache.tags.occ_percent::cpu.data 0.024127 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_percent::total 0.024127 # Average percentage of cache occupancy
system.cpu.dcache.tags.occ_task_id_blocks::1024 147 # Occupied blocks per task id
@@ -813,14 +830,14 @@ system.cpu.dcache.demand_misses::cpu.data 548 # n
system.cpu.dcache.demand_misses::total 548 # number of demand (read+write) misses
system.cpu.dcache.overall_misses::cpu.data 548 # number of overall misses
system.cpu.dcache.overall_misses::total 548 # number of overall misses
-system.cpu.dcache.ReadReq_miss_latency::cpu.data 8661750 # number of ReadReq miss cycles
-system.cpu.dcache.ReadReq_miss_latency::total 8661750 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::cpu.data 8670750 # number of ReadReq miss cycles
+system.cpu.dcache.ReadReq_miss_latency::total 8670750 # number of ReadReq miss cycles
system.cpu.dcache.WriteReq_miss_latency::cpu.data 26093224 # number of WriteReq miss cycles
system.cpu.dcache.WriteReq_miss_latency::total 26093224 # number of WriteReq miss cycles
-system.cpu.dcache.demand_miss_latency::cpu.data 34754974 # number of demand (read+write) miss cycles
-system.cpu.dcache.demand_miss_latency::total 34754974 # number of demand (read+write) miss cycles
-system.cpu.dcache.overall_miss_latency::cpu.data 34754974 # number of overall miss cycles
-system.cpu.dcache.overall_miss_latency::total 34754974 # number of overall miss cycles
+system.cpu.dcache.demand_miss_latency::cpu.data 34763974 # number of demand (read+write) miss cycles
+system.cpu.dcache.demand_miss_latency::total 34763974 # number of demand (read+write) miss cycles
+system.cpu.dcache.overall_miss_latency::cpu.data 34763974 # number of overall miss cycles
+system.cpu.dcache.overall_miss_latency::total 34763974 # number of overall miss cycles
system.cpu.dcache.ReadReq_accesses::cpu.data 3224 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.ReadReq_accesses::total 3224 # number of ReadReq accesses(hits+misses)
system.cpu.dcache.WriteReq_accesses::cpu.data 1442 # number of WriteReq accesses(hits+misses)
@@ -839,14 +856,14 @@ system.cpu.dcache.demand_miss_rate::cpu.data 0.117445
system.cpu.dcache.demand_miss_rate::total 0.117445 # miss rate for demand accesses
system.cpu.dcache.overall_miss_rate::cpu.data 0.117445 # miss rate for overall accesses
system.cpu.dcache.overall_miss_rate::total 0.117445 # miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 62314.748201 # average ReadReq miss latency
-system.cpu.dcache.ReadReq_avg_miss_latency::total 62314.748201 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::cpu.data 62379.496403 # average ReadReq miss latency
+system.cpu.dcache.ReadReq_avg_miss_latency::total 62379.496403 # average ReadReq miss latency
system.cpu.dcache.WriteReq_avg_miss_latency::cpu.data 63797.613692 # average WriteReq miss latency
system.cpu.dcache.WriteReq_avg_miss_latency::total 63797.613692 # average WriteReq miss latency
-system.cpu.dcache.demand_avg_miss_latency::cpu.data 63421.485401 # average overall miss latency
-system.cpu.dcache.demand_avg_miss_latency::total 63421.485401 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::cpu.data 63421.485401 # average overall miss latency
-system.cpu.dcache.overall_avg_miss_latency::total 63421.485401 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::cpu.data 63437.908759 # average overall miss latency
+system.cpu.dcache.demand_avg_miss_latency::total 63437.908759 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::cpu.data 63437.908759 # average overall miss latency
+system.cpu.dcache.overall_avg_miss_latency::total 63437.908759 # average overall miss latency
system.cpu.dcache.blocked_cycles::no_mshrs 955 # number of cycles access was blocked
system.cpu.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu.dcache.blocked::no_mshrs 30 # number of cycles access was blocked
@@ -871,14 +888,14 @@ system.cpu.dcache.demand_mshr_misses::cpu.data 148
system.cpu.dcache.demand_mshr_misses::total 148 # number of demand (read+write) MSHR misses
system.cpu.dcache.overall_mshr_misses::cpu.data 148 # number of overall MSHR misses
system.cpu.dcache.overall_mshr_misses::total 148 # number of overall MSHR misses
-system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 4732000 # number of ReadReq MSHR miss cycles
-system.cpu.dcache.ReadReq_mshr_miss_latency::total 4732000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::cpu.data 4741000 # number of ReadReq MSHR miss cycles
+system.cpu.dcache.ReadReq_mshr_miss_latency::total 4741000 # number of ReadReq MSHR miss cycles
system.cpu.dcache.WriteReq_mshr_miss_latency::cpu.data 6235500 # number of WriteReq MSHR miss cycles
system.cpu.dcache.WriteReq_mshr_miss_latency::total 6235500 # number of WriteReq MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::cpu.data 10967500 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.demand_mshr_miss_latency::total 10967500 # number of demand (read+write) MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::cpu.data 10967500 # number of overall MSHR miss cycles
-system.cpu.dcache.overall_mshr_miss_latency::total 10967500 # number of overall MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::cpu.data 10976500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.demand_mshr_miss_latency::total 10976500 # number of demand (read+write) MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::cpu.data 10976500 # number of overall MSHR miss cycles
+system.cpu.dcache.overall_mshr_miss_latency::total 10976500 # number of overall MSHR miss cycles
system.cpu.dcache.ReadReq_mshr_miss_rate::cpu.data 0.020161 # mshr miss rate for ReadReq accesses
system.cpu.dcache.ReadReq_mshr_miss_rate::total 0.020161 # mshr miss rate for ReadReq accesses
system.cpu.dcache.WriteReq_mshr_miss_rate::cpu.data 0.057559 # mshr miss rate for WriteReq accesses
@@ -887,14 +904,14 @@ system.cpu.dcache.demand_mshr_miss_rate::cpu.data 0.031719
system.cpu.dcache.demand_mshr_miss_rate::total 0.031719 # mshr miss rate for demand accesses
system.cpu.dcache.overall_mshr_miss_rate::cpu.data 0.031719 # mshr miss rate for overall accesses
system.cpu.dcache.overall_mshr_miss_rate::total 0.031719 # mshr miss rate for overall accesses
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 72800 # average ReadReq mshr miss latency
-system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 72800 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::cpu.data 72938.461538 # average ReadReq mshr miss latency
+system.cpu.dcache.ReadReq_avg_mshr_miss_latency::total 72938.461538 # average ReadReq mshr miss latency
system.cpu.dcache.WriteReq_avg_mshr_miss_latency::cpu.data 75126.506024 # average WriteReq mshr miss latency
system.cpu.dcache.WriteReq_avg_mshr_miss_latency::total 75126.506024 # average WriteReq mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 74104.729730 # average overall mshr miss latency
-system.cpu.dcache.demand_avg_mshr_miss_latency::total 74104.729730 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 74104.729730 # average overall mshr miss latency
-system.cpu.dcache.overall_avg_mshr_miss_latency::total 74104.729730 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::cpu.data 74165.540541 # average overall mshr miss latency
+system.cpu.dcache.demand_avg_mshr_miss_latency::total 74165.540541 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 74165.540541 # average overall mshr miss latency
+system.cpu.dcache.overall_avg_mshr_miss_latency::total 74165.540541 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/02.insttest/ref/sparc/linux/simple-atomic/stats.txt b/tests/quick/se/02.insttest/ref/sparc/linux/simple-atomic/stats.txt
index 33f452573..8d8eb59bc 100644
--- a/tests/quick/se/02.insttest/ref/sparc/linux/simple-atomic/stats.txt
+++ b/tests/quick/se/02.insttest/ref/sparc/linux/simple-atomic/stats.txt
@@ -4,10 +4,10 @@ sim_seconds 0.000008 # Nu
sim_ticks 7612000 # Number of ticks simulated
final_tick 7612000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 945144 # Simulator instruction rate (inst/s)
-host_op_rate 944261 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 473677660 # Simulator tick rate (ticks/s)
-host_mem_usage 260980 # Number of bytes of host memory used
+host_inst_rate 893248 # Simulator instruction rate (inst/s)
+host_op_rate 892512 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 447738368 # Simulator tick rate (ticks/s)
+host_mem_usage 276192 # Number of bytes of host memory used
host_seconds 0.02 # Real time elapsed on the host
sim_insts 15162 # Number of instructions simulated
sim_ops 15162 # Number of ops (including micro ops) simulated
@@ -37,9 +37,29 @@ system.physmem.bw_write::total 1187861272 # Wr
system.physmem.bw_total::cpu.inst 7991066737 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 2677877036 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 10668943773 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 10676563321 # Throughput (bytes/s)
-system.membus.data_through_bus 81270 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.trans_dist::ReadReq 17432 # Transaction distribution
+system.membus.trans_dist::ReadResp 17432 # Transaction distribution
+system.membus.trans_dist::WriteReq 1442 # Transaction distribution
+system.membus.trans_dist::WriteResp 1442 # Transaction distribution
+system.membus.trans_dist::SwapReq 6 # Transaction distribution
+system.membus.trans_dist::SwapResp 6 # Transaction distribution
+system.membus.pkt_count_system.cpu.icache_port::system.physmem.port 30414 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count_system.cpu.dcache_port::system.physmem.port 7346 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 37760 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.icache_port::system.physmem.port 60828 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size_system.cpu.dcache_port::system.physmem.port 20442 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 81270 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 18880 # Request fanout histogram
+system.membus.snoop_fanout::mean 0.805456 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0.395860 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 3673 19.45% 19.45% # Request fanout histogram
+system.membus.snoop_fanout::1 15207 80.55% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 1 # Request fanout histogram
+system.membus.snoop_fanout::total 18880 # Request fanout histogram
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.cpu.workload.num_syscalls 18 # Number of system calls
system.cpu.numCycles 15225 # number of cpu cycles simulated
diff --git a/tests/quick/se/02.insttest/ref/sparc/linux/simple-timing/stats.txt b/tests/quick/se/02.insttest/ref/sparc/linux/simple-timing/stats.txt
index 853f97527..6363c4d14 100644
--- a/tests/quick/se/02.insttest/ref/sparc/linux/simple-timing/stats.txt
+++ b/tests/quick/se/02.insttest/ref/sparc/linux/simple-timing/stats.txt
@@ -4,11 +4,11 @@ sim_seconds 0.000041 # Nu
sim_ticks 41368000 # Number of ticks simulated
final_tick 41368000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 324057 # Simulator instruction rate (inst/s)
-host_op_rate 323947 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 883591781 # Simulator tick rate (ticks/s)
-host_mem_usage 269720 # Number of bytes of host memory used
-host_seconds 0.05 # Real time elapsed on the host
+host_inst_rate 245276 # Simulator instruction rate (inst/s)
+host_op_rate 245221 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 668919684 # Simulator tick rate (ticks/s)
+host_mem_usage 285672 # Number of bytes of host memory used
+host_seconds 0.06 # Real time elapsed on the host
sim_insts 15162 # Number of instructions simulated
sim_ops 15162 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -29,17 +29,25 @@ system.physmem.bw_inst_read::total 430090892 # In
system.physmem.bw_total::cpu.inst 430090892 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu.data 213498356 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 643589248 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 643589248 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 331 # Transaction distribution
system.membus.trans_dist::ReadResp 331 # Transaction distribution
system.membus.trans_dist::ReadExReq 85 # Transaction distribution
system.membus.trans_dist::ReadExResp 85 # Transaction distribution
system.membus.pkt_count_system.cpu.l2cache.mem_side::system.physmem.port 832 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 832 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 26624 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 26624 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 26624 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.cpu.l2cache.mem_side::system.physmem.port 26624 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 26624 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 416 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 416 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 416 # Request fanout histogram
system.membus.reqLayer0.occupancy 416000 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 1.0 # Layer utilization (%)
system.membus.respLayer1.occupancy 3744000 # Layer occupancy (ticks)
@@ -427,7 +435,6 @@ system.cpu.dcache.demand_avg_mshr_miss_latency::total 53000
system.cpu.dcache.overall_avg_mshr_miss_latency::cpu.data 53000 # average overall mshr miss latency
system.cpu.dcache.overall_avg_mshr_miss_latency::total 53000 # average overall mshr miss latency
system.cpu.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu.toL2Bus.throughput 646683427 # Throughput (bytes/s)
system.cpu.toL2Bus.trans_dist::ReadReq 333 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadResp 333 # Transaction distribution
system.cpu.toL2Bus.trans_dist::ReadExReq 85 # Transaction distribution
@@ -435,11 +442,21 @@ system.cpu.toL2Bus.trans_dist::ReadExResp 85 # T
system.cpu.toL2Bus.pkt_count_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 560 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 276 # Packet count per connected master and slave (bytes)
system.cpu.toL2Bus.pkt_count::total 836 # Packet count per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 17920 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8832 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.tot_pkt_size::total 26752 # Cumulative packet size per connected master and slave (bytes)
-system.cpu.toL2Bus.data_through_bus 26752 # Total data (bytes)
-system.cpu.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.icache.mem_side::system.cpu.l2cache.cpu_side 17920 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size_system.cpu.dcache.mem_side::system.cpu.l2cache.cpu_side 8832 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.pkt_size::total 26752 # Cumulative packet size per connected master and slave (bytes)
+system.cpu.toL2Bus.snoops 0 # Total snoops (count)
+system.cpu.toL2Bus.snoop_fanout::samples 418 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::mean 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::1 418 100.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::2 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::min_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::max_value 1 # Request fanout histogram
+system.cpu.toL2Bus.snoop_fanout::total 418 # Request fanout histogram
system.cpu.toL2Bus.reqLayer0.occupancy 209000 # Layer occupancy (ticks)
system.cpu.toL2Bus.reqLayer0.utilization 0.5 # Layer utilization (%)
system.cpu.toL2Bus.respLayer0.occupancy 420000 # Layer occupancy (ticks)
diff --git a/tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/o3-timing-mp/stats.txt b/tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/o3-timing-mp/stats.txt
index 3dcd489a6..2281d59b5 100644
--- a/tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/o3-timing-mp/stats.txt
+++ b/tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/o3-timing-mp/stats.txt
@@ -1,64 +1,64 @@
---------- Begin Simulation Statistics ----------
sim_seconds 0.000106 # Number of seconds simulated
-sim_ticks 105639000 # Number of ticks simulated
-final_tick 105639000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_ticks 105696000 # Number of ticks simulated
+final_tick 105696000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 115016 # Simulator instruction rate (inst/s)
-host_op_rate 115016 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 12246117 # Simulator tick rate (ticks/s)
-host_mem_usage 253808 # Number of bytes of host memory used
-host_seconds 8.63 # Real time elapsed on the host
-sim_insts 992165 # Number of instructions simulated
-sim_ops 992165 # Number of ops (including micro ops) simulated
+host_inst_rate 162054 # Simulator instruction rate (inst/s)
+host_op_rate 162054 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 17251704 # Simulator tick rate (ticks/s)
+host_mem_usage 304448 # Number of bytes of host memory used
+host_seconds 6.13 # Real time elapsed on the host
+sim_insts 992854 # Number of instructions simulated
+sim_ops 992854 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
system.physmem.bytes_read::cpu0.inst 23104 # Number of bytes read from this memory
system.physmem.bytes_read::cpu0.data 10752 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1.inst 768 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu1.inst 896 # Number of bytes read from this memory
system.physmem.bytes_read::cpu1.data 832 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu2.inst 4928 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu2.inst 4800 # Number of bytes read from this memory
system.physmem.bytes_read::cpu2.data 1280 # Number of bytes read from this memory
system.physmem.bytes_read::cpu3.inst 256 # Number of bytes read from this memory
system.physmem.bytes_read::cpu3.data 832 # Number of bytes read from this memory
system.physmem.bytes_read::total 42752 # Number of bytes read from this memory
system.physmem.bytes_inst_read::cpu0.inst 23104 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::cpu1.inst 768 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::cpu2.inst 4928 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::cpu1.inst 896 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::cpu2.inst 4800 # Number of instructions bytes read from this memory
system.physmem.bytes_inst_read::cpu3.inst 256 # Number of instructions bytes read from this memory
system.physmem.bytes_inst_read::total 29056 # Number of instructions bytes read from this memory
system.physmem.num_reads::cpu0.inst 361 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu0.data 168 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1.inst 12 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu1.inst 14 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu1.data 13 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu2.inst 77 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu2.inst 75 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu2.data 20 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu3.inst 4 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu3.data 13 # Number of read requests responded to by this memory
system.physmem.num_reads::total 668 # Number of read requests responded to by this memory
-system.physmem.bw_read::cpu0.inst 218707106 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.data 101780592 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.inst 7270042 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.data 7875879 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu2.inst 46649438 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu2.data 12116737 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu3.inst 2423347 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu3.data 7875879 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 404699022 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu0.inst 218707106 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu1.inst 7270042 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu2.inst 46649438 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu3.inst 2423347 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 275049934 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu0.inst 218707106 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.data 101780592 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.inst 7270042 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.data 7875879 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu2.inst 46649438 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu2.data 12116737 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu3.inst 2423347 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu3.data 7875879 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 404699022 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_read::cpu0.inst 218589161 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.data 101725704 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.inst 8477142 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.data 7871632 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu2.inst 45413261 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu2.data 12110203 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu3.inst 2422041 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu3.data 7871632 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 404480775 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu0.inst 218589161 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu1.inst 8477142 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu2.inst 45413261 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu3.inst 2422041 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 274901605 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total::cpu0.inst 218589161 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.data 101725704 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.inst 8477142 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.data 7871632 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu2.inst 45413261 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu2.data 12110203 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu3.inst 2422041 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu3.data 7871632 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 404480775 # Total bandwidth to/from this memory (bytes/s)
system.physmem.readReqs 669 # Number of read requests accepted
system.physmem.writeReqs 0 # Number of write requests accepted
system.physmem.readBursts 669 # Number of DRAM read bursts, including those serviced by the write queue
@@ -105,7 +105,7 @@ system.physmem.perBankWrBursts::14 0 # Pe
system.physmem.perBankWrBursts::15 0 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
-system.physmem.totGap 105611000 # Total gap between requests
+system.physmem.totGap 105668000 # Total gap between requests
system.physmem.readPktSize::0 0 # Read request sizes (log2)
system.physmem.readPktSize::1 0 # Read request sizes (log2)
system.physmem.readPktSize::2 0 # Read request sizes (log2)
@@ -120,8 +120,8 @@ system.physmem.writePktSize::3 0 # Wr
system.physmem.writePktSize::4 0 # Write request sizes (log2)
system.physmem.writePktSize::5 0 # Write request sizes (log2)
system.physmem.writePktSize::6 0 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 400 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 193 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::0 399 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::1 194 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::2 59 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::3 13 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::4 3 # What read queue length does an incoming req see
@@ -216,133 +216,141 @@ system.physmem.wrQLenPdf::60 0 # Wh
system.physmem.wrQLenPdf::61 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::62 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.physmem.bytesPerActivate::samples 144 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::mean 278.222222 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 188.203281 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 257.152031 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 43 29.86% 29.86% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 39 27.08% 56.94% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::256-383 24 16.67% 73.61% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::384-511 13 9.03% 82.64% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::512-639 6 4.17% 86.81% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::640-767 6 4.17% 90.97% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::768-895 6 4.17% 95.14% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::896-1023 2 1.39% 96.53% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::1024-1151 5 3.47% 100.00% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::total 144 # Bytes accessed per row activation
-system.physmem.totQLat 6117250 # Total ticks spent queuing
-system.physmem.totMemAccLat 18661000 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.bytesPerActivate::samples 143 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::mean 280.167832 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::gmean 190.166692 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::stdev 257.214493 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::0-127 42 29.37% 29.37% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::128-255 39 27.27% 56.64% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::256-383 24 16.78% 73.43% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::384-511 13 9.09% 82.52% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::512-639 6 4.20% 86.71% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::640-767 6 4.20% 90.91% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::768-895 6 4.20% 95.10% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::896-1023 2 1.40% 96.50% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::1024-1151 5 3.50% 100.00% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::total 143 # Bytes accessed per row activation
+system.physmem.totQLat 6392250 # Total ticks spent queuing
+system.physmem.totMemAccLat 18936000 # Total ticks spent from burst creation until serviced by the DRAM
system.physmem.totBusLat 3345000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 9143.87 # Average queueing delay per DRAM burst
+system.physmem.avgQLat 9554.93 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 27893.87 # Average memory access latency per DRAM burst
-system.physmem.avgRdBW 405.30 # Average DRAM read bandwidth in MiByte/s
+system.physmem.avgMemAccLat 28304.93 # Average memory access latency per DRAM burst
+system.physmem.avgRdBW 405.09 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 0.00 # Average achieved write bandwidth in MiByte/s
-system.physmem.avgRdBWSys 405.30 # Average system read bandwidth in MiByte/s
+system.physmem.avgRdBWSys 405.09 # Average system read bandwidth in MiByte/s
system.physmem.avgWrBWSys 0.00 # Average system write bandwidth in MiByte/s
system.physmem.peakBW 12800.00 # Theoretical peak bandwidth in MiByte/s
-system.physmem.busUtil 3.17 # Data bus utilization in percentage
-system.physmem.busUtilRead 3.17 # Data bus utilization in percentage for reads
+system.physmem.busUtil 3.16 # Data bus utilization in percentage
+system.physmem.busUtilRead 3.16 # Data bus utilization in percentage for reads
system.physmem.busUtilWrite 0.00 # Data bus utilization in percentage for writes
system.physmem.avgRdQLen 1.23 # Average read queue length when enqueuing
system.physmem.avgWrQLen 0.00 # Average write queue length when enqueuing
-system.physmem.readRowHits 514 # Number of row buffer hits during reads
+system.physmem.readRowHits 515 # Number of row buffer hits during reads
system.physmem.writeRowHits 0 # Number of row buffer hits during writes
-system.physmem.readRowHitRate 76.83 # Row buffer hit rate for reads
+system.physmem.readRowHitRate 76.98 # Row buffer hit rate for reads
system.physmem.writeRowHitRate nan # Row buffer hit rate for writes
-system.physmem.avgGap 157863.98 # Average gap between requests
-system.physmem.pageHitRate 76.83 # Row buffer hit rate, read and write combined
-system.physmem.memoryStateTime::IDLE 46009250 # Time in different power states
+system.physmem.avgGap 157949.18 # Average gap between requests
+system.physmem.pageHitRate 76.98 # Row buffer hit rate, read and write combined
+system.physmem.memoryStateTime::IDLE 46119750 # Time in different power states
system.physmem.memoryStateTime::REF 3380000 # Time in different power states
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem.memoryStateTime::ACT 52645250 # Time in different power states
+system.physmem.memoryStateTime::ACT 52590250 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 404699022 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 538 # Transaction distribution
system.membus.trans_dist::ReadResp 537 # Transaction distribution
-system.membus.trans_dist::UpgradeReq 272 # Transaction distribution
+system.membus.trans_dist::UpgradeReq 276 # Transaction distribution
system.membus.trans_dist::UpgradeResp 78 # Transaction distribution
-system.membus.trans_dist::ReadExReq 182 # Transaction distribution
+system.membus.trans_dist::ReadExReq 177 # Transaction distribution
system.membus.trans_dist::ReadExResp 131 # Transaction distribution
-system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 1738 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 1738 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::system.physmem.port 42752 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 42752 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 42752 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
-system.membus.reqLayer0.occupancy 937500 # Layer occupancy (ticks)
+system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 1737 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 1737 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.physmem.port 42752 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 42752 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 244 # Total snoops (count)
+system.membus.snoop_fanout::samples 991 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 991 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 991 # Request fanout histogram
+system.membus.reqLayer0.occupancy 940500 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 0.9 # Layer utilization (%)
-system.membus.respLayer1.occupancy 6389922 # Layer occupancy (ticks)
+system.membus.respLayer1.occupancy 6384422 # Layer occupancy (ticks)
system.membus.respLayer1.utilization 6.0 # Layer utilization (%)
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.l2c.tags.replacements 0 # number of replacements
-system.l2c.tags.tagsinuse 424.251527 # Cycle average of tags in use
-system.l2c.tags.total_refs 1658 # Total number of references to valid blocks.
+system.l2c.tags.tagsinuse 424.241443 # Cycle average of tags in use
+system.l2c.tags.total_refs 1667 # Total number of references to valid blocks.
system.l2c.tags.sampled_refs 535 # Sample count of references to valid blocks.
-system.l2c.tags.avg_refs 3.099065 # Average number of references to valid blocks.
+system.l2c.tags.avg_refs 3.115888 # Average number of references to valid blocks.
system.l2c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.l2c.tags.occ_blocks::writebacks 0.793481 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.inst 289.756161 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.data 58.232417 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.inst 9.250622 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.data 0.723175 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu2.inst 57.184063 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu2.data 5.359898 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu3.inst 2.266069 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu3.data 0.685642 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::writebacks 0.793516 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.inst 289.763968 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.data 58.233930 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.inst 9.364536 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.data 0.722908 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu2.inst 57.054480 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu2.data 5.356180 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu3.inst 2.266531 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu3.data 0.685395 # Average occupied blocks per requestor
system.l2c.tags.occ_percent::writebacks 0.000012 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu0.inst 0.004421 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu0.data 0.000889 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1.inst 0.000141 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1.inst 0.000143 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu1.data 0.000011 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu2.inst 0.000873 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu2.inst 0.000871 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu2.data 0.000082 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu3.inst 0.000035 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu3.data 0.000010 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::total 0.006474 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::total 0.006473 # Average percentage of cache occupancy
system.l2c.tags.occ_task_id_blocks::1024 535 # Occupied blocks per task id
system.l2c.tags.age_task_id_blocks_1024::0 50 # Occupied blocks per task id
system.l2c.tags.age_task_id_blocks_1024::1 368 # Occupied blocks per task id
system.l2c.tags.age_task_id_blocks_1024::2 117 # Occupied blocks per task id
system.l2c.tags.occ_task_id_percent::1024 0.008163 # Percentage of cache occupancy per task id
-system.l2c.tags.tag_accesses 20037 # Number of tag accesses
-system.l2c.tags.data_accesses 20037 # Number of data accesses
+system.l2c.tags.tag_accesses 20109 # Number of tag accesses
+system.l2c.tags.data_accesses 20109 # Number of data accesses
system.l2c.ReadReq_hits::cpu0.inst 250 # number of ReadReq hits
system.l2c.ReadReq_hits::cpu0.data 5 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1.inst 481 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1.inst 480 # number of ReadReq hits
system.l2c.ReadReq_hits::cpu1.data 11 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu2.inst 409 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu2.inst 413 # number of ReadReq hits
system.l2c.ReadReq_hits::cpu2.data 5 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu3.inst 486 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu3.inst 492 # number of ReadReq hits
system.l2c.ReadReq_hits::cpu3.data 11 # number of ReadReq hits
-system.l2c.ReadReq_hits::total 1658 # number of ReadReq hits
+system.l2c.ReadReq_hits::total 1667 # number of ReadReq hits
system.l2c.Writeback_hits::writebacks 1 # number of Writeback hits
system.l2c.Writeback_hits::total 1 # number of Writeback hits
system.l2c.UpgradeReq_hits::cpu0.data 3 # number of UpgradeReq hits
system.l2c.UpgradeReq_hits::total 3 # number of UpgradeReq hits
system.l2c.demand_hits::cpu0.inst 250 # number of demand (read+write) hits
system.l2c.demand_hits::cpu0.data 5 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1.inst 481 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1.inst 480 # number of demand (read+write) hits
system.l2c.demand_hits::cpu1.data 11 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu2.inst 409 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu2.inst 413 # number of demand (read+write) hits
system.l2c.demand_hits::cpu2.data 5 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu3.inst 486 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu3.inst 492 # number of demand (read+write) hits
system.l2c.demand_hits::cpu3.data 11 # number of demand (read+write) hits
-system.l2c.demand_hits::total 1658 # number of demand (read+write) hits
+system.l2c.demand_hits::total 1667 # number of demand (read+write) hits
system.l2c.overall_hits::cpu0.inst 250 # number of overall hits
system.l2c.overall_hits::cpu0.data 5 # number of overall hits
-system.l2c.overall_hits::cpu1.inst 481 # number of overall hits
+system.l2c.overall_hits::cpu1.inst 480 # number of overall hits
system.l2c.overall_hits::cpu1.data 11 # number of overall hits
-system.l2c.overall_hits::cpu2.inst 409 # number of overall hits
+system.l2c.overall_hits::cpu2.inst 413 # number of overall hits
system.l2c.overall_hits::cpu2.data 5 # number of overall hits
-system.l2c.overall_hits::cpu3.inst 486 # number of overall hits
+system.l2c.overall_hits::cpu3.inst 492 # number of overall hits
system.l2c.overall_hits::cpu3.data 11 # number of overall hits
-system.l2c.overall_hits::total 1658 # number of overall hits
+system.l2c.overall_hits::total 1667 # number of overall hits
system.l2c.ReadReq_misses::cpu0.inst 363 # number of ReadReq misses
system.l2c.ReadReq_misses::cpu0.data 74 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1.inst 16 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1.inst 17 # number of ReadReq misses
system.l2c.ReadReq_misses::cpu1.data 1 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu2.inst 81 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu2.inst 80 # number of ReadReq misses
system.l2c.ReadReq_misses::cpu2.data 7 # number of ReadReq misses
system.l2c.ReadReq_misses::cpu3.inst 7 # number of ReadReq misses
system.l2c.ReadReq_misses::cpu3.data 1 # number of ReadReq misses
@@ -359,63 +367,63 @@ system.l2c.ReadExReq_misses::cpu3.data 12 # nu
system.l2c.ReadExReq_misses::total 131 # number of ReadExReq misses
system.l2c.demand_misses::cpu0.inst 363 # number of demand (read+write) misses
system.l2c.demand_misses::cpu0.data 168 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1.inst 16 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1.inst 17 # number of demand (read+write) misses
system.l2c.demand_misses::cpu1.data 13 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu2.inst 81 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu2.inst 80 # number of demand (read+write) misses
system.l2c.demand_misses::cpu2.data 20 # number of demand (read+write) misses
system.l2c.demand_misses::cpu3.inst 7 # number of demand (read+write) misses
system.l2c.demand_misses::cpu3.data 13 # number of demand (read+write) misses
system.l2c.demand_misses::total 681 # number of demand (read+write) misses
system.l2c.overall_misses::cpu0.inst 363 # number of overall misses
system.l2c.overall_misses::cpu0.data 168 # number of overall misses
-system.l2c.overall_misses::cpu1.inst 16 # number of overall misses
+system.l2c.overall_misses::cpu1.inst 17 # number of overall misses
system.l2c.overall_misses::cpu1.data 13 # number of overall misses
-system.l2c.overall_misses::cpu2.inst 81 # number of overall misses
+system.l2c.overall_misses::cpu2.inst 80 # number of overall misses
system.l2c.overall_misses::cpu2.data 20 # number of overall misses
system.l2c.overall_misses::cpu3.inst 7 # number of overall misses
system.l2c.overall_misses::cpu3.data 13 # number of overall misses
system.l2c.overall_misses::total 681 # number of overall misses
-system.l2c.ReadReq_miss_latency::cpu0.inst 25055500 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu0.data 5652750 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu1.inst 1295250 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu0.inst 25064250 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu0.data 5642000 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu1.inst 1337250 # number of ReadReq miss cycles
system.l2c.ReadReq_miss_latency::cpu1.data 75000 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu2.inst 5783750 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu2.data 523250 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu2.inst 5652250 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu2.data 765250 # number of ReadReq miss cycles
system.l2c.ReadReq_miss_latency::cpu3.inst 458000 # number of ReadReq miss cycles
system.l2c.ReadReq_miss_latency::cpu3.data 75000 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::total 38918500 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::total 39069000 # number of ReadReq miss cycles
system.l2c.ReadExReq_miss_latency::cpu0.data 6921000 # number of ReadExReq miss cycles
system.l2c.ReadExReq_miss_latency::cpu1.data 852750 # number of ReadExReq miss cycles
system.l2c.ReadExReq_miss_latency::cpu2.data 1047250 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu3.data 836500 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::total 9657500 # number of ReadExReq miss cycles
-system.l2c.demand_miss_latency::cpu0.inst 25055500 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu0.data 12573750 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu1.inst 1295250 # number of demand (read+write) miss cycles
+system.l2c.ReadExReq_miss_latency::cpu3.data 837000 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::total 9658000 # number of ReadExReq miss cycles
+system.l2c.demand_miss_latency::cpu0.inst 25064250 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu0.data 12563000 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu1.inst 1337250 # number of demand (read+write) miss cycles
system.l2c.demand_miss_latency::cpu1.data 927750 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu2.inst 5783750 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu2.data 1570500 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu2.inst 5652250 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu2.data 1812500 # number of demand (read+write) miss cycles
system.l2c.demand_miss_latency::cpu3.inst 458000 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu3.data 911500 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::total 48576000 # number of demand (read+write) miss cycles
-system.l2c.overall_miss_latency::cpu0.inst 25055500 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu0.data 12573750 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu1.inst 1295250 # number of overall miss cycles
+system.l2c.demand_miss_latency::cpu3.data 912000 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::total 48727000 # number of demand (read+write) miss cycles
+system.l2c.overall_miss_latency::cpu0.inst 25064250 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu0.data 12563000 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu1.inst 1337250 # number of overall miss cycles
system.l2c.overall_miss_latency::cpu1.data 927750 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu2.inst 5783750 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu2.data 1570500 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu2.inst 5652250 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu2.data 1812500 # number of overall miss cycles
system.l2c.overall_miss_latency::cpu3.inst 458000 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu3.data 911500 # number of overall miss cycles
-system.l2c.overall_miss_latency::total 48576000 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu3.data 912000 # number of overall miss cycles
+system.l2c.overall_miss_latency::total 48727000 # number of overall miss cycles
system.l2c.ReadReq_accesses::cpu0.inst 613 # number of ReadReq accesses(hits+misses)
system.l2c.ReadReq_accesses::cpu0.data 79 # number of ReadReq accesses(hits+misses)
system.l2c.ReadReq_accesses::cpu1.inst 497 # number of ReadReq accesses(hits+misses)
system.l2c.ReadReq_accesses::cpu1.data 12 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu2.inst 490 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu2.inst 493 # number of ReadReq accesses(hits+misses)
system.l2c.ReadReq_accesses::cpu2.data 12 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu3.inst 493 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu3.inst 499 # number of ReadReq accesses(hits+misses)
system.l2c.ReadReq_accesses::cpu3.data 12 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::total 2208 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::total 2217 # number of ReadReq accesses(hits+misses)
system.l2c.Writeback_accesses::writebacks 1 # number of Writeback accesses(hits+misses)
system.l2c.Writeback_accesses::total 1 # number of Writeback accesses(hits+misses)
system.l2c.UpgradeReq_accesses::cpu0.data 26 # number of UpgradeReq accesses(hits+misses)
@@ -432,29 +440,29 @@ system.l2c.demand_accesses::cpu0.inst 613 # nu
system.l2c.demand_accesses::cpu0.data 173 # number of demand (read+write) accesses
system.l2c.demand_accesses::cpu1.inst 497 # number of demand (read+write) accesses
system.l2c.demand_accesses::cpu1.data 24 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu2.inst 490 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu2.inst 493 # number of demand (read+write) accesses
system.l2c.demand_accesses::cpu2.data 25 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu3.inst 493 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu3.inst 499 # number of demand (read+write) accesses
system.l2c.demand_accesses::cpu3.data 24 # number of demand (read+write) accesses
-system.l2c.demand_accesses::total 2339 # number of demand (read+write) accesses
+system.l2c.demand_accesses::total 2348 # number of demand (read+write) accesses
system.l2c.overall_accesses::cpu0.inst 613 # number of overall (read+write) accesses
system.l2c.overall_accesses::cpu0.data 173 # number of overall (read+write) accesses
system.l2c.overall_accesses::cpu1.inst 497 # number of overall (read+write) accesses
system.l2c.overall_accesses::cpu1.data 24 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu2.inst 490 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu2.inst 493 # number of overall (read+write) accesses
system.l2c.overall_accesses::cpu2.data 25 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu3.inst 493 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu3.inst 499 # number of overall (read+write) accesses
system.l2c.overall_accesses::cpu3.data 24 # number of overall (read+write) accesses
-system.l2c.overall_accesses::total 2339 # number of overall (read+write) accesses
+system.l2c.overall_accesses::total 2348 # number of overall (read+write) accesses
system.l2c.ReadReq_miss_rate::cpu0.inst 0.592170 # miss rate for ReadReq accesses
system.l2c.ReadReq_miss_rate::cpu0.data 0.936709 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1.inst 0.032193 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1.inst 0.034205 # miss rate for ReadReq accesses
system.l2c.ReadReq_miss_rate::cpu1.data 0.083333 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu2.inst 0.165306 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu2.inst 0.162272 # miss rate for ReadReq accesses
system.l2c.ReadReq_miss_rate::cpu2.data 0.583333 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu3.inst 0.014199 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu3.inst 0.014028 # miss rate for ReadReq accesses
system.l2c.ReadReq_miss_rate::cpu3.data 0.083333 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::total 0.249094 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::total 0.248083 # miss rate for ReadReq accesses
system.l2c.UpgradeReq_miss_rate::cpu0.data 0.884615 # miss rate for UpgradeReq accesses
system.l2c.UpgradeReq_miss_rate::cpu1.data 1 # miss rate for UpgradeReq accesses
system.l2c.UpgradeReq_miss_rate::cpu2.data 1 # miss rate for UpgradeReq accesses
@@ -467,54 +475,54 @@ system.l2c.ReadExReq_miss_rate::cpu3.data 1 # m
system.l2c.ReadExReq_miss_rate::total 1 # miss rate for ReadExReq accesses
system.l2c.demand_miss_rate::cpu0.inst 0.592170 # miss rate for demand accesses
system.l2c.demand_miss_rate::cpu0.data 0.971098 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1.inst 0.032193 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1.inst 0.034205 # miss rate for demand accesses
system.l2c.demand_miss_rate::cpu1.data 0.541667 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu2.inst 0.165306 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu2.inst 0.162272 # miss rate for demand accesses
system.l2c.demand_miss_rate::cpu2.data 0.800000 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu3.inst 0.014199 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu3.inst 0.014028 # miss rate for demand accesses
system.l2c.demand_miss_rate::cpu3.data 0.541667 # miss rate for demand accesses
-system.l2c.demand_miss_rate::total 0.291150 # miss rate for demand accesses
+system.l2c.demand_miss_rate::total 0.290034 # miss rate for demand accesses
system.l2c.overall_miss_rate::cpu0.inst 0.592170 # miss rate for overall accesses
system.l2c.overall_miss_rate::cpu0.data 0.971098 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1.inst 0.032193 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1.inst 0.034205 # miss rate for overall accesses
system.l2c.overall_miss_rate::cpu1.data 0.541667 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu2.inst 0.165306 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu2.inst 0.162272 # miss rate for overall accesses
system.l2c.overall_miss_rate::cpu2.data 0.800000 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu3.inst 0.014199 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu3.inst 0.014028 # miss rate for overall accesses
system.l2c.overall_miss_rate::cpu3.data 0.541667 # miss rate for overall accesses
-system.l2c.overall_miss_rate::total 0.291150 # miss rate for overall accesses
-system.l2c.ReadReq_avg_miss_latency::cpu0.inst 69023.415978 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu0.data 76388.513514 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu1.inst 80953.125000 # average ReadReq miss latency
+system.l2c.overall_miss_rate::total 0.290034 # miss rate for overall accesses
+system.l2c.ReadReq_avg_miss_latency::cpu0.inst 69047.520661 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu0.data 76243.243243 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu1.inst 78661.764706 # average ReadReq miss latency
system.l2c.ReadReq_avg_miss_latency::cpu1.data 75000 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu2.inst 71404.320988 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu2.data 74750 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu2.inst 70653.125000 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu2.data 109321.428571 # average ReadReq miss latency
system.l2c.ReadReq_avg_miss_latency::cpu3.inst 65428.571429 # average ReadReq miss latency
system.l2c.ReadReq_avg_miss_latency::cpu3.data 75000 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::total 70760.909091 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::total 71034.545455 # average ReadReq miss latency
system.l2c.ReadExReq_avg_miss_latency::cpu0.data 73627.659574 # average ReadExReq miss latency
system.l2c.ReadExReq_avg_miss_latency::cpu1.data 71062.500000 # average ReadExReq miss latency
system.l2c.ReadExReq_avg_miss_latency::cpu2.data 80557.692308 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu3.data 69708.333333 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::total 73721.374046 # average ReadExReq miss latency
-system.l2c.demand_avg_miss_latency::cpu0.inst 69023.415978 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu0.data 74843.750000 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu1.inst 80953.125000 # average overall miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu3.data 69750 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::total 73725.190840 # average ReadExReq miss latency
+system.l2c.demand_avg_miss_latency::cpu0.inst 69047.520661 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu0.data 74779.761905 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu1.inst 78661.764706 # average overall miss latency
system.l2c.demand_avg_miss_latency::cpu1.data 71365.384615 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu2.inst 71404.320988 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu2.data 78525 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu2.inst 70653.125000 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu2.data 90625 # average overall miss latency
system.l2c.demand_avg_miss_latency::cpu3.inst 65428.571429 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu3.data 70115.384615 # average overall miss latency
-system.l2c.demand_avg_miss_latency::total 71330.396476 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu0.inst 69023.415978 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu0.data 74843.750000 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu1.inst 80953.125000 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu3.data 70153.846154 # average overall miss latency
+system.l2c.demand_avg_miss_latency::total 71552.129222 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu0.inst 69047.520661 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu0.data 74779.761905 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu1.inst 78661.764706 # average overall miss latency
system.l2c.overall_avg_miss_latency::cpu1.data 71365.384615 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu2.inst 71404.320988 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu2.data 78525 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu2.inst 70653.125000 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu2.data 90625 # average overall miss latency
system.l2c.overall_avg_miss_latency::cpu3.inst 65428.571429 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu3.data 70115.384615 # average overall miss latency
-system.l2c.overall_avg_miss_latency::total 71330.396476 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu3.data 70153.846154 # average overall miss latency
+system.l2c.overall_avg_miss_latency::total 71552.129222 # average overall miss latency
system.l2c.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.l2c.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.l2c.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -524,25 +532,25 @@ system.l2c.avg_blocked_cycles::no_targets nan # a
system.l2c.fast_writes 0 # number of fast writes performed
system.l2c.cache_copies 0 # number of cache copies performed
system.l2c.ReadReq_mshr_hits::cpu0.inst 1 # number of ReadReq MSHR hits
-system.l2c.ReadReq_mshr_hits::cpu1.inst 4 # number of ReadReq MSHR hits
-system.l2c.ReadReq_mshr_hits::cpu2.inst 4 # number of ReadReq MSHR hits
+system.l2c.ReadReq_mshr_hits::cpu1.inst 3 # number of ReadReq MSHR hits
+system.l2c.ReadReq_mshr_hits::cpu2.inst 5 # number of ReadReq MSHR hits
system.l2c.ReadReq_mshr_hits::cpu3.inst 3 # number of ReadReq MSHR hits
system.l2c.ReadReq_mshr_hits::total 12 # number of ReadReq MSHR hits
system.l2c.demand_mshr_hits::cpu0.inst 1 # number of demand (read+write) MSHR hits
-system.l2c.demand_mshr_hits::cpu1.inst 4 # number of demand (read+write) MSHR hits
-system.l2c.demand_mshr_hits::cpu2.inst 4 # number of demand (read+write) MSHR hits
+system.l2c.demand_mshr_hits::cpu1.inst 3 # number of demand (read+write) MSHR hits
+system.l2c.demand_mshr_hits::cpu2.inst 5 # number of demand (read+write) MSHR hits
system.l2c.demand_mshr_hits::cpu3.inst 3 # number of demand (read+write) MSHR hits
system.l2c.demand_mshr_hits::total 12 # number of demand (read+write) MSHR hits
system.l2c.overall_mshr_hits::cpu0.inst 1 # number of overall MSHR hits
-system.l2c.overall_mshr_hits::cpu1.inst 4 # number of overall MSHR hits
-system.l2c.overall_mshr_hits::cpu2.inst 4 # number of overall MSHR hits
+system.l2c.overall_mshr_hits::cpu1.inst 3 # number of overall MSHR hits
+system.l2c.overall_mshr_hits::cpu2.inst 5 # number of overall MSHR hits
system.l2c.overall_mshr_hits::cpu3.inst 3 # number of overall MSHR hits
system.l2c.overall_mshr_hits::total 12 # number of overall MSHR hits
system.l2c.ReadReq_mshr_misses::cpu0.inst 362 # number of ReadReq MSHR misses
system.l2c.ReadReq_mshr_misses::cpu0.data 74 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu1.inst 12 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu1.inst 14 # number of ReadReq MSHR misses
system.l2c.ReadReq_mshr_misses::cpu1.data 1 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu2.inst 77 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu2.inst 75 # number of ReadReq MSHR misses
system.l2c.ReadReq_mshr_misses::cpu2.data 7 # number of ReadReq MSHR misses
system.l2c.ReadReq_mshr_misses::cpu3.inst 4 # number of ReadReq MSHR misses
system.l2c.ReadReq_mshr_misses::cpu3.data 1 # number of ReadReq MSHR misses
@@ -559,31 +567,31 @@ system.l2c.ReadExReq_mshr_misses::cpu3.data 12 #
system.l2c.ReadExReq_mshr_misses::total 131 # number of ReadExReq MSHR misses
system.l2c.demand_mshr_misses::cpu0.inst 362 # number of demand (read+write) MSHR misses
system.l2c.demand_mshr_misses::cpu0.data 168 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu1.inst 12 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu1.inst 14 # number of demand (read+write) MSHR misses
system.l2c.demand_mshr_misses::cpu1.data 13 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu2.inst 77 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu2.inst 75 # number of demand (read+write) MSHR misses
system.l2c.demand_mshr_misses::cpu2.data 20 # number of demand (read+write) MSHR misses
system.l2c.demand_mshr_misses::cpu3.inst 4 # number of demand (read+write) MSHR misses
system.l2c.demand_mshr_misses::cpu3.data 13 # number of demand (read+write) MSHR misses
system.l2c.demand_mshr_misses::total 669 # number of demand (read+write) MSHR misses
system.l2c.overall_mshr_misses::cpu0.inst 362 # number of overall MSHR misses
system.l2c.overall_mshr_misses::cpu0.data 168 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu1.inst 12 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu1.inst 14 # number of overall MSHR misses
system.l2c.overall_mshr_misses::cpu1.data 13 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu2.inst 77 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu2.inst 75 # number of overall MSHR misses
system.l2c.overall_mshr_misses::cpu2.data 20 # number of overall MSHR misses
system.l2c.overall_mshr_misses::cpu3.inst 4 # number of overall MSHR misses
system.l2c.overall_mshr_misses::cpu3.data 13 # number of overall MSHR misses
system.l2c.overall_mshr_misses::total 669 # number of overall MSHR misses
-system.l2c.ReadReq_mshr_miss_latency::cpu0.inst 20468500 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu0.data 4740250 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu1.inst 904000 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu0.inst 20478250 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu0.data 4729000 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu1.inst 985000 # number of ReadReq MSHR miss cycles
system.l2c.ReadReq_mshr_miss_latency::cpu1.data 62500 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu2.inst 4615250 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu2.data 436250 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu2.inst 4446750 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu2.data 678750 # number of ReadReq MSHR miss cycles
system.l2c.ReadReq_mshr_miss_latency::cpu3.inst 236250 # number of ReadReq MSHR miss cycles
system.l2c.ReadReq_mshr_miss_latency::cpu3.data 62500 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::total 31525500 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::total 31679000 # number of ReadReq MSHR miss cycles
system.l2c.UpgradeReq_mshr_miss_latency::cpu0.data 230023 # number of UpgradeReq MSHR miss cycles
system.l2c.UpgradeReq_mshr_miss_latency::cpu1.data 170017 # number of UpgradeReq MSHR miss cycles
system.l2c.UpgradeReq_mshr_miss_latency::cpu2.data 180018 # number of UpgradeReq MSHR miss cycles
@@ -594,33 +602,33 @@ system.l2c.ReadExReq_mshr_miss_latency::cpu1.data 701250
system.l2c.ReadExReq_mshr_miss_latency::cpu2.data 888250 # number of ReadExReq MSHR miss cycles
system.l2c.ReadExReq_mshr_miss_latency::cpu3.data 687000 # number of ReadExReq MSHR miss cycles
system.l2c.ReadExReq_mshr_miss_latency::total 8038000 # number of ReadExReq MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu0.inst 20468500 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu0.data 10501750 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu1.inst 904000 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu0.inst 20478250 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu0.data 10490500 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu1.inst 985000 # number of demand (read+write) MSHR miss cycles
system.l2c.demand_mshr_miss_latency::cpu1.data 763750 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu2.inst 4615250 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu2.data 1324500 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu2.inst 4446750 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu2.data 1567000 # number of demand (read+write) MSHR miss cycles
system.l2c.demand_mshr_miss_latency::cpu3.inst 236250 # number of demand (read+write) MSHR miss cycles
system.l2c.demand_mshr_miss_latency::cpu3.data 749500 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::total 39563500 # number of demand (read+write) MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu0.inst 20468500 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu0.data 10501750 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu1.inst 904000 # number of overall MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::total 39717000 # number of demand (read+write) MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu0.inst 20478250 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu0.data 10490500 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu1.inst 985000 # number of overall MSHR miss cycles
system.l2c.overall_mshr_miss_latency::cpu1.data 763750 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu2.inst 4615250 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu2.data 1324500 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu2.inst 4446750 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu2.data 1567000 # number of overall MSHR miss cycles
system.l2c.overall_mshr_miss_latency::cpu3.inst 236250 # number of overall MSHR miss cycles
system.l2c.overall_mshr_miss_latency::cpu3.data 749500 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::total 39563500 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::total 39717000 # number of overall MSHR miss cycles
system.l2c.ReadReq_mshr_miss_rate::cpu0.inst 0.590538 # mshr miss rate for ReadReq accesses
system.l2c.ReadReq_mshr_miss_rate::cpu0.data 0.936709 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu1.inst 0.024145 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu1.inst 0.028169 # mshr miss rate for ReadReq accesses
system.l2c.ReadReq_mshr_miss_rate::cpu1.data 0.083333 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu2.inst 0.157143 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu2.inst 0.152130 # mshr miss rate for ReadReq accesses
system.l2c.ReadReq_mshr_miss_rate::cpu2.data 0.583333 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu3.inst 0.008114 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu3.inst 0.008016 # mshr miss rate for ReadReq accesses
system.l2c.ReadReq_mshr_miss_rate::cpu3.data 0.083333 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::total 0.243659 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::total 0.242670 # mshr miss rate for ReadReq accesses
system.l2c.UpgradeReq_mshr_miss_rate::cpu0.data 0.884615 # mshr miss rate for UpgradeReq accesses
system.l2c.UpgradeReq_mshr_miss_rate::cpu1.data 1 # mshr miss rate for UpgradeReq accesses
system.l2c.UpgradeReq_mshr_miss_rate::cpu2.data 1 # mshr miss rate for UpgradeReq accesses
@@ -633,31 +641,31 @@ system.l2c.ReadExReq_mshr_miss_rate::cpu3.data 1
system.l2c.ReadExReq_mshr_miss_rate::total 1 # mshr miss rate for ReadExReq accesses
system.l2c.demand_mshr_miss_rate::cpu0.inst 0.590538 # mshr miss rate for demand accesses
system.l2c.demand_mshr_miss_rate::cpu0.data 0.971098 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu1.inst 0.024145 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu1.inst 0.028169 # mshr miss rate for demand accesses
system.l2c.demand_mshr_miss_rate::cpu1.data 0.541667 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu2.inst 0.157143 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu2.inst 0.152130 # mshr miss rate for demand accesses
system.l2c.demand_mshr_miss_rate::cpu2.data 0.800000 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu3.inst 0.008114 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu3.inst 0.008016 # mshr miss rate for demand accesses
system.l2c.demand_mshr_miss_rate::cpu3.data 0.541667 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::total 0.286020 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::total 0.284923 # mshr miss rate for demand accesses
system.l2c.overall_mshr_miss_rate::cpu0.inst 0.590538 # mshr miss rate for overall accesses
system.l2c.overall_mshr_miss_rate::cpu0.data 0.971098 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu1.inst 0.024145 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu1.inst 0.028169 # mshr miss rate for overall accesses
system.l2c.overall_mshr_miss_rate::cpu1.data 0.541667 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu2.inst 0.157143 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu2.inst 0.152130 # mshr miss rate for overall accesses
system.l2c.overall_mshr_miss_rate::cpu2.data 0.800000 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu3.inst 0.008114 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu3.inst 0.008016 # mshr miss rate for overall accesses
system.l2c.overall_mshr_miss_rate::cpu3.data 0.541667 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::total 0.286020 # mshr miss rate for overall accesses
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.inst 56542.817680 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.data 64057.432432 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.inst 75333.333333 # average ReadReq mshr miss latency
+system.l2c.overall_mshr_miss_rate::total 0.284923 # mshr miss rate for overall accesses
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.inst 56569.751381 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu0.data 63905.405405 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.inst 70357.142857 # average ReadReq mshr miss latency
system.l2c.ReadReq_avg_mshr_miss_latency::cpu1.data 62500 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu2.inst 59938.311688 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu2.data 62321.428571 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu2.inst 59290 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu2.data 96964.285714 # average ReadReq mshr miss latency
system.l2c.ReadReq_avg_mshr_miss_latency::cpu3.inst 59062.500000 # average ReadReq mshr miss latency
system.l2c.ReadReq_avg_mshr_miss_latency::cpu3.data 62500 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::total 58597.583643 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::total 58882.899628 # average ReadReq mshr miss latency
system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu0.data 10001 # average UpgradeReq mshr miss latency
system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu1.data 10001 # average UpgradeReq mshr miss latency
system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu2.data 10001 # average UpgradeReq mshr miss latency
@@ -668,201 +676,216 @@ system.l2c.ReadExReq_avg_mshr_miss_latency::cpu1.data 58437.500000
system.l2c.ReadExReq_avg_mshr_miss_latency::cpu2.data 68326.923077 # average ReadExReq mshr miss latency
system.l2c.ReadExReq_avg_mshr_miss_latency::cpu3.data 57250 # average ReadExReq mshr miss latency
system.l2c.ReadExReq_avg_mshr_miss_latency::total 61358.778626 # average ReadExReq mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu0.inst 56542.817680 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu0.data 62510.416667 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu1.inst 75333.333333 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu0.inst 56569.751381 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu0.data 62443.452381 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu1.inst 70357.142857 # average overall mshr miss latency
system.l2c.demand_avg_mshr_miss_latency::cpu1.data 58750 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu2.inst 59938.311688 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu2.data 66225 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu2.inst 59290 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu2.data 78350 # average overall mshr miss latency
system.l2c.demand_avg_mshr_miss_latency::cpu3.inst 59062.500000 # average overall mshr miss latency
system.l2c.demand_avg_mshr_miss_latency::cpu3.data 57653.846154 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::total 59138.266069 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu0.inst 56542.817680 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu0.data 62510.416667 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu1.inst 75333.333333 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::total 59367.713004 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu0.inst 56569.751381 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu0.data 62443.452381 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu1.inst 70357.142857 # average overall mshr miss latency
system.l2c.overall_avg_mshr_miss_latency::cpu1.data 58750 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu2.inst 59938.311688 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu2.data 66225 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu2.inst 59290 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu2.data 78350 # average overall mshr miss latency
system.l2c.overall_avg_mshr_miss_latency::cpu3.inst 59062.500000 # average overall mshr miss latency
system.l2c.overall_avg_mshr_miss_latency::cpu3.data 57653.846154 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::total 59138.266069 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::total 59367.713004 # average overall mshr miss latency
system.l2c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.toL2Bus.throughput 1921108681 # Throughput (bytes/s)
-system.toL2Bus.trans_dist::ReadReq 2758 # Transaction distribution
-system.toL2Bus.trans_dist::ReadResp 2757 # Transaction distribution
+system.toL2Bus.trans_dist::ReadReq 2766 # Transaction distribution
+system.toL2Bus.trans_dist::ReadResp 2765 # Transaction distribution
system.toL2Bus.trans_dist::Writeback 1 # Transaction distribution
-system.toL2Bus.trans_dist::UpgradeReq 275 # Transaction distribution
-system.toL2Bus.trans_dist::UpgradeResp 275 # Transaction distribution
-system.toL2Bus.trans_dist::ReadExReq 413 # Transaction distribution
-system.toL2Bus.trans_dist::ReadExResp 413 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeReq 279 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeResp 279 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExReq 406 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExResp 406 # Transaction distribution
system.toL2Bus.pkt_count_system.cpu0.icache.mem_side::system.l2c.cpu_side 1225 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu0.dcache.mem_side::system.l2c.cpu_side 584 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu0.dcache.mem_side::system.l2c.cpu_side 583 # Packet count per connected master and slave (bytes)
system.toL2Bus.pkt_count_system.cpu1.icache.mem_side::system.l2c.cpu_side 994 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu1.dcache.mem_side::system.l2c.cpu_side 361 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu2.icache.mem_side::system.l2c.cpu_side 980 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu2.dcache.mem_side::system.l2c.cpu_side 365 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu3.icache.mem_side::system.l2c.cpu_side 986 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu3.dcache.mem_side::system.l2c.cpu_side 371 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count::total 5866 # Packet count per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu0.icache.mem_side::system.l2c.cpu_side 39168 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu0.dcache.mem_side::system.l2c.cpu_side 11136 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu1.icache.mem_side::system.l2c.cpu_side 31808 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu1.dcache.mem_side::system.l2c.cpu_side 1536 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu2.icache.mem_side::system.l2c.cpu_side 31360 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu2.dcache.mem_side::system.l2c.cpu_side 1600 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu3.icache.mem_side::system.l2c.cpu_side 31552 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu3.dcache.mem_side::system.l2c.cpu_side 1536 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size::total 149696 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.data_through_bus 149696 # Total data (bytes)
-system.toL2Bus.snoop_data_through_bus 53248 # Total snoop data (bytes)
-system.toL2Bus.reqLayer0.occupancy 1733986 # Layer occupancy (ticks)
+system.toL2Bus.pkt_count_system.cpu1.dcache.mem_side::system.l2c.cpu_side 366 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu2.icache.mem_side::system.l2c.cpu_side 986 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu2.dcache.mem_side::system.l2c.cpu_side 353 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu3.icache.mem_side::system.l2c.cpu_side 998 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu3.dcache.mem_side::system.l2c.cpu_side 375 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count::total 5880 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.icache.mem_side::system.l2c.cpu_side 39168 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.dcache.mem_side::system.l2c.cpu_side 11136 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.icache.mem_side::system.l2c.cpu_side 31808 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.dcache.mem_side::system.l2c.cpu_side 1536 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu2.icache.mem_side::system.l2c.cpu_side 31552 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu2.dcache.mem_side::system.l2c.cpu_side 1600 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu3.icache.mem_side::system.l2c.cpu_side 31936 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu3.dcache.mem_side::system.l2c.cpu_side 1536 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size::total 150272 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.snoops 1022 # Total snoops (count)
+system.toL2Bus.snoop_fanout::samples 3452 # Request fanout histogram
+system.toL2Bus.snoop_fanout::mean 7 # Request fanout histogram
+system.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::5 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::6 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::7 3452 100.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::8 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::min_value 7 # Request fanout histogram
+system.toL2Bus.snoop_fanout::max_value 7 # Request fanout histogram
+system.toL2Bus.snoop_fanout::total 3452 # Request fanout histogram
+system.toL2Bus.reqLayer0.occupancy 1739480 # Layer occupancy (ticks)
system.toL2Bus.reqLayer0.utilization 1.6 # Layer utilization (%)
-system.toL2Bus.respLayer0.occupancy 2820249 # Layer occupancy (ticks)
+system.toL2Bus.respLayer0.occupancy 2819999 # Layer occupancy (ticks)
system.toL2Bus.respLayer0.utilization 2.7 # Layer utilization (%)
-system.toL2Bus.respLayer1.occupancy 1469763 # Layer occupancy (ticks)
+system.toL2Bus.respLayer1.occupancy 1460516 # Layer occupancy (ticks)
system.toL2Bus.respLayer1.utilization 1.4 # Layer utilization (%)
-system.toL2Bus.respLayer2.occupancy 2240244 # Layer occupancy (ticks)
+system.toL2Bus.respLayer2.occupancy 2239746 # Layer occupancy (ticks)
system.toL2Bus.respLayer2.utilization 2.1 # Layer utilization (%)
-system.toL2Bus.respLayer3.occupancy 1184253 # Layer occupancy (ticks)
+system.toL2Bus.respLayer3.occupancy 1188247 # Layer occupancy (ticks)
system.toL2Bus.respLayer3.utilization 1.1 # Layer utilization (%)
-system.toL2Bus.respLayer4.occupancy 2220245 # Layer occupancy (ticks)
+system.toL2Bus.respLayer4.occupancy 2234243 # Layer occupancy (ticks)
system.toL2Bus.respLayer4.utilization 2.1 # Layer utilization (%)
-system.toL2Bus.respLayer5.occupancy 1188993 # Layer occupancy (ticks)
+system.toL2Bus.respLayer5.occupancy 1152996 # Layer occupancy (ticks)
system.toL2Bus.respLayer5.utilization 1.1 # Layer utilization (%)
-system.toL2Bus.respLayer6.occupancy 2220246 # Layer occupancy (ticks)
+system.toL2Bus.respLayer6.occupancy 2246748 # Layer occupancy (ticks)
system.toL2Bus.respLayer6.utilization 2.1 # Layer utilization (%)
-system.toL2Bus.respLayer7.occupancy 1196995 # Layer occupancy (ticks)
+system.toL2Bus.respLayer7.occupancy 1204495 # Layer occupancy (ticks)
system.toL2Bus.respLayer7.utilization 1.1 # Layer utilization (%)
-system.cpu0.branchPred.lookups 81365 # Number of BP lookups
-system.cpu0.branchPred.condPredicted 78481 # Number of conditional branches predicted
+system.cpu0.branchPred.lookups 81418 # Number of BP lookups
+system.cpu0.branchPred.condPredicted 78534 # Number of conditional branches predicted
system.cpu0.branchPred.condIncorrect 1187 # Number of conditional branches incorrect
-system.cpu0.branchPred.BTBLookups 78090 # Number of BTB lookups
-system.cpu0.branchPred.BTBHits 75342 # Number of BTB hits
+system.cpu0.branchPred.BTBLookups 78143 # Number of BTB lookups
+system.cpu0.branchPred.BTBHits 75395 # Number of BTB hits
system.cpu0.branchPred.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
-system.cpu0.branchPred.BTBHitPct 96.480983 # BTB Hit Percentage
+system.cpu0.branchPred.BTBHitPct 96.483370 # BTB Hit Percentage
system.cpu0.branchPred.usedRAS 733 # Number of times the RAS was used to get a target.
system.cpu0.branchPred.RASInCorrect 128 # Number of incorrect RAS predictions.
system.cpu0.workload.num_syscalls 89 # Number of system calls
-system.cpu0.numCycles 211279 # number of cpu cycles simulated
+system.cpu0.numCycles 211393 # number of cpu cycles simulated
system.cpu0.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu0.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu0.fetch.icacheStallCycles 20058 # Number of cycles fetch is stalled on an Icache miss
-system.cpu0.fetch.Insts 480743 # Number of instructions fetch has processed
-system.cpu0.fetch.Branches 81365 # Number of branches that fetch encountered
-system.cpu0.fetch.predictedBranches 76075 # Number of branches that fetch has predicted taken
-system.cpu0.fetch.Cycles 164045 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu0.fetch.icacheStallCycles 20064 # Number of cycles fetch is stalled on an Icache miss
+system.cpu0.fetch.Insts 481063 # Number of instructions fetch has processed
+system.cpu0.fetch.Branches 81418 # Number of branches that fetch encountered
+system.cpu0.fetch.predictedBranches 76128 # Number of branches that fetch has predicted taken
+system.cpu0.fetch.Cycles 164143 # Number of cycles fetch has run and was not squashing or blocked
system.cpu0.fetch.SquashCycles 2674 # Number of cycles fetch has spent squashing
system.cpu0.fetch.MiscStallCycles 3 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
system.cpu0.fetch.PendingTrapStallCycles 1880 # Number of stall cycles due to pending traps
system.cpu0.fetch.CacheLines 7123 # Number of cache lines fetched
system.cpu0.fetch.IcacheSquashes 658 # Number of outstanding Icache misses that were squashed
-system.cpu0.fetch.rateDist::samples 187323 # Number of instructions fetched each cycle (Total)
-system.cpu0.fetch.rateDist::mean 2.566385 # Number of instructions fetched each cycle (Total)
-system.cpu0.fetch.rateDist::stdev 2.225399 # Number of instructions fetched each cycle (Total)
+system.cpu0.fetch.rateDist::samples 187427 # Number of instructions fetched each cycle (Total)
+system.cpu0.fetch.rateDist::mean 2.566669 # Number of instructions fetched each cycle (Total)
+system.cpu0.fetch.rateDist::stdev 2.225288 # Number of instructions fetched each cycle (Total)
system.cpu0.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
-system.cpu0.fetch.rateDist::0 30153 16.10% 16.10% # Number of instructions fetched each cycle (Total)
-system.cpu0.fetch.rateDist::1 77599 41.43% 57.52% # Number of instructions fetched each cycle (Total)
+system.cpu0.fetch.rateDist::0 30149 16.09% 16.09% # Number of instructions fetched each cycle (Total)
+system.cpu0.fetch.rateDist::1 77654 41.43% 57.52% # Number of instructions fetched each cycle (Total)
system.cpu0.fetch.rateDist::2 823 0.44% 57.96% # Number of instructions fetched each cycle (Total)
-system.cpu0.fetch.rateDist::3 1078 0.58% 58.54% # Number of instructions fetched each cycle (Total)
-system.cpu0.fetch.rateDist::4 624 0.33% 58.87% # Number of instructions fetched each cycle (Total)
-system.cpu0.fetch.rateDist::5 72927 38.93% 97.80% # Number of instructions fetched each cycle (Total)
+system.cpu0.fetch.rateDist::3 1078 0.58% 58.53% # Number of instructions fetched each cycle (Total)
+system.cpu0.fetch.rateDist::4 624 0.33% 58.86% # Number of instructions fetched each cycle (Total)
+system.cpu0.fetch.rateDist::5 72980 38.94% 97.80% # Number of instructions fetched each cycle (Total)
system.cpu0.fetch.rateDist::6 691 0.37% 98.17% # Number of instructions fetched each cycle (Total)
system.cpu0.fetch.rateDist::7 437 0.23% 98.40% # Number of instructions fetched each cycle (Total)
system.cpu0.fetch.rateDist::8 2991 1.60% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu0.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu0.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
system.cpu0.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
-system.cpu0.fetch.rateDist::total 187323 # Number of instructions fetched each cycle (Total)
-system.cpu0.fetch.branchRate 0.385107 # Number of branch fetches per cycle
-system.cpu0.fetch.rate 2.275394 # Number of inst fetches per cycle
-system.cpu0.decode.IdleCycles 15731 # Number of cycles decode is idle
-system.cpu0.decode.BlockedCycles 17849 # Number of cycles decode is blocked
-system.cpu0.decode.RunCycles 151731 # Number of cycles decode is running
+system.cpu0.fetch.rateDist::total 187427 # Number of instructions fetched each cycle (Total)
+system.cpu0.fetch.branchRate 0.385150 # Number of branch fetches per cycle
+system.cpu0.fetch.rate 2.275681 # Number of inst fetches per cycle
+system.cpu0.decode.IdleCycles 15737 # Number of cycles decode is idle
+system.cpu0.decode.BlockedCycles 17837 # Number of cycles decode is blocked
+system.cpu0.decode.RunCycles 151841 # Number of cycles decode is running
system.cpu0.decode.UnblockCycles 675 # Number of cycles decode is unblocking
system.cpu0.decode.SquashCycles 1337 # Number of cycles decode is squashing
-system.cpu0.decode.DecodedInsts 468882 # Number of instructions handled by decode
+system.cpu0.decode.DecodedInsts 469212 # Number of instructions handled by decode
system.cpu0.rename.SquashCycles 1337 # Number of cycles rename is squashing
-system.cpu0.rename.IdleCycles 16349 # Number of cycles rename is idle
-system.cpu0.rename.BlockCycles 2025 # Number of cycles rename is blocking
-system.cpu0.rename.serializeStallCycles 14605 # count of cycles rename stalled for serializing inst
-system.cpu0.rename.RunCycles 151742 # Number of cycles rename is running
-system.cpu0.rename.UnblockCycles 1265 # Number of cycles rename is unblocking
-system.cpu0.rename.RenamedInsts 465427 # Number of instructions processed by rename
+system.cpu0.rename.IdleCycles 16355 # Number of cycles rename is idle
+system.cpu0.rename.BlockCycles 2021 # Number of cycles rename is blocking
+system.cpu0.rename.serializeStallCycles 14599 # count of cycles rename stalled for serializing inst
+system.cpu0.rename.RunCycles 151852 # Number of cycles rename is running
+system.cpu0.rename.UnblockCycles 1263 # Number of cycles rename is unblocking
+system.cpu0.rename.RenamedInsts 465757 # Number of instructions processed by rename
system.cpu0.rename.IQFullEvents 17 # Number of times rename has blocked due to IQ full
-system.cpu0.rename.LQFullEvents 18 # Number of times rename has blocked due to LQ full
+system.cpu0.rename.LQFullEvents 16 # Number of times rename has blocked due to LQ full
system.cpu0.rename.SQFullEvents 756 # Number of times rename has blocked due to SQ full
-system.cpu0.rename.RenamedOperands 318792 # Number of destination operands rename has renamed
-system.cpu0.rename.RenameLookups 928161 # Number of register rename lookups that rename has made
-system.cpu0.rename.int_rename_lookups 701504 # Number of integer rename lookups
-system.cpu0.rename.CommittedMaps 304835 # Number of HB maps that are committed
+system.cpu0.rename.RenamedOperands 319012 # Number of destination operands rename has renamed
+system.cpu0.rename.RenameLookups 928821 # Number of register rename lookups that rename has made
+system.cpu0.rename.int_rename_lookups 701999 # Number of integer rename lookups
+system.cpu0.rename.CommittedMaps 305055 # Number of HB maps that are committed
system.cpu0.rename.UndoneMaps 13957 # Number of HB maps that are undone due to squashing
system.cpu0.rename.serializingInsts 896 # count of serializing insts renamed
system.cpu0.rename.tempSerializingInsts 905 # count of temporary serializing insts renamed
-system.cpu0.rename.skidInsts 4588 # count of insts added to the skid buffer
-system.cpu0.memDep0.insertedLoads 148468 # Number of loads inserted to the mem dependence unit.
-system.cpu0.memDep0.insertedStores 75131 # Number of stores inserted to the mem dependence unit.
-system.cpu0.memDep0.conflictingLoads 72391 # Number of conflicting loads.
-system.cpu0.memDep0.conflictingStores 72142 # Number of conflicting stores.
-system.cpu0.iq.iqInstsAdded 389496 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu0.rename.skidInsts 4572 # count of insts added to the skid buffer
+system.cpu0.memDep0.insertedLoads 148578 # Number of loads inserted to the mem dependence unit.
+system.cpu0.memDep0.insertedStores 75186 # Number of stores inserted to the mem dependence unit.
+system.cpu0.memDep0.conflictingLoads 72446 # Number of conflicting loads.
+system.cpu0.memDep0.conflictingStores 72197 # Number of conflicting stores.
+system.cpu0.iq.iqInstsAdded 389771 # Number of instructions added to the IQ (excludes non-spec)
system.cpu0.iq.iqNonSpecInstsAdded 964 # Number of non-speculative instructions added to the IQ
-system.cpu0.iq.iqInstsIssued 386182 # Number of instructions issued
+system.cpu0.iq.iqInstsIssued 386457 # Number of instructions issued
system.cpu0.iq.iqSquashedInstsIssued 23 # Number of squashed instructions issued
system.cpu0.iq.iqSquashedInstsExamined 12213 # Number of squashed instructions iterated over during squash; mainly for profiling
-system.cpu0.iq.iqSquashedOperandsExamined 11099 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu0.iq.iqSquashedOperandsExamined 11103 # Number of squashed operands that are examined and possibly removed from graph
system.cpu0.iq.iqSquashedNonSpecRemoved 405 # Number of squashed non-spec instructions that were removed
-system.cpu0.iq.issued_per_cycle::samples 187323 # Number of insts issued each cycle
-system.cpu0.iq.issued_per_cycle::mean 2.061583 # Number of insts issued each cycle
-system.cpu0.iq.issued_per_cycle::stdev 1.125394 # Number of insts issued each cycle
+system.cpu0.iq.issued_per_cycle::samples 187427 # Number of insts issued each cycle
+system.cpu0.iq.issued_per_cycle::mean 2.061907 # Number of insts issued each cycle
+system.cpu0.iq.issued_per_cycle::stdev 1.125198 # Number of insts issued each cycle
system.cpu0.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
-system.cpu0.iq.issued_per_cycle::0 33109 17.67% 17.67% # Number of insts issued each cycle
-system.cpu0.iq.issued_per_cycle::1 4301 2.30% 19.97% # Number of insts issued each cycle
-system.cpu0.iq.issued_per_cycle::2 73576 39.28% 59.25% # Number of insts issued each cycle
-system.cpu0.iq.issued_per_cycle::3 73130 39.04% 98.29% # Number of insts issued each cycle
-system.cpu0.iq.issued_per_cycle::4 1662 0.89% 99.18% # Number of insts issued each cycle
-system.cpu0.iq.issued_per_cycle::5 894 0.48% 99.65% # Number of insts issued each cycle
-system.cpu0.iq.issued_per_cycle::6 408 0.22% 99.87% # Number of insts issued each cycle
+system.cpu0.iq.issued_per_cycle::0 33106 17.66% 17.66% # Number of insts issued each cycle
+system.cpu0.iq.issued_per_cycle::1 4299 2.29% 19.96% # Number of insts issued each cycle
+system.cpu0.iq.issued_per_cycle::2 73629 39.28% 59.24% # Number of insts issued each cycle
+system.cpu0.iq.issued_per_cycle::3 73187 39.05% 98.29% # Number of insts issued each cycle
+system.cpu0.iq.issued_per_cycle::4 1656 0.88% 99.17% # Number of insts issued each cycle
+system.cpu0.iq.issued_per_cycle::5 900 0.48% 99.65% # Number of insts issued each cycle
+system.cpu0.iq.issued_per_cycle::6 407 0.22% 99.87% # Number of insts issued each cycle
system.cpu0.iq.issued_per_cycle::7 171 0.09% 99.96% # Number of insts issued each cycle
system.cpu0.iq.issued_per_cycle::8 72 0.04% 100.00% # Number of insts issued each cycle
system.cpu0.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
system.cpu0.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
system.cpu0.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
-system.cpu0.iq.issued_per_cycle::total 187323 # Number of insts issued each cycle
+system.cpu0.iq.issued_per_cycle::total 187427 # Number of insts issued each cycle
system.cpu0.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
-system.cpu0.iq.fu_full::IntAlu 97 34.15% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::IntMult 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::IntDiv 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::FloatAdd 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::FloatCmp 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::FloatCvt 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::FloatMult 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::FloatDiv 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::FloatSqrt 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdAdd 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdAddAcc 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdAlu 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdCmp 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdCvt 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdMisc 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdMult 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdMultAcc 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdShift 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdShiftAcc 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdSqrt 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdFloatAdd 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdFloatAlu 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdFloatCmp 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdFloatCvt 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdFloatDiv 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdFloatMisc 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdFloatMult 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdFloatMultAcc 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::SimdFloatSqrt 0 0.00% 34.15% # attempts to use FU when none available
-system.cpu0.iq.fu_full::MemRead 84 29.58% 63.73% # attempts to use FU when none available
-system.cpu0.iq.fu_full::MemWrite 103 36.27% 100.00% # attempts to use FU when none available
+system.cpu0.iq.fu_full::IntAlu 96 33.92% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::IntMult 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::IntDiv 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::FloatAdd 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::FloatCmp 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::FloatCvt 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::FloatMult 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::FloatDiv 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::FloatSqrt 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdAdd 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdAddAcc 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdAlu 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdCmp 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdCvt 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdMisc 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdMult 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdMultAcc 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdShift 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdShiftAcc 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdSqrt 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdFloatAdd 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdFloatAlu 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdFloatCmp 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdFloatCvt 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdFloatDiv 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdFloatMisc 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdFloatMult 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdFloatMultAcc 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::SimdFloatSqrt 0 0.00% 33.92% # attempts to use FU when none available
+system.cpu0.iq.fu_full::MemRead 84 29.68% 63.60% # attempts to use FU when none available
+system.cpu0.iq.fu_full::MemWrite 103 36.40% 100.00% # attempts to use FU when none available
system.cpu0.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
system.cpu0.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
system.cpu0.iq.FU_type_0::No_OpClass 0 0.00% 0.00% # Type of FU issued
-system.cpu0.iq.FU_type_0::IntAlu 163788 42.41% 42.41% # Type of FU issued
+system.cpu0.iq.FU_type_0::IntAlu 163898 42.41% 42.41% # Type of FU issued
system.cpu0.iq.FU_type_0::IntMult 0 0.00% 42.41% # Type of FU issued
system.cpu0.iq.FU_type_0::IntDiv 0 0.00% 42.41% # Type of FU issued
system.cpu0.iq.FU_type_0::FloatAdd 0 0.00% 42.41% # Type of FU issued
@@ -891,23 +914,23 @@ system.cpu0.iq.FU_type_0::SimdFloatMisc 0 0.00% 42.41% # Ty
system.cpu0.iq.FU_type_0::SimdFloatMult 0 0.00% 42.41% # Type of FU issued
system.cpu0.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 42.41% # Type of FU issued
system.cpu0.iq.FU_type_0::SimdFloatSqrt 0 0.00% 42.41% # Type of FU issued
-system.cpu0.iq.FU_type_0::MemRead 147930 38.31% 80.72% # Type of FU issued
-system.cpu0.iq.FU_type_0::MemWrite 74464 19.28% 100.00% # Type of FU issued
+system.cpu0.iq.FU_type_0::MemRead 148040 38.31% 80.72% # Type of FU issued
+system.cpu0.iq.FU_type_0::MemWrite 74519 19.28% 100.00% # Type of FU issued
system.cpu0.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
system.cpu0.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
-system.cpu0.iq.FU_type_0::total 386182 # Type of FU issued
-system.cpu0.iq.rate 1.827830 # Inst issue rate
-system.cpu0.iq.fu_busy_cnt 284 # FU busy when requested
-system.cpu0.iq.fu_busy_rate 0.000735 # FU busy rate (busy events/executed inst)
-system.cpu0.iq.int_inst_queue_reads 959994 # Number of integer instruction queue reads
-system.cpu0.iq.int_inst_queue_writes 402726 # Number of integer instruction queue writes
-system.cpu0.iq.int_inst_queue_wakeup_accesses 384333 # Number of integer instruction queue wakeup accesses
+system.cpu0.iq.FU_type_0::total 386457 # Type of FU issued
+system.cpu0.iq.rate 1.828145 # Inst issue rate
+system.cpu0.iq.fu_busy_cnt 283 # FU busy when requested
+system.cpu0.iq.fu_busy_rate 0.000732 # FU busy rate (busy events/executed inst)
+system.cpu0.iq.int_inst_queue_reads 960647 # Number of integer instruction queue reads
+system.cpu0.iq.int_inst_queue_writes 403001 # Number of integer instruction queue writes
+system.cpu0.iq.int_inst_queue_wakeup_accesses 384607 # Number of integer instruction queue wakeup accesses
system.cpu0.iq.fp_inst_queue_reads 0 # Number of floating instruction queue reads
system.cpu0.iq.fp_inst_queue_writes 0 # Number of floating instruction queue writes
system.cpu0.iq.fp_inst_queue_wakeup_accesses 0 # Number of floating instruction queue wakeup accesses
-system.cpu0.iq.int_alu_accesses 386466 # Number of integer alu accesses
+system.cpu0.iq.int_alu_accesses 386740 # Number of integer alu accesses
system.cpu0.iq.fp_alu_accesses 0 # Number of floating point alu accesses
-system.cpu0.iew.lsq.thread0.forwLoads 71762 # Number of loads that had data forwarded from stores
+system.cpu0.iew.lsq.thread0.forwLoads 71819 # Number of loads that had data forwarded from stores
system.cpu0.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
system.cpu0.iew.lsq.thread0.squashedLoads 2461 # Number of loads squashed
system.cpu0.iew.lsq.thread0.ignoredResponses 3 # Number of memory responses ignored because the instruction is squashed
@@ -919,68 +942,68 @@ system.cpu0.iew.lsq.thread0.rescheduledLoads 0
system.cpu0.iew.lsq.thread0.cacheBlocked 12 # Number of times an access to memory failed due to the cache being blocked
system.cpu0.iew.iewIdleCycles 0 # Number of cycles IEW is idle
system.cpu0.iew.iewSquashCycles 1337 # Number of cycles IEW is squashing
-system.cpu0.iew.iewBlockCycles 1986 # Number of cycles IEW is blocking
-system.cpu0.iew.iewUnblockCycles 48 # Number of cycles IEW is unblocking
-system.cpu0.iew.iewDispatchedInsts 463277 # Number of instructions dispatched to IQ
+system.cpu0.iew.iewBlockCycles 1980 # Number of cycles IEW is blocking
+system.cpu0.iew.iewUnblockCycles 50 # Number of cycles IEW is unblocking
+system.cpu0.iew.iewDispatchedInsts 463607 # Number of instructions dispatched to IQ
system.cpu0.iew.iewDispSquashedInsts 160 # Number of squashed instructions skipped by dispatch
-system.cpu0.iew.iewDispLoadInsts 148468 # Number of dispatched load instructions
-system.cpu0.iew.iewDispStoreInsts 75131 # Number of dispatched store instructions
+system.cpu0.iew.iewDispLoadInsts 148578 # Number of dispatched load instructions
+system.cpu0.iew.iewDispStoreInsts 75186 # Number of dispatched store instructions
system.cpu0.iew.iewDispNonSpecInsts 843 # Number of dispatched non-speculative instructions
-system.cpu0.iew.iewIQFullEvents 43 # Number of times the IQ has become full, causing a stall
+system.cpu0.iew.iewIQFullEvents 45 # Number of times the IQ has become full, causing a stall
system.cpu0.iew.iewLSQFullEvents 10 # Number of times the LSQ has become full, causing a stall
system.cpu0.iew.memOrderViolationEvents 54 # Number of memory order violations
system.cpu0.iew.predictedTakenIncorrect 323 # Number of branches that were predicted taken incorrectly
system.cpu0.iew.predictedNotTakenIncorrect 1099 # Number of branches that were predicted not taken incorrectly
system.cpu0.iew.branchMispredicts 1422 # Number of branch mispredicts detected at execute
-system.cpu0.iew.iewExecutedInsts 385174 # Number of executed instructions
-system.cpu0.iew.iewExecLoadInsts 147630 # Number of load instructions executed
-system.cpu0.iew.iewExecSquashedInsts 1008 # Number of squashed instructions skipped in execute
+system.cpu0.iew.iewExecutedInsts 385445 # Number of executed instructions
+system.cpu0.iew.iewExecLoadInsts 147736 # Number of load instructions executed
+system.cpu0.iew.iewExecSquashedInsts 1012 # Number of squashed instructions skipped in execute
system.cpu0.iew.exec_swp 0 # number of swp insts executed
-system.cpu0.iew.exec_nop 72817 # number of nop insts executed
-system.cpu0.iew.exec_refs 221956 # number of memory reference insts executed
-system.cpu0.iew.exec_branches 76403 # Number of branches executed
-system.cpu0.iew.exec_stores 74326 # Number of stores executed
-system.cpu0.iew.exec_rate 1.823059 # Inst execution rate
-system.cpu0.iew.wb_sent 384701 # cumulative count of insts sent to commit
-system.cpu0.iew.wb_count 384333 # cumulative count of insts written-back
-system.cpu0.iew.wb_producers 227933 # num instructions producing a value
-system.cpu0.iew.wb_consumers 231165 # num instructions consuming a value
+system.cpu0.iew.exec_nop 72872 # number of nop insts executed
+system.cpu0.iew.exec_refs 222117 # number of memory reference insts executed
+system.cpu0.iew.exec_branches 76458 # Number of branches executed
+system.cpu0.iew.exec_stores 74381 # Number of stores executed
+system.cpu0.iew.exec_rate 1.823357 # Inst execution rate
+system.cpu0.iew.wb_sent 384977 # cumulative count of insts sent to commit
+system.cpu0.iew.wb_count 384607 # cumulative count of insts written-back
+system.cpu0.iew.wb_producers 228096 # num instructions producing a value
+system.cpu0.iew.wb_consumers 231328 # num instructions consuming a value
system.cpu0.iew.wb_penalized 0 # number of instrctions required to write to 'other' IQ
-system.cpu0.iew.wb_rate 1.819078 # insts written-back per cycle
-system.cpu0.iew.wb_fanout 0.986019 # average fanout of values written-back
+system.cpu0.iew.wb_rate 1.819393 # insts written-back per cycle
+system.cpu0.iew.wb_fanout 0.986028 # average fanout of values written-back
system.cpu0.iew.wb_penalized_rate 0 # fraction of instructions written-back that wrote to 'other' IQ
system.cpu0.commit.commitSquashedInsts 13622 # The number of squashed insts skipped by commit
system.cpu0.commit.commitNonSpecStalls 559 # The number of times commit has been forced to stall to communicate backwards
system.cpu0.commit.branchMispredicts 1187 # The number of times a branch was mispredicted
-system.cpu0.commit.committed_per_cycle::samples 184699 # Number of insts commited each cycle
-system.cpu0.commit.committed_per_cycle::mean 2.434252 # Number of insts commited each cycle
-system.cpu0.commit.committed_per_cycle::stdev 2.147591 # Number of insts commited each cycle
+system.cpu0.commit.committed_per_cycle::samples 184803 # Number of insts commited each cycle
+system.cpu0.commit.committed_per_cycle::mean 2.434668 # Number of insts commited each cycle
+system.cpu0.commit.committed_per_cycle::stdev 2.147538 # Number of insts commited each cycle
system.cpu0.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
-system.cpu0.commit.committed_per_cycle::0 33306 18.03% 18.03% # Number of insts commited each cycle
-system.cpu0.commit.committed_per_cycle::1 75499 40.88% 58.91% # Number of insts commited each cycle
-system.cpu0.commit.committed_per_cycle::2 2011 1.09% 60.00% # Number of insts commited each cycle
-system.cpu0.commit.committed_per_cycle::3 643 0.35% 60.35% # Number of insts commited each cycle
-system.cpu0.commit.committed_per_cycle::4 527 0.29% 60.63% # Number of insts commited each cycle
-system.cpu0.commit.committed_per_cycle::5 71457 38.69% 99.32% # Number of insts commited each cycle
-system.cpu0.commit.committed_per_cycle::6 519 0.28% 99.60% # Number of insts commited each cycle
+system.cpu0.commit.committed_per_cycle::0 33298 18.02% 18.02% # Number of insts commited each cycle
+system.cpu0.commit.committed_per_cycle::1 75556 40.88% 58.90% # Number of insts commited each cycle
+system.cpu0.commit.committed_per_cycle::2 2014 1.09% 59.99% # Number of insts commited each cycle
+system.cpu0.commit.committed_per_cycle::3 640 0.35% 60.34% # Number of insts commited each cycle
+system.cpu0.commit.committed_per_cycle::4 527 0.29% 60.62% # Number of insts commited each cycle
+system.cpu0.commit.committed_per_cycle::5 71511 38.70% 99.32% # Number of insts commited each cycle
+system.cpu0.commit.committed_per_cycle::6 520 0.28% 99.60% # Number of insts commited each cycle
system.cpu0.commit.committed_per_cycle::7 249 0.13% 99.74% # Number of insts commited each cycle
system.cpu0.commit.committed_per_cycle::8 488 0.26% 100.00% # Number of insts commited each cycle
system.cpu0.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
system.cpu0.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
system.cpu0.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
-system.cpu0.commit.committed_per_cycle::total 184699 # Number of insts commited each cycle
-system.cpu0.commit.committedInsts 449604 # Number of instructions committed
-system.cpu0.commit.committedOps 449604 # Number of ops (including micro ops) committed
+system.cpu0.commit.committed_per_cycle::total 184803 # Number of insts commited each cycle
+system.cpu0.commit.committedInsts 449934 # Number of instructions committed
+system.cpu0.commit.committedOps 449934 # Number of ops (including micro ops) committed
system.cpu0.commit.swp_count 0 # Number of s/w prefetches committed
-system.cpu0.commit.refs 219517 # Number of memory references committed
-system.cpu0.commit.loads 146007 # Number of loads committed
+system.cpu0.commit.refs 219682 # Number of memory references committed
+system.cpu0.commit.loads 146117 # Number of loads committed
system.cpu0.commit.membars 84 # Number of memory barriers committed
-system.cpu0.commit.branches 75397 # Number of branches committed
+system.cpu0.commit.branches 75452 # Number of branches committed
system.cpu0.commit.fp_insts 0 # Number of committed floating point instructions.
-system.cpu0.commit.int_insts 303166 # Number of committed integer instructions.
+system.cpu0.commit.int_insts 303386 # Number of committed integer instructions.
system.cpu0.commit.function_calls 223 # Number of function calls committed.
-system.cpu0.commit.op_class_0::No_OpClass 72129 16.04% 16.04% # Class of committed instruction
-system.cpu0.commit.op_class_0::IntAlu 157874 35.11% 51.16% # Class of committed instruction
+system.cpu0.commit.op_class_0::No_OpClass 72184 16.04% 16.04% # Class of committed instruction
+system.cpu0.commit.op_class_0::IntAlu 157984 35.11% 51.16% # Class of committed instruction
system.cpu0.commit.op_class_0::IntMult 0 0.00% 51.16% # Class of committed instruction
system.cpu0.commit.op_class_0::IntDiv 0 0.00% 51.16% # Class of committed instruction
system.cpu0.commit.op_class_0::FloatAdd 0 0.00% 51.16% # Class of committed instruction
@@ -1009,37 +1032,37 @@ system.cpu0.commit.op_class_0::SimdFloatMisc 0 0.00% 51.16%
system.cpu0.commit.op_class_0::SimdFloatMult 0 0.00% 51.16% # Class of committed instruction
system.cpu0.commit.op_class_0::SimdFloatMultAcc 0 0.00% 51.16% # Class of committed instruction
system.cpu0.commit.op_class_0::SimdFloatSqrt 0 0.00% 51.16% # Class of committed instruction
-system.cpu0.commit.op_class_0::MemRead 146091 32.49% 83.65% # Class of committed instruction
-system.cpu0.commit.op_class_0::MemWrite 73510 16.35% 100.00% # Class of committed instruction
+system.cpu0.commit.op_class_0::MemRead 146201 32.49% 83.65% # Class of committed instruction
+system.cpu0.commit.op_class_0::MemWrite 73565 16.35% 100.00% # Class of committed instruction
system.cpu0.commit.op_class_0::IprAccess 0 0.00% 100.00% # Class of committed instruction
system.cpu0.commit.op_class_0::InstPrefetch 0 0.00% 100.00% # Class of committed instruction
-system.cpu0.commit.op_class_0::total 449604 # Class of committed instruction
+system.cpu0.commit.op_class_0::total 449934 # Class of committed instruction
system.cpu0.commit.bw_lim_events 488 # number cycles where commit BW limit reached
system.cpu0.commit.bw_limited 0 # number of insts not committed due to BW limits
-system.cpu0.rob.rob_reads 646276 # The number of ROB reads
-system.cpu0.rob.rob_writes 929096 # The number of ROB writes
-system.cpu0.timesIdled 317 # Number of times that the entire CPU went into an idle state and unscheduled itself
-system.cpu0.idleCycles 23956 # Total number of cycles that the CPU has spent unscheduled due to idling
-system.cpu0.committedInsts 377391 # Number of Instructions Simulated
-system.cpu0.committedOps 377391 # Number of Ops (including micro ops) Simulated
-system.cpu0.cpi 0.559841 # CPI: Cycles Per Instruction
-system.cpu0.cpi_total 0.559841 # CPI: Total CPI of All Threads
-system.cpu0.ipc 1.786221 # IPC: Instructions Per Cycle
-system.cpu0.ipc_total 1.786221 # IPC: Total IPC of All Threads
-system.cpu0.int_regfile_reads 688854 # number of integer regfile reads
-system.cpu0.int_regfile_writes 310766 # number of integer regfile writes
+system.cpu0.rob.rob_reads 646710 # The number of ROB reads
+system.cpu0.rob.rob_writes 929756 # The number of ROB writes
+system.cpu0.timesIdled 318 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu0.idleCycles 23966 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu0.committedInsts 377666 # Number of Instructions Simulated
+system.cpu0.committedOps 377666 # Number of Ops (including micro ops) Simulated
+system.cpu0.cpi 0.559735 # CPI: Cycles Per Instruction
+system.cpu0.cpi_total 0.559735 # CPI: Total CPI of All Threads
+system.cpu0.ipc 1.786559 # IPC: Instructions Per Cycle
+system.cpu0.ipc_total 1.786559 # IPC: Total IPC of All Threads
+system.cpu0.int_regfile_reads 689341 # number of integer regfile reads
+system.cpu0.int_regfile_writes 310987 # number of integer regfile writes
system.cpu0.fp_regfile_reads 192 # number of floating regfile reads
-system.cpu0.misc_regfile_reads 223843 # number of misc regfile reads
+system.cpu0.misc_regfile_reads 224004 # number of misc regfile reads
system.cpu0.misc_regfile_writes 564 # number of misc regfile writes
system.cpu0.icache.tags.replacements 322 # number of replacements
-system.cpu0.icache.tags.tagsinuse 240.566848 # Cycle average of tags in use
+system.cpu0.icache.tags.tagsinuse 240.567538 # Cycle average of tags in use
system.cpu0.icache.tags.total_refs 6326 # Total number of references to valid blocks.
system.cpu0.icache.tags.sampled_refs 612 # Sample count of references to valid blocks.
system.cpu0.icache.tags.avg_refs 10.336601 # Average number of references to valid blocks.
system.cpu0.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu0.icache.tags.occ_blocks::cpu0.inst 240.566848 # Average occupied blocks per requestor
-system.cpu0.icache.tags.occ_percent::cpu0.inst 0.469857 # Average percentage of cache occupancy
-system.cpu0.icache.tags.occ_percent::total 0.469857 # Average percentage of cache occupancy
+system.cpu0.icache.tags.occ_blocks::cpu0.inst 240.567538 # Average occupied blocks per requestor
+system.cpu0.icache.tags.occ_percent::cpu0.inst 0.469858 # Average percentage of cache occupancy
+system.cpu0.icache.tags.occ_percent::total 0.469858 # Average percentage of cache occupancy
system.cpu0.icache.tags.occ_task_id_blocks::1024 290 # Occupied blocks per task id
system.cpu0.icache.tags.age_task_id_blocks_1024::0 58 # Occupied blocks per task id
system.cpu0.icache.tags.age_task_id_blocks_1024::1 188 # Occupied blocks per task id
@@ -1059,12 +1082,12 @@ system.cpu0.icache.demand_misses::cpu0.inst 797 #
system.cpu0.icache.demand_misses::total 797 # number of demand (read+write) misses
system.cpu0.icache.overall_misses::cpu0.inst 797 # number of overall misses
system.cpu0.icache.overall_misses::total 797 # number of overall misses
-system.cpu0.icache.ReadReq_miss_latency::cpu0.inst 36681496 # number of ReadReq miss cycles
-system.cpu0.icache.ReadReq_miss_latency::total 36681496 # number of ReadReq miss cycles
-system.cpu0.icache.demand_miss_latency::cpu0.inst 36681496 # number of demand (read+write) miss cycles
-system.cpu0.icache.demand_miss_latency::total 36681496 # number of demand (read+write) miss cycles
-system.cpu0.icache.overall_miss_latency::cpu0.inst 36681496 # number of overall miss cycles
-system.cpu0.icache.overall_miss_latency::total 36681496 # number of overall miss cycles
+system.cpu0.icache.ReadReq_miss_latency::cpu0.inst 36689746 # number of ReadReq miss cycles
+system.cpu0.icache.ReadReq_miss_latency::total 36689746 # number of ReadReq miss cycles
+system.cpu0.icache.demand_miss_latency::cpu0.inst 36689746 # number of demand (read+write) miss cycles
+system.cpu0.icache.demand_miss_latency::total 36689746 # number of demand (read+write) miss cycles
+system.cpu0.icache.overall_miss_latency::cpu0.inst 36689746 # number of overall miss cycles
+system.cpu0.icache.overall_miss_latency::total 36689746 # number of overall miss cycles
system.cpu0.icache.ReadReq_accesses::cpu0.inst 7123 # number of ReadReq accesses(hits+misses)
system.cpu0.icache.ReadReq_accesses::total 7123 # number of ReadReq accesses(hits+misses)
system.cpu0.icache.demand_accesses::cpu0.inst 7123 # number of demand (read+write) accesses
@@ -1077,12 +1100,12 @@ system.cpu0.icache.demand_miss_rate::cpu0.inst 0.111891
system.cpu0.icache.demand_miss_rate::total 0.111891 # miss rate for demand accesses
system.cpu0.icache.overall_miss_rate::cpu0.inst 0.111891 # miss rate for overall accesses
system.cpu0.icache.overall_miss_rate::total 0.111891 # miss rate for overall accesses
-system.cpu0.icache.ReadReq_avg_miss_latency::cpu0.inst 46024.461731 # average ReadReq miss latency
-system.cpu0.icache.ReadReq_avg_miss_latency::total 46024.461731 # average ReadReq miss latency
-system.cpu0.icache.demand_avg_miss_latency::cpu0.inst 46024.461731 # average overall miss latency
-system.cpu0.icache.demand_avg_miss_latency::total 46024.461731 # average overall miss latency
-system.cpu0.icache.overall_avg_miss_latency::cpu0.inst 46024.461731 # average overall miss latency
-system.cpu0.icache.overall_avg_miss_latency::total 46024.461731 # average overall miss latency
+system.cpu0.icache.ReadReq_avg_miss_latency::cpu0.inst 46034.813049 # average ReadReq miss latency
+system.cpu0.icache.ReadReq_avg_miss_latency::total 46034.813049 # average ReadReq miss latency
+system.cpu0.icache.demand_avg_miss_latency::cpu0.inst 46034.813049 # average overall miss latency
+system.cpu0.icache.demand_avg_miss_latency::total 46034.813049 # average overall miss latency
+system.cpu0.icache.overall_avg_miss_latency::cpu0.inst 46034.813049 # average overall miss latency
+system.cpu0.icache.overall_avg_miss_latency::total 46034.813049 # average overall miss latency
system.cpu0.icache.blocked_cycles::no_mshrs 22 # number of cycles access was blocked
system.cpu0.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu0.icache.blocked::no_mshrs 1 # number of cycles access was blocked
@@ -1103,101 +1126,101 @@ system.cpu0.icache.demand_mshr_misses::cpu0.inst 613
system.cpu0.icache.demand_mshr_misses::total 613 # number of demand (read+write) MSHR misses
system.cpu0.icache.overall_mshr_misses::cpu0.inst 613 # number of overall MSHR misses
system.cpu0.icache.overall_mshr_misses::total 613 # number of overall MSHR misses
-system.cpu0.icache.ReadReq_mshr_miss_latency::cpu0.inst 28176251 # number of ReadReq MSHR miss cycles
-system.cpu0.icache.ReadReq_mshr_miss_latency::total 28176251 # number of ReadReq MSHR miss cycles
-system.cpu0.icache.demand_mshr_miss_latency::cpu0.inst 28176251 # number of demand (read+write) MSHR miss cycles
-system.cpu0.icache.demand_mshr_miss_latency::total 28176251 # number of demand (read+write) MSHR miss cycles
-system.cpu0.icache.overall_mshr_miss_latency::cpu0.inst 28176251 # number of overall MSHR miss cycles
-system.cpu0.icache.overall_mshr_miss_latency::total 28176251 # number of overall MSHR miss cycles
+system.cpu0.icache.ReadReq_mshr_miss_latency::cpu0.inst 28185001 # number of ReadReq MSHR miss cycles
+system.cpu0.icache.ReadReq_mshr_miss_latency::total 28185001 # number of ReadReq MSHR miss cycles
+system.cpu0.icache.demand_mshr_miss_latency::cpu0.inst 28185001 # number of demand (read+write) MSHR miss cycles
+system.cpu0.icache.demand_mshr_miss_latency::total 28185001 # number of demand (read+write) MSHR miss cycles
+system.cpu0.icache.overall_mshr_miss_latency::cpu0.inst 28185001 # number of overall MSHR miss cycles
+system.cpu0.icache.overall_mshr_miss_latency::total 28185001 # number of overall MSHR miss cycles
system.cpu0.icache.ReadReq_mshr_miss_rate::cpu0.inst 0.086059 # mshr miss rate for ReadReq accesses
system.cpu0.icache.ReadReq_mshr_miss_rate::total 0.086059 # mshr miss rate for ReadReq accesses
system.cpu0.icache.demand_mshr_miss_rate::cpu0.inst 0.086059 # mshr miss rate for demand accesses
system.cpu0.icache.demand_mshr_miss_rate::total 0.086059 # mshr miss rate for demand accesses
system.cpu0.icache.overall_mshr_miss_rate::cpu0.inst 0.086059 # mshr miss rate for overall accesses
system.cpu0.icache.overall_mshr_miss_rate::total 0.086059 # mshr miss rate for overall accesses
-system.cpu0.icache.ReadReq_avg_mshr_miss_latency::cpu0.inst 45964.520392 # average ReadReq mshr miss latency
-system.cpu0.icache.ReadReq_avg_mshr_miss_latency::total 45964.520392 # average ReadReq mshr miss latency
-system.cpu0.icache.demand_avg_mshr_miss_latency::cpu0.inst 45964.520392 # average overall mshr miss latency
-system.cpu0.icache.demand_avg_mshr_miss_latency::total 45964.520392 # average overall mshr miss latency
-system.cpu0.icache.overall_avg_mshr_miss_latency::cpu0.inst 45964.520392 # average overall mshr miss latency
-system.cpu0.icache.overall_avg_mshr_miss_latency::total 45964.520392 # average overall mshr miss latency
+system.cpu0.icache.ReadReq_avg_mshr_miss_latency::cpu0.inst 45978.794454 # average ReadReq mshr miss latency
+system.cpu0.icache.ReadReq_avg_mshr_miss_latency::total 45978.794454 # average ReadReq mshr miss latency
+system.cpu0.icache.demand_avg_mshr_miss_latency::cpu0.inst 45978.794454 # average overall mshr miss latency
+system.cpu0.icache.demand_avg_mshr_miss_latency::total 45978.794454 # average overall mshr miss latency
+system.cpu0.icache.overall_avg_mshr_miss_latency::cpu0.inst 45978.794454 # average overall mshr miss latency
+system.cpu0.icache.overall_avg_mshr_miss_latency::total 45978.794454 # average overall mshr miss latency
system.cpu0.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu0.dcache.tags.replacements 2 # number of replacements
-system.cpu0.dcache.tags.tagsinuse 141.515257 # Cycle average of tags in use
-system.cpu0.dcache.tags.total_refs 148145 # Total number of references to valid blocks.
+system.cpu0.dcache.tags.tagsinuse 141.516453 # Cycle average of tags in use
+system.cpu0.dcache.tags.total_refs 148253 # Total number of references to valid blocks.
system.cpu0.dcache.tags.sampled_refs 170 # Sample count of references to valid blocks.
-system.cpu0.dcache.tags.avg_refs 871.441176 # Average number of references to valid blocks.
+system.cpu0.dcache.tags.avg_refs 872.076471 # Average number of references to valid blocks.
system.cpu0.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu0.dcache.tags.occ_blocks::cpu0.data 141.515257 # Average occupied blocks per requestor
-system.cpu0.dcache.tags.occ_percent::cpu0.data 0.276397 # Average percentage of cache occupancy
-system.cpu0.dcache.tags.occ_percent::total 0.276397 # Average percentage of cache occupancy
+system.cpu0.dcache.tags.occ_blocks::cpu0.data 141.516453 # Average occupied blocks per requestor
+system.cpu0.dcache.tags.occ_percent::cpu0.data 0.276399 # Average percentage of cache occupancy
+system.cpu0.dcache.tags.occ_percent::total 0.276399 # Average percentage of cache occupancy
system.cpu0.dcache.tags.occ_task_id_blocks::1024 168 # Occupied blocks per task id
system.cpu0.dcache.tags.age_task_id_blocks_1024::0 17 # Occupied blocks per task id
-system.cpu0.dcache.tags.age_task_id_blocks_1024::1 105 # Occupied blocks per task id
-system.cpu0.dcache.tags.age_task_id_blocks_1024::2 46 # Occupied blocks per task id
+system.cpu0.dcache.tags.age_task_id_blocks_1024::1 104 # Occupied blocks per task id
+system.cpu0.dcache.tags.age_task_id_blocks_1024::2 47 # Occupied blocks per task id
system.cpu0.dcache.tags.occ_task_id_percent::1024 0.328125 # Percentage of cache occupancy per task id
-system.cpu0.dcache.tags.tag_accesses 597526 # Number of tag accesses
-system.cpu0.dcache.tags.data_accesses 597526 # Number of data accesses
-system.cpu0.dcache.ReadReq_hits::cpu0.data 75309 # number of ReadReq hits
-system.cpu0.dcache.ReadReq_hits::total 75309 # number of ReadReq hits
-system.cpu0.dcache.WriteReq_hits::cpu0.data 72924 # number of WriteReq hits
-system.cpu0.dcache.WriteReq_hits::total 72924 # number of WriteReq hits
+system.cpu0.dcache.tags.tag_accesses 597940 # Number of tag accesses
+system.cpu0.dcache.tags.data_accesses 597940 # Number of data accesses
+system.cpu0.dcache.ReadReq_hits::cpu0.data 75362 # number of ReadReq hits
+system.cpu0.dcache.ReadReq_hits::total 75362 # number of ReadReq hits
+system.cpu0.dcache.WriteReq_hits::cpu0.data 72979 # number of WriteReq hits
+system.cpu0.dcache.WriteReq_hits::total 72979 # number of WriteReq hits
system.cpu0.dcache.SwapReq_hits::cpu0.data 20 # number of SwapReq hits
system.cpu0.dcache.SwapReq_hits::total 20 # number of SwapReq hits
-system.cpu0.dcache.demand_hits::cpu0.data 148233 # number of demand (read+write) hits
-system.cpu0.dcache.demand_hits::total 148233 # number of demand (read+write) hits
-system.cpu0.dcache.overall_hits::cpu0.data 148233 # number of overall hits
-system.cpu0.dcache.overall_hits::total 148233 # number of overall hits
-system.cpu0.dcache.ReadReq_misses::cpu0.data 484 # number of ReadReq misses
-system.cpu0.dcache.ReadReq_misses::total 484 # number of ReadReq misses
+system.cpu0.dcache.demand_hits::cpu0.data 148341 # number of demand (read+write) hits
+system.cpu0.dcache.demand_hits::total 148341 # number of demand (read+write) hits
+system.cpu0.dcache.overall_hits::cpu0.data 148341 # number of overall hits
+system.cpu0.dcache.overall_hits::total 148341 # number of overall hits
+system.cpu0.dcache.ReadReq_misses::cpu0.data 480 # number of ReadReq misses
+system.cpu0.dcache.ReadReq_misses::total 480 # number of ReadReq misses
system.cpu0.dcache.WriteReq_misses::cpu0.data 544 # number of WriteReq misses
system.cpu0.dcache.WriteReq_misses::total 544 # number of WriteReq misses
system.cpu0.dcache.SwapReq_misses::cpu0.data 22 # number of SwapReq misses
system.cpu0.dcache.SwapReq_misses::total 22 # number of SwapReq misses
-system.cpu0.dcache.demand_misses::cpu0.data 1028 # number of demand (read+write) misses
-system.cpu0.dcache.demand_misses::total 1028 # number of demand (read+write) misses
-system.cpu0.dcache.overall_misses::cpu0.data 1028 # number of overall misses
-system.cpu0.dcache.overall_misses::total 1028 # number of overall misses
-system.cpu0.dcache.ReadReq_miss_latency::cpu0.data 15258131 # number of ReadReq miss cycles
-system.cpu0.dcache.ReadReq_miss_latency::total 15258131 # number of ReadReq miss cycles
-system.cpu0.dcache.WriteReq_miss_latency::cpu0.data 32871763 # number of WriteReq miss cycles
-system.cpu0.dcache.WriteReq_miss_latency::total 32871763 # number of WriteReq miss cycles
+system.cpu0.dcache.demand_misses::cpu0.data 1024 # number of demand (read+write) misses
+system.cpu0.dcache.demand_misses::total 1024 # number of demand (read+write) misses
+system.cpu0.dcache.overall_misses::cpu0.data 1024 # number of overall misses
+system.cpu0.dcache.overall_misses::total 1024 # number of overall misses
+system.cpu0.dcache.ReadReq_miss_latency::cpu0.data 15203420 # number of ReadReq miss cycles
+system.cpu0.dcache.ReadReq_miss_latency::total 15203420 # number of ReadReq miss cycles
+system.cpu0.dcache.WriteReq_miss_latency::cpu0.data 32866263 # number of WriteReq miss cycles
+system.cpu0.dcache.WriteReq_miss_latency::total 32866263 # number of WriteReq miss cycles
system.cpu0.dcache.SwapReq_miss_latency::cpu0.data 427750 # number of SwapReq miss cycles
system.cpu0.dcache.SwapReq_miss_latency::total 427750 # number of SwapReq miss cycles
-system.cpu0.dcache.demand_miss_latency::cpu0.data 48129894 # number of demand (read+write) miss cycles
-system.cpu0.dcache.demand_miss_latency::total 48129894 # number of demand (read+write) miss cycles
-system.cpu0.dcache.overall_miss_latency::cpu0.data 48129894 # number of overall miss cycles
-system.cpu0.dcache.overall_miss_latency::total 48129894 # number of overall miss cycles
-system.cpu0.dcache.ReadReq_accesses::cpu0.data 75793 # number of ReadReq accesses(hits+misses)
-system.cpu0.dcache.ReadReq_accesses::total 75793 # number of ReadReq accesses(hits+misses)
-system.cpu0.dcache.WriteReq_accesses::cpu0.data 73468 # number of WriteReq accesses(hits+misses)
-system.cpu0.dcache.WriteReq_accesses::total 73468 # number of WriteReq accesses(hits+misses)
+system.cpu0.dcache.demand_miss_latency::cpu0.data 48069683 # number of demand (read+write) miss cycles
+system.cpu0.dcache.demand_miss_latency::total 48069683 # number of demand (read+write) miss cycles
+system.cpu0.dcache.overall_miss_latency::cpu0.data 48069683 # number of overall miss cycles
+system.cpu0.dcache.overall_miss_latency::total 48069683 # number of overall miss cycles
+system.cpu0.dcache.ReadReq_accesses::cpu0.data 75842 # number of ReadReq accesses(hits+misses)
+system.cpu0.dcache.ReadReq_accesses::total 75842 # number of ReadReq accesses(hits+misses)
+system.cpu0.dcache.WriteReq_accesses::cpu0.data 73523 # number of WriteReq accesses(hits+misses)
+system.cpu0.dcache.WriteReq_accesses::total 73523 # number of WriteReq accesses(hits+misses)
system.cpu0.dcache.SwapReq_accesses::cpu0.data 42 # number of SwapReq accesses(hits+misses)
system.cpu0.dcache.SwapReq_accesses::total 42 # number of SwapReq accesses(hits+misses)
-system.cpu0.dcache.demand_accesses::cpu0.data 149261 # number of demand (read+write) accesses
-system.cpu0.dcache.demand_accesses::total 149261 # number of demand (read+write) accesses
-system.cpu0.dcache.overall_accesses::cpu0.data 149261 # number of overall (read+write) accesses
-system.cpu0.dcache.overall_accesses::total 149261 # number of overall (read+write) accesses
-system.cpu0.dcache.ReadReq_miss_rate::cpu0.data 0.006386 # miss rate for ReadReq accesses
-system.cpu0.dcache.ReadReq_miss_rate::total 0.006386 # miss rate for ReadReq accesses
-system.cpu0.dcache.WriteReq_miss_rate::cpu0.data 0.007405 # miss rate for WriteReq accesses
-system.cpu0.dcache.WriteReq_miss_rate::total 0.007405 # miss rate for WriteReq accesses
+system.cpu0.dcache.demand_accesses::cpu0.data 149365 # number of demand (read+write) accesses
+system.cpu0.dcache.demand_accesses::total 149365 # number of demand (read+write) accesses
+system.cpu0.dcache.overall_accesses::cpu0.data 149365 # number of overall (read+write) accesses
+system.cpu0.dcache.overall_accesses::total 149365 # number of overall (read+write) accesses
+system.cpu0.dcache.ReadReq_miss_rate::cpu0.data 0.006329 # miss rate for ReadReq accesses
+system.cpu0.dcache.ReadReq_miss_rate::total 0.006329 # miss rate for ReadReq accesses
+system.cpu0.dcache.WriteReq_miss_rate::cpu0.data 0.007399 # miss rate for WriteReq accesses
+system.cpu0.dcache.WriteReq_miss_rate::total 0.007399 # miss rate for WriteReq accesses
system.cpu0.dcache.SwapReq_miss_rate::cpu0.data 0.523810 # miss rate for SwapReq accesses
system.cpu0.dcache.SwapReq_miss_rate::total 0.523810 # miss rate for SwapReq accesses
-system.cpu0.dcache.demand_miss_rate::cpu0.data 0.006887 # miss rate for demand accesses
-system.cpu0.dcache.demand_miss_rate::total 0.006887 # miss rate for demand accesses
-system.cpu0.dcache.overall_miss_rate::cpu0.data 0.006887 # miss rate for overall accesses
-system.cpu0.dcache.overall_miss_rate::total 0.006887 # miss rate for overall accesses
-system.cpu0.dcache.ReadReq_avg_miss_latency::cpu0.data 31525.064050 # average ReadReq miss latency
-system.cpu0.dcache.ReadReq_avg_miss_latency::total 31525.064050 # average ReadReq miss latency
-system.cpu0.dcache.WriteReq_avg_miss_latency::cpu0.data 60426.034926 # average WriteReq miss latency
-system.cpu0.dcache.WriteReq_avg_miss_latency::total 60426.034926 # average WriteReq miss latency
+system.cpu0.dcache.demand_miss_rate::cpu0.data 0.006856 # miss rate for demand accesses
+system.cpu0.dcache.demand_miss_rate::total 0.006856 # miss rate for demand accesses
+system.cpu0.dcache.overall_miss_rate::cpu0.data 0.006856 # miss rate for overall accesses
+system.cpu0.dcache.overall_miss_rate::total 0.006856 # miss rate for overall accesses
+system.cpu0.dcache.ReadReq_avg_miss_latency::cpu0.data 31673.791667 # average ReadReq miss latency
+system.cpu0.dcache.ReadReq_avg_miss_latency::total 31673.791667 # average ReadReq miss latency
+system.cpu0.dcache.WriteReq_avg_miss_latency::cpu0.data 60415.924632 # average WriteReq miss latency
+system.cpu0.dcache.WriteReq_avg_miss_latency::total 60415.924632 # average WriteReq miss latency
system.cpu0.dcache.SwapReq_avg_miss_latency::cpu0.data 19443.181818 # average SwapReq miss latency
system.cpu0.dcache.SwapReq_avg_miss_latency::total 19443.181818 # average SwapReq miss latency
-system.cpu0.dcache.demand_avg_miss_latency::cpu0.data 46818.963035 # average overall miss latency
-system.cpu0.dcache.demand_avg_miss_latency::total 46818.963035 # average overall miss latency
-system.cpu0.dcache.overall_avg_miss_latency::cpu0.data 46818.963035 # average overall miss latency
-system.cpu0.dcache.overall_avg_miss_latency::total 46818.963035 # average overall miss latency
+system.cpu0.dcache.demand_avg_miss_latency::cpu0.data 46943.049805 # average overall miss latency
+system.cpu0.dcache.demand_avg_miss_latency::total 46943.049805 # average overall miss latency
+system.cpu0.dcache.overall_avg_miss_latency::cpu0.data 46943.049805 # average overall miss latency
+system.cpu0.dcache.overall_avg_miss_latency::total 46943.049805 # average overall miss latency
system.cpu0.dcache.blocked_cycles::no_mshrs 754 # number of cycles access was blocked
system.cpu0.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu0.dcache.blocked::no_mshrs 27 # number of cycles access was blocked
@@ -1208,405 +1231,405 @@ system.cpu0.dcache.fast_writes 0 # nu
system.cpu0.dcache.cache_copies 0 # number of cache copies performed
system.cpu0.dcache.writebacks::writebacks 1 # number of writebacks
system.cpu0.dcache.writebacks::total 1 # number of writebacks
-system.cpu0.dcache.ReadReq_mshr_hits::cpu0.data 301 # number of ReadReq MSHR hits
-system.cpu0.dcache.ReadReq_mshr_hits::total 301 # number of ReadReq MSHR hits
+system.cpu0.dcache.ReadReq_mshr_hits::cpu0.data 298 # number of ReadReq MSHR hits
+system.cpu0.dcache.ReadReq_mshr_hits::total 298 # number of ReadReq MSHR hits
system.cpu0.dcache.WriteReq_mshr_hits::cpu0.data 365 # number of WriteReq MSHR hits
system.cpu0.dcache.WriteReq_mshr_hits::total 365 # number of WriteReq MSHR hits
-system.cpu0.dcache.demand_mshr_hits::cpu0.data 666 # number of demand (read+write) MSHR hits
-system.cpu0.dcache.demand_mshr_hits::total 666 # number of demand (read+write) MSHR hits
-system.cpu0.dcache.overall_mshr_hits::cpu0.data 666 # number of overall MSHR hits
-system.cpu0.dcache.overall_mshr_hits::total 666 # number of overall MSHR hits
-system.cpu0.dcache.ReadReq_mshr_misses::cpu0.data 183 # number of ReadReq MSHR misses
-system.cpu0.dcache.ReadReq_mshr_misses::total 183 # number of ReadReq MSHR misses
+system.cpu0.dcache.demand_mshr_hits::cpu0.data 663 # number of demand (read+write) MSHR hits
+system.cpu0.dcache.demand_mshr_hits::total 663 # number of demand (read+write) MSHR hits
+system.cpu0.dcache.overall_mshr_hits::cpu0.data 663 # number of overall MSHR hits
+system.cpu0.dcache.overall_mshr_hits::total 663 # number of overall MSHR hits
+system.cpu0.dcache.ReadReq_mshr_misses::cpu0.data 182 # number of ReadReq MSHR misses
+system.cpu0.dcache.ReadReq_mshr_misses::total 182 # number of ReadReq MSHR misses
system.cpu0.dcache.WriteReq_mshr_misses::cpu0.data 179 # number of WriteReq MSHR misses
system.cpu0.dcache.WriteReq_mshr_misses::total 179 # number of WriteReq MSHR misses
system.cpu0.dcache.SwapReq_mshr_misses::cpu0.data 22 # number of SwapReq MSHR misses
system.cpu0.dcache.SwapReq_mshr_misses::total 22 # number of SwapReq MSHR misses
-system.cpu0.dcache.demand_mshr_misses::cpu0.data 362 # number of demand (read+write) MSHR misses
-system.cpu0.dcache.demand_mshr_misses::total 362 # number of demand (read+write) MSHR misses
-system.cpu0.dcache.overall_mshr_misses::cpu0.data 362 # number of overall MSHR misses
-system.cpu0.dcache.overall_mshr_misses::total 362 # number of overall MSHR misses
-system.cpu0.dcache.ReadReq_mshr_miss_latency::cpu0.data 6274260 # number of ReadReq MSHR miss cycles
-system.cpu0.dcache.ReadReq_mshr_miss_latency::total 6274260 # number of ReadReq MSHR miss cycles
-system.cpu0.dcache.WriteReq_mshr_miss_latency::cpu0.data 7393227 # number of WriteReq MSHR miss cycles
-system.cpu0.dcache.WriteReq_mshr_miss_latency::total 7393227 # number of WriteReq MSHR miss cycles
+system.cpu0.dcache.demand_mshr_misses::cpu0.data 361 # number of demand (read+write) MSHR misses
+system.cpu0.dcache.demand_mshr_misses::total 361 # number of demand (read+write) MSHR misses
+system.cpu0.dcache.overall_mshr_misses::cpu0.data 361 # number of overall MSHR misses
+system.cpu0.dcache.overall_mshr_misses::total 361 # number of overall MSHR misses
+system.cpu0.dcache.ReadReq_mshr_miss_latency::cpu0.data 6258507 # number of ReadReq MSHR miss cycles
+system.cpu0.dcache.ReadReq_mshr_miss_latency::total 6258507 # number of ReadReq MSHR miss cycles
+system.cpu0.dcache.WriteReq_mshr_miss_latency::cpu0.data 7387727 # number of WriteReq MSHR miss cycles
+system.cpu0.dcache.WriteReq_mshr_miss_latency::total 7387727 # number of WriteReq MSHR miss cycles
system.cpu0.dcache.SwapReq_mshr_miss_latency::cpu0.data 382250 # number of SwapReq MSHR miss cycles
system.cpu0.dcache.SwapReq_mshr_miss_latency::total 382250 # number of SwapReq MSHR miss cycles
-system.cpu0.dcache.demand_mshr_miss_latency::cpu0.data 13667487 # number of demand (read+write) MSHR miss cycles
-system.cpu0.dcache.demand_mshr_miss_latency::total 13667487 # number of demand (read+write) MSHR miss cycles
-system.cpu0.dcache.overall_mshr_miss_latency::cpu0.data 13667487 # number of overall MSHR miss cycles
-system.cpu0.dcache.overall_mshr_miss_latency::total 13667487 # number of overall MSHR miss cycles
-system.cpu0.dcache.ReadReq_mshr_miss_rate::cpu0.data 0.002414 # mshr miss rate for ReadReq accesses
-system.cpu0.dcache.ReadReq_mshr_miss_rate::total 0.002414 # mshr miss rate for ReadReq accesses
-system.cpu0.dcache.WriteReq_mshr_miss_rate::cpu0.data 0.002436 # mshr miss rate for WriteReq accesses
-system.cpu0.dcache.WriteReq_mshr_miss_rate::total 0.002436 # mshr miss rate for WriteReq accesses
+system.cpu0.dcache.demand_mshr_miss_latency::cpu0.data 13646234 # number of demand (read+write) MSHR miss cycles
+system.cpu0.dcache.demand_mshr_miss_latency::total 13646234 # number of demand (read+write) MSHR miss cycles
+system.cpu0.dcache.overall_mshr_miss_latency::cpu0.data 13646234 # number of overall MSHR miss cycles
+system.cpu0.dcache.overall_mshr_miss_latency::total 13646234 # number of overall MSHR miss cycles
+system.cpu0.dcache.ReadReq_mshr_miss_rate::cpu0.data 0.002400 # mshr miss rate for ReadReq accesses
+system.cpu0.dcache.ReadReq_mshr_miss_rate::total 0.002400 # mshr miss rate for ReadReq accesses
+system.cpu0.dcache.WriteReq_mshr_miss_rate::cpu0.data 0.002435 # mshr miss rate for WriteReq accesses
+system.cpu0.dcache.WriteReq_mshr_miss_rate::total 0.002435 # mshr miss rate for WriteReq accesses
system.cpu0.dcache.SwapReq_mshr_miss_rate::cpu0.data 0.523810 # mshr miss rate for SwapReq accesses
system.cpu0.dcache.SwapReq_mshr_miss_rate::total 0.523810 # mshr miss rate for SwapReq accesses
-system.cpu0.dcache.demand_mshr_miss_rate::cpu0.data 0.002425 # mshr miss rate for demand accesses
-system.cpu0.dcache.demand_mshr_miss_rate::total 0.002425 # mshr miss rate for demand accesses
-system.cpu0.dcache.overall_mshr_miss_rate::cpu0.data 0.002425 # mshr miss rate for overall accesses
-system.cpu0.dcache.overall_mshr_miss_rate::total 0.002425 # mshr miss rate for overall accesses
-system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::cpu0.data 34285.573770 # average ReadReq mshr miss latency
-system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::total 34285.573770 # average ReadReq mshr miss latency
-system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::cpu0.data 41302.944134 # average WriteReq mshr miss latency
-system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::total 41302.944134 # average WriteReq mshr miss latency
+system.cpu0.dcache.demand_mshr_miss_rate::cpu0.data 0.002417 # mshr miss rate for demand accesses
+system.cpu0.dcache.demand_mshr_miss_rate::total 0.002417 # mshr miss rate for demand accesses
+system.cpu0.dcache.overall_mshr_miss_rate::cpu0.data 0.002417 # mshr miss rate for overall accesses
+system.cpu0.dcache.overall_mshr_miss_rate::total 0.002417 # mshr miss rate for overall accesses
+system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::cpu0.data 34387.401099 # average ReadReq mshr miss latency
+system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::total 34387.401099 # average ReadReq mshr miss latency
+system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::cpu0.data 41272.217877 # average WriteReq mshr miss latency
+system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::total 41272.217877 # average WriteReq mshr miss latency
system.cpu0.dcache.SwapReq_avg_mshr_miss_latency::cpu0.data 17375 # average SwapReq mshr miss latency
system.cpu0.dcache.SwapReq_avg_mshr_miss_latency::total 17375 # average SwapReq mshr miss latency
-system.cpu0.dcache.demand_avg_mshr_miss_latency::cpu0.data 37755.488950 # average overall mshr miss latency
-system.cpu0.dcache.demand_avg_mshr_miss_latency::total 37755.488950 # average overall mshr miss latency
-system.cpu0.dcache.overall_avg_mshr_miss_latency::cpu0.data 37755.488950 # average overall mshr miss latency
-system.cpu0.dcache.overall_avg_mshr_miss_latency::total 37755.488950 # average overall mshr miss latency
+system.cpu0.dcache.demand_avg_mshr_miss_latency::cpu0.data 37801.202216 # average overall mshr miss latency
+system.cpu0.dcache.demand_avg_mshr_miss_latency::total 37801.202216 # average overall mshr miss latency
+system.cpu0.dcache.overall_avg_mshr_miss_latency::cpu0.data 37801.202216 # average overall mshr miss latency
+system.cpu0.dcache.overall_avg_mshr_miss_latency::total 37801.202216 # average overall mshr miss latency
system.cpu0.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu1.branchPred.lookups 54588 # Number of BP lookups
-system.cpu1.branchPred.condPredicted 51200 # Number of conditional branches predicted
-system.cpu1.branchPred.condIncorrect 1286 # Number of conditional branches incorrect
-system.cpu1.branchPred.BTBLookups 47257 # Number of BTB lookups
-system.cpu1.branchPred.BTBHits 46317 # Number of BTB hits
+system.cpu1.branchPred.lookups 52620 # Number of BP lookups
+system.cpu1.branchPred.condPredicted 49209 # Number of conditional branches predicted
+system.cpu1.branchPred.condIncorrect 1295 # Number of conditional branches incorrect
+system.cpu1.branchPred.BTBLookups 45306 # Number of BTB lookups
+system.cpu1.branchPred.BTBHits 44357 # Number of BTB hits
system.cpu1.branchPred.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
-system.cpu1.branchPred.BTBHitPct 98.010877 # BTB Hit Percentage
+system.cpu1.branchPred.BTBHitPct 97.905355 # BTB Hit Percentage
system.cpu1.branchPred.usedRAS 875 # Number of times the RAS was used to get a target.
system.cpu1.branchPred.RASInCorrect 231 # Number of incorrect RAS predictions.
-system.cpu1.numCycles 167979 # number of cpu cycles simulated
+system.cpu1.numCycles 161023 # number of cpu cycles simulated
system.cpu1.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu1.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu1.fetch.icacheStallCycles 29917 # Number of cycles fetch is stalled on an Icache miss
-system.cpu1.fetch.Insts 303462 # Number of instructions fetch has processed
-system.cpu1.fetch.Branches 54588 # Number of branches that fetch encountered
-system.cpu1.fetch.predictedBranches 47192 # Number of branches that fetch has predicted taken
-system.cpu1.fetch.Cycles 126841 # Number of cycles fetch has run and was not squashing or blocked
-system.cpu1.fetch.SquashCycles 2730 # Number of cycles fetch has spent squashing
+system.cpu1.fetch.icacheStallCycles 31247 # Number of cycles fetch is stalled on an Icache miss
+system.cpu1.fetch.Insts 289875 # Number of instructions fetch has processed
+system.cpu1.fetch.Branches 52620 # Number of branches that fetch encountered
+system.cpu1.fetch.predictedBranches 45232 # Number of branches that fetch has predicted taken
+system.cpu1.fetch.Cycles 125550 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu1.fetch.SquashCycles 2747 # Number of cycles fetch has spent squashing
system.cpu1.fetch.MiscStallCycles 3 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
-system.cpu1.fetch.NoActiveThreadStallCycles 7060 # Number of stall cycles due to no active thread to fetch from
-system.cpu1.fetch.PendingTrapStallCycles 1096 # Number of stall cycles due to pending traps
-system.cpu1.fetch.CacheLines 21062 # Number of cache lines fetched
-system.cpu1.fetch.IcacheSquashes 421 # Number of outstanding Icache misses that were squashed
-system.cpu1.fetch.rateDist::samples 166282 # Number of instructions fetched each cycle (Total)
-system.cpu1.fetch.rateDist::mean 1.824984 # Number of instructions fetched each cycle (Total)
-system.cpu1.fetch.rateDist::stdev 2.191628 # Number of instructions fetched each cycle (Total)
+system.cpu1.fetch.NoActiveThreadStallCycles 10 # Number of stall cycles due to no active thread to fetch from
+system.cpu1.fetch.PendingTrapStallCycles 1122 # Number of stall cycles due to pending traps
+system.cpu1.fetch.CacheLines 22380 # Number of cache lines fetched
+system.cpu1.fetch.IcacheSquashes 446 # Number of outstanding Icache misses that were squashed
+system.cpu1.fetch.rateDist::samples 159305 # Number of instructions fetched each cycle (Total)
+system.cpu1.fetch.rateDist::mean 1.819623 # Number of instructions fetched each cycle (Total)
+system.cpu1.fetch.rateDist::stdev 2.179377 # Number of instructions fetched each cycle (Total)
system.cpu1.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
-system.cpu1.fetch.rateDist::0 60365 36.30% 36.30% # Number of instructions fetched each cycle (Total)
-system.cpu1.fetch.rateDist::1 53424 32.13% 68.43% # Number of instructions fetched each cycle (Total)
-system.cpu1.fetch.rateDist::2 6309 3.79% 72.23% # Number of instructions fetched each cycle (Total)
-system.cpu1.fetch.rateDist::3 3483 2.09% 74.32% # Number of instructions fetched each cycle (Total)
-system.cpu1.fetch.rateDist::4 1022 0.61% 74.93% # Number of instructions fetched each cycle (Total)
-system.cpu1.fetch.rateDist::5 35711 21.48% 96.41% # Number of instructions fetched each cycle (Total)
-system.cpu1.fetch.rateDist::6 1327 0.80% 97.21% # Number of instructions fetched each cycle (Total)
-system.cpu1.fetch.rateDist::7 762 0.46% 97.67% # Number of instructions fetched each cycle (Total)
-system.cpu1.fetch.rateDist::8 3879 2.33% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu1.fetch.rateDist::0 56744 35.62% 35.62% # Number of instructions fetched each cycle (Total)
+system.cpu1.fetch.rateDist::1 52063 32.68% 68.30% # Number of instructions fetched each cycle (Total)
+system.cpu1.fetch.rateDist::2 6924 4.35% 72.65% # Number of instructions fetched each cycle (Total)
+system.cpu1.fetch.rateDist::3 3540 2.22% 74.87% # Number of instructions fetched each cycle (Total)
+system.cpu1.fetch.rateDist::4 1103 0.69% 75.56% # Number of instructions fetched each cycle (Total)
+system.cpu1.fetch.rateDist::5 33079 20.76% 96.33% # Number of instructions fetched each cycle (Total)
+system.cpu1.fetch.rateDist::6 1261 0.79% 97.12% # Number of instructions fetched each cycle (Total)
+system.cpu1.fetch.rateDist::7 757 0.48% 97.59% # Number of instructions fetched each cycle (Total)
+system.cpu1.fetch.rateDist::8 3834 2.41% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu1.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu1.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
system.cpu1.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
-system.cpu1.fetch.rateDist::total 166282 # Number of instructions fetched each cycle (Total)
-system.cpu1.fetch.branchRate 0.324969 # Number of branch fetches per cycle
-system.cpu1.fetch.rate 1.806547 # Number of inst fetches per cycle
-system.cpu1.decode.IdleCycles 17455 # Number of cycles decode is idle
-system.cpu1.decode.BlockedCycles 52641 # Number of cycles decode is blocked
-system.cpu1.decode.RunCycles 84496 # Number of cycles decode is running
-system.cpu1.decode.UnblockCycles 3265 # Number of cycles decode is unblocking
-system.cpu1.decode.SquashCycles 1365 # Number of cycles decode is squashing
-system.cpu1.decode.DecodedInsts 289136 # Number of instructions handled by decode
-system.cpu1.rename.SquashCycles 1365 # Number of cycles rename is squashing
-system.cpu1.rename.IdleCycles 18178 # Number of cycles rename is idle
-system.cpu1.rename.BlockCycles 24205 # Number of cycles rename is blocking
-system.cpu1.rename.serializeStallCycles 12371 # count of cycles rename stalled for serializing inst
-system.cpu1.rename.RunCycles 85331 # Number of cycles rename is running
-system.cpu1.rename.UnblockCycles 17772 # Number of cycles rename is unblocking
-system.cpu1.rename.RenamedInsts 285586 # Number of instructions processed by rename
-system.cpu1.rename.IQFullEvents 15350 # Number of times rename has blocked due to IQ full
-system.cpu1.rename.LQFullEvents 25 # Number of times rename has blocked due to LQ full
+system.cpu1.fetch.rateDist::total 159305 # Number of instructions fetched each cycle (Total)
+system.cpu1.fetch.branchRate 0.326786 # Number of branch fetches per cycle
+system.cpu1.fetch.rate 1.800209 # Number of inst fetches per cycle
+system.cpu1.decode.IdleCycles 17668 # Number of cycles decode is idle
+system.cpu1.decode.BlockedCycles 57241 # Number of cycles decode is blocked
+system.cpu1.decode.RunCycles 79500 # Number of cycles decode is running
+system.cpu1.decode.UnblockCycles 3513 # Number of cycles decode is unblocking
+system.cpu1.decode.SquashCycles 1373 # Number of cycles decode is squashing
+system.cpu1.decode.DecodedInsts 275603 # Number of instructions handled by decode
+system.cpu1.rename.SquashCycles 1373 # Number of cycles rename is squashing
+system.cpu1.rename.IdleCycles 18384 # Number of cycles rename is idle
+system.cpu1.rename.BlockCycles 26779 # Number of cycles rename is blocking
+system.cpu1.rename.serializeStallCycles 12577 # count of cycles rename stalled for serializing inst
+system.cpu1.rename.RunCycles 80781 # Number of cycles rename is running
+system.cpu1.rename.UnblockCycles 19401 # Number of cycles rename is unblocking
+system.cpu1.rename.RenamedInsts 272270 # Number of instructions processed by rename
+system.cpu1.rename.IQFullEvents 17163 # Number of times rename has blocked due to IQ full
+system.cpu1.rename.LQFullEvents 27 # Number of times rename has blocked due to LQ full
system.cpu1.rename.FullRegisterEvents 3 # Number of times there has been no free registers
-system.cpu1.rename.RenamedOperands 200979 # Number of destination operands rename has renamed
-system.cpu1.rename.RenameLookups 548958 # Number of register rename lookups that rename has made
-system.cpu1.rename.int_rename_lookups 426905 # Number of integer rename lookups
-system.cpu1.rename.CommittedMaps 186309 # Number of HB maps that are committed
-system.cpu1.rename.UndoneMaps 14670 # Number of HB maps that are undone due to squashing
-system.cpu1.rename.serializingInsts 1186 # count of serializing insts renamed
-system.cpu1.rename.tempSerializingInsts 1247 # count of temporary serializing insts renamed
-system.cpu1.rename.skidInsts 22653 # count of insts added to the skid buffer
-system.cpu1.memDep0.insertedLoads 80668 # Number of loads inserted to the mem dependence unit.
-system.cpu1.memDep0.insertedStores 38514 # Number of stores inserted to the mem dependence unit.
-system.cpu1.memDep0.conflictingLoads 38418 # Number of conflicting loads.
-system.cpu1.memDep0.conflictingStores 33330 # Number of conflicting stores.
-system.cpu1.iq.iqInstsAdded 237514 # Number of instructions added to the IQ (excludes non-spec)
-system.cpu1.iq.iqNonSpecInstsAdded 6089 # Number of non-speculative instructions added to the IQ
-system.cpu1.iq.iqInstsIssued 238789 # Number of instructions issued
-system.cpu1.iq.iqSquashedInstsIssued 33 # Number of squashed instructions issued
-system.cpu1.iq.iqSquashedInstsExamined 12748 # Number of squashed instructions iterated over during squash; mainly for profiling
-system.cpu1.iq.iqSquashedOperandsExamined 11558 # Number of squashed operands that are examined and possibly removed from graph
-system.cpu1.iq.iqSquashedNonSpecRemoved 612 # Number of squashed non-spec instructions that were removed
-system.cpu1.iq.issued_per_cycle::samples 166282 # Number of insts issued each cycle
-system.cpu1.iq.issued_per_cycle::mean 1.436048 # Number of insts issued each cycle
-system.cpu1.iq.issued_per_cycle::stdev 1.378738 # Number of insts issued each cycle
+system.cpu1.rename.RenamedOperands 191050 # Number of destination operands rename has renamed
+system.cpu1.rename.RenameLookups 520032 # Number of register rename lookups that rename has made
+system.cpu1.rename.int_rename_lookups 405162 # Number of integer rename lookups
+system.cpu1.rename.CommittedMaps 176680 # Number of HB maps that are committed
+system.cpu1.rename.UndoneMaps 14370 # Number of HB maps that are undone due to squashing
+system.cpu1.rename.serializingInsts 1196 # count of serializing insts renamed
+system.cpu1.rename.tempSerializingInsts 1257 # count of temporary serializing insts renamed
+system.cpu1.rename.skidInsts 24088 # count of insts added to the skid buffer
+system.cpu1.memDep0.insertedLoads 76067 # Number of loads inserted to the mem dependence unit.
+system.cpu1.memDep0.insertedStores 35939 # Number of stores inserted to the mem dependence unit.
+system.cpu1.memDep0.conflictingLoads 36374 # Number of conflicting loads.
+system.cpu1.memDep0.conflictingStores 30769 # Number of conflicting stores.
+system.cpu1.iq.iqInstsAdded 225624 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu1.iq.iqNonSpecInstsAdded 6666 # Number of non-speculative instructions added to the IQ
+system.cpu1.iq.iqInstsIssued 227547 # Number of instructions issued
+system.cpu1.iq.iqSquashedInstsIssued 18 # Number of squashed instructions issued
+system.cpu1.iq.iqSquashedInstsExamined 12526 # Number of squashed instructions iterated over during squash; mainly for profiling
+system.cpu1.iq.iqSquashedOperandsExamined 11238 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu1.iq.iqSquashedNonSpecRemoved 649 # Number of squashed non-spec instructions that were removed
+system.cpu1.iq.issued_per_cycle::samples 159305 # Number of insts issued each cycle
+system.cpu1.iq.issued_per_cycle::mean 1.428373 # Number of insts issued each cycle
+system.cpu1.iq.issued_per_cycle::stdev 1.374842 # Number of insts issued each cycle
system.cpu1.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
-system.cpu1.iq.issued_per_cycle::0 63923 38.44% 38.44% # Number of insts issued each cycle
-system.cpu1.iq.issued_per_cycle::1 20825 12.52% 50.97% # Number of insts issued each cycle
-system.cpu1.iq.issued_per_cycle::2 37813 22.74% 73.71% # Number of insts issued each cycle
-system.cpu1.iq.issued_per_cycle::3 37389 22.49% 96.19% # Number of insts issued each cycle
-system.cpu1.iq.issued_per_cycle::4 3420 2.06% 98.25% # Number of insts issued each cycle
-system.cpu1.iq.issued_per_cycle::5 1614 0.97% 99.22% # Number of insts issued each cycle
-system.cpu1.iq.issued_per_cycle::6 862 0.52% 99.74% # Number of insts issued each cycle
-system.cpu1.iq.issued_per_cycle::7 239 0.14% 99.88% # Number of insts issued each cycle
+system.cpu1.iq.issued_per_cycle::0 60375 37.90% 37.90% # Number of insts issued each cycle
+system.cpu1.iq.issued_per_cycle::1 22487 14.12% 52.01% # Number of insts issued each cycle
+system.cpu1.iq.issued_per_cycle::2 35297 22.16% 74.17% # Number of insts issued each cycle
+system.cpu1.iq.issued_per_cycle::3 34879 21.89% 96.07% # Number of insts issued each cycle
+system.cpu1.iq.issued_per_cycle::4 3406 2.14% 98.20% # Number of insts issued each cycle
+system.cpu1.iq.issued_per_cycle::5 1579 0.99% 99.20% # Number of insts issued each cycle
+system.cpu1.iq.issued_per_cycle::6 861 0.54% 99.74% # Number of insts issued each cycle
+system.cpu1.iq.issued_per_cycle::7 224 0.14% 99.88% # Number of insts issued each cycle
system.cpu1.iq.issued_per_cycle::8 197 0.12% 100.00% # Number of insts issued each cycle
system.cpu1.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
system.cpu1.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
system.cpu1.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
-system.cpu1.iq.issued_per_cycle::total 166282 # Number of insts issued each cycle
+system.cpu1.iq.issued_per_cycle::total 159305 # Number of insts issued each cycle
system.cpu1.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
-system.cpu1.iq.fu_full::IntAlu 89 25.65% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::IntMult 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::IntDiv 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::FloatAdd 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::FloatCmp 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::FloatCvt 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::FloatMult 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::FloatDiv 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::FloatSqrt 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdAdd 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdAddAcc 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdAlu 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdCmp 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdCvt 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdMisc 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdMult 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdMultAcc 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdShift 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdShiftAcc 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdSqrt 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdFloatAdd 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdFloatAlu 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdFloatCmp 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdFloatCvt 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdFloatDiv 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdFloatMisc 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdFloatMult 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdFloatMultAcc 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::SimdFloatSqrt 0 0.00% 25.65% # attempts to use FU when none available
-system.cpu1.iq.fu_full::MemRead 49 14.12% 39.77% # attempts to use FU when none available
-system.cpu1.iq.fu_full::MemWrite 209 60.23% 100.00% # attempts to use FU when none available
+system.cpu1.iq.fu_full::IntAlu 89 26.49% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::IntMult 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::IntDiv 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::FloatAdd 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::FloatCmp 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::FloatCvt 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::FloatMult 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::FloatDiv 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::FloatSqrt 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdAdd 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdAddAcc 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdAlu 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdCmp 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdCvt 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdMisc 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdMult 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdMultAcc 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdShift 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdShiftAcc 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdSqrt 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdFloatAdd 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdFloatAlu 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdFloatCmp 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdFloatCvt 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdFloatDiv 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdFloatMisc 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdFloatMult 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdFloatMultAcc 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::SimdFloatSqrt 0 0.00% 26.49% # attempts to use FU when none available
+system.cpu1.iq.fu_full::MemRead 38 11.31% 37.80% # attempts to use FU when none available
+system.cpu1.iq.fu_full::MemWrite 209 62.20% 100.00% # attempts to use FU when none available
system.cpu1.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
system.cpu1.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
system.cpu1.iq.FU_type_0::No_OpClass 0 0.00% 0.00% # Type of FU issued
-system.cpu1.iq.FU_type_0::IntAlu 116312 48.71% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::IntMult 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::IntDiv 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::FloatAdd 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::FloatCmp 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::FloatCvt 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::FloatMult 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::FloatDiv 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::FloatSqrt 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdAdd 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdAddAcc 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdAlu 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdCmp 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdCvt 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdMisc 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdMult 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdMultAcc 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdShift 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdShiftAcc 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdSqrt 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdFloatAdd 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdFloatAlu 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdFloatCmp 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdFloatCvt 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdFloatDiv 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdFloatMisc 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdFloatMult 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::SimdFloatSqrt 0 0.00% 48.71% # Type of FU issued
-system.cpu1.iq.FU_type_0::MemRead 84679 35.46% 84.17% # Type of FU issued
-system.cpu1.iq.FU_type_0::MemWrite 37798 15.83% 100.00% # Type of FU issued
+system.cpu1.iq.FU_type_0::IntAlu 111688 49.08% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::IntMult 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::IntDiv 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::FloatAdd 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::FloatCmp 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::FloatCvt 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::FloatMult 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::FloatDiv 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::FloatSqrt 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdAdd 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdAddAcc 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdAlu 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdCmp 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdCvt 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdMisc 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdMult 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdMultAcc 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdShift 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdShiftAcc 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdSqrt 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdFloatAdd 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdFloatAlu 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdFloatCmp 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdFloatCvt 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdFloatDiv 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdFloatMisc 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdFloatMult 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::SimdFloatSqrt 0 0.00% 49.08% # Type of FU issued
+system.cpu1.iq.FU_type_0::MemRead 80614 35.43% 84.51% # Type of FU issued
+system.cpu1.iq.FU_type_0::MemWrite 35245 15.49% 100.00% # Type of FU issued
system.cpu1.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
system.cpu1.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
-system.cpu1.iq.FU_type_0::total 238789 # Type of FU issued
-system.cpu1.iq.rate 1.421541 # Inst issue rate
-system.cpu1.iq.fu_busy_cnt 347 # FU busy when requested
-system.cpu1.iq.fu_busy_rate 0.001453 # FU busy rate (busy events/executed inst)
-system.cpu1.iq.int_inst_queue_reads 644240 # Number of integer instruction queue reads
-system.cpu1.iq.int_inst_queue_writes 256392 # Number of integer instruction queue writes
-system.cpu1.iq.int_inst_queue_wakeup_accesses 237045 # Number of integer instruction queue wakeup accesses
+system.cpu1.iq.FU_type_0::total 227547 # Type of FU issued
+system.cpu1.iq.rate 1.413134 # Inst issue rate
+system.cpu1.iq.fu_busy_cnt 336 # FU busy when requested
+system.cpu1.iq.fu_busy_rate 0.001477 # FU busy rate (busy events/executed inst)
+system.cpu1.iq.int_inst_queue_reads 614753 # Number of integer instruction queue reads
+system.cpu1.iq.int_inst_queue_writes 244854 # Number of integer instruction queue writes
+system.cpu1.iq.int_inst_queue_wakeup_accesses 225845 # Number of integer instruction queue wakeup accesses
system.cpu1.iq.fp_inst_queue_reads 0 # Number of floating instruction queue reads
system.cpu1.iq.fp_inst_queue_writes 0 # Number of floating instruction queue writes
system.cpu1.iq.fp_inst_queue_wakeup_accesses 0 # Number of floating instruction queue wakeup accesses
-system.cpu1.iq.int_alu_accesses 239136 # Number of integer alu accesses
+system.cpu1.iq.int_alu_accesses 227883 # Number of integer alu accesses
system.cpu1.iq.fp_alu_accesses 0 # Number of floating point alu accesses
-system.cpu1.iew.lsq.thread0.forwLoads 33095 # Number of loads that had data forwarded from stores
+system.cpu1.iew.lsq.thread0.forwLoads 30551 # Number of loads that had data forwarded from stores
system.cpu1.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
-system.cpu1.iew.lsq.thread0.squashedLoads 2693 # Number of loads squashed
+system.cpu1.iew.lsq.thread0.squashedLoads 2638 # Number of loads squashed
system.cpu1.iew.lsq.thread0.ignoredResponses 3 # Number of memory responses ignored because the instruction is squashed
-system.cpu1.iew.lsq.thread0.memOrderViolation 41 # Number of memory ordering violations
-system.cpu1.iew.lsq.thread0.squashedStores 1647 # Number of stores squashed
+system.cpu1.iew.lsq.thread0.memOrderViolation 38 # Number of memory ordering violations
+system.cpu1.iew.lsq.thread0.squashedStores 1613 # Number of stores squashed
system.cpu1.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
system.cpu1.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
system.cpu1.iew.lsq.thread0.rescheduledLoads 0 # Number of loads that were rescheduled
system.cpu1.iew.lsq.thread0.cacheBlocked 0 # Number of times an access to memory failed due to the cache being blocked
system.cpu1.iew.iewIdleCycles 0 # Number of cycles IEW is idle
-system.cpu1.iew.iewSquashCycles 1365 # Number of cycles IEW is squashing
-system.cpu1.iew.iewBlockCycles 6579 # Number of cycles IEW is blocking
-system.cpu1.iew.iewUnblockCycles 55 # Number of cycles IEW is unblocking
-system.cpu1.iew.iewDispatchedInsts 282823 # Number of instructions dispatched to IQ
-system.cpu1.iew.iewDispSquashedInsts 167 # Number of squashed instructions skipped by dispatch
-system.cpu1.iew.iewDispLoadInsts 80668 # Number of dispatched load instructions
-system.cpu1.iew.iewDispStoreInsts 38514 # Number of dispatched store instructions
-system.cpu1.iew.iewDispNonSpecInsts 1105 # Number of dispatched non-speculative instructions
-system.cpu1.iew.iewIQFullEvents 37 # Number of times the IQ has become full, causing a stall
+system.cpu1.iew.iewSquashCycles 1373 # Number of cycles IEW is squashing
+system.cpu1.iew.iewBlockCycles 7085 # Number of cycles IEW is blocking
+system.cpu1.iew.iewUnblockCycles 49 # Number of cycles IEW is unblocking
+system.cpu1.iew.iewDispatchedInsts 269526 # Number of instructions dispatched to IQ
+system.cpu1.iew.iewDispSquashedInsts 186 # Number of squashed instructions skipped by dispatch
+system.cpu1.iew.iewDispLoadInsts 76067 # Number of dispatched load instructions
+system.cpu1.iew.iewDispStoreInsts 35939 # Number of dispatched store instructions
+system.cpu1.iew.iewDispNonSpecInsts 1117 # Number of dispatched non-speculative instructions
+system.cpu1.iew.iewIQFullEvents 28 # Number of times the IQ has become full, causing a stall
system.cpu1.iew.iewLSQFullEvents 0 # Number of times the LSQ has become full, causing a stall
-system.cpu1.iew.memOrderViolationEvents 41 # Number of memory order violations
-system.cpu1.iew.predictedTakenIncorrect 470 # Number of branches that were predicted taken incorrectly
+system.cpu1.iew.memOrderViolationEvents 38 # Number of memory order violations
+system.cpu1.iew.predictedTakenIncorrect 476 # Number of branches that were predicted taken incorrectly
system.cpu1.iew.predictedNotTakenIncorrect 1037 # Number of branches that were predicted not taken incorrectly
-system.cpu1.iew.branchMispredicts 1507 # Number of branch mispredicts detected at execute
-system.cpu1.iew.iewExecutedInsts 237631 # Number of executed instructions
-system.cpu1.iew.iewExecLoadInsts 79596 # Number of load instructions executed
-system.cpu1.iew.iewExecSquashedInsts 1158 # Number of squashed instructions skipped in execute
+system.cpu1.iew.branchMispredicts 1513 # Number of branch mispredicts detected at execute
+system.cpu1.iew.iewExecutedInsts 226408 # Number of executed instructions
+system.cpu1.iew.iewExecLoadInsts 75003 # Number of load instructions executed
+system.cpu1.iew.iewExecSquashedInsts 1139 # Number of squashed instructions skipped in execute
system.cpu1.iew.exec_swp 0 # number of swp insts executed
-system.cpu1.iew.exec_nop 39220 # number of nop insts executed
-system.cpu1.iew.exec_refs 117284 # number of memory reference insts executed
-system.cpu1.iew.exec_branches 48640 # Number of branches executed
-system.cpu1.iew.exec_stores 37688 # Number of stores executed
-system.cpu1.iew.exec_rate 1.414647 # Inst execution rate
-system.cpu1.iew.wb_sent 237349 # cumulative count of insts sent to commit
-system.cpu1.iew.wb_count 237045 # cumulative count of insts written-back
-system.cpu1.iew.wb_producers 134973 # num instructions producing a value
-system.cpu1.iew.wb_consumers 141559 # num instructions consuming a value
+system.cpu1.iew.exec_nop 37236 # number of nop insts executed
+system.cpu1.iew.exec_refs 110148 # number of memory reference insts executed
+system.cpu1.iew.exec_branches 46633 # Number of branches executed
+system.cpu1.iew.exec_stores 35145 # Number of stores executed
+system.cpu1.iew.exec_rate 1.406060 # Inst execution rate
+system.cpu1.iew.wb_sent 226126 # cumulative count of insts sent to commit
+system.cpu1.iew.wb_count 225845 # cumulative count of insts written-back
+system.cpu1.iew.wb_producers 127804 # num instructions producing a value
+system.cpu1.iew.wb_consumers 134338 # num instructions consuming a value
system.cpu1.iew.wb_penalized 0 # number of instrctions required to write to 'other' IQ
-system.cpu1.iew.wb_rate 1.411159 # insts written-back per cycle
-system.cpu1.iew.wb_fanout 0.953475 # average fanout of values written-back
+system.cpu1.iew.wb_rate 1.402564 # insts written-back per cycle
+system.cpu1.iew.wb_fanout 0.951361 # average fanout of values written-back
system.cpu1.iew.wb_penalized_rate 0 # fraction of instructions written-back that wrote to 'other' IQ
-system.cpu1.commit.commitSquashedInsts 14310 # The number of squashed insts skipped by commit
-system.cpu1.commit.commitNonSpecStalls 5477 # The number of times commit has been forced to stall to communicate backwards
-system.cpu1.commit.branchMispredicts 1286 # The number of times a branch was mispredicted
-system.cpu1.commit.committed_per_cycle::samples 156616 # Number of insts commited each cycle
-system.cpu1.commit.committed_per_cycle::mean 1.714129 # Number of insts commited each cycle
-system.cpu1.commit.committed_per_cycle::stdev 2.075319 # Number of insts commited each cycle
+system.cpu1.commit.commitSquashedInsts 14108 # The number of squashed insts skipped by commit
+system.cpu1.commit.commitNonSpecStalls 6017 # The number of times commit has been forced to stall to communicate backwards
+system.cpu1.commit.branchMispredicts 1295 # The number of times a branch was mispredicted
+system.cpu1.commit.committed_per_cycle::samples 156709 # Number of insts commited each cycle
+system.cpu1.commit.committed_per_cycle::mean 1.629549 # Number of insts commited each cycle
+system.cpu1.commit.committed_per_cycle::stdev 2.048246 # Number of insts commited each cycle
system.cpu1.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
-system.cpu1.commit.committed_per_cycle::0 61979 39.57% 39.57% # Number of insts commited each cycle
-system.cpu1.commit.committed_per_cycle::1 45369 28.97% 68.54% # Number of insts commited each cycle
-system.cpu1.commit.committed_per_cycle::2 5243 3.35% 71.89% # Number of insts commited each cycle
-system.cpu1.commit.committed_per_cycle::3 6285 4.01% 75.90% # Number of insts commited each cycle
-system.cpu1.commit.committed_per_cycle::4 1549 0.99% 76.89% # Number of insts commited each cycle
-system.cpu1.commit.committed_per_cycle::5 33128 21.15% 98.04% # Number of insts commited each cycle
-system.cpu1.commit.committed_per_cycle::6 818 0.52% 98.57% # Number of insts commited each cycle
-system.cpu1.commit.committed_per_cycle::7 954 0.61% 99.18% # Number of insts commited each cycle
-system.cpu1.commit.committed_per_cycle::8 1291 0.82% 100.00% # Number of insts commited each cycle
+system.cpu1.commit.committed_per_cycle::0 66028 42.13% 42.13% # Number of insts commited each cycle
+system.cpu1.commit.committed_per_cycle::1 43411 27.70% 69.84% # Number of insts commited each cycle
+system.cpu1.commit.committed_per_cycle::2 5268 3.36% 73.20% # Number of insts commited each cycle
+system.cpu1.commit.committed_per_cycle::3 6809 4.34% 77.54% # Number of insts commited each cycle
+system.cpu1.commit.committed_per_cycle::4 1543 0.98% 78.53% # Number of insts commited each cycle
+system.cpu1.commit.committed_per_cycle::5 30606 19.53% 98.06% # Number of insts commited each cycle
+system.cpu1.commit.committed_per_cycle::6 798 0.51% 98.57% # Number of insts commited each cycle
+system.cpu1.commit.committed_per_cycle::7 967 0.62% 99.18% # Number of insts commited each cycle
+system.cpu1.commit.committed_per_cycle::8 1279 0.82% 100.00% # Number of insts commited each cycle
system.cpu1.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
system.cpu1.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
system.cpu1.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
-system.cpu1.commit.committed_per_cycle::total 156616 # Number of insts commited each cycle
-system.cpu1.commit.committedInsts 268460 # Number of instructions committed
-system.cpu1.commit.committedOps 268460 # Number of ops (including micro ops) committed
+system.cpu1.commit.committed_per_cycle::total 156709 # Number of insts commited each cycle
+system.cpu1.commit.committedInsts 255365 # Number of instructions committed
+system.cpu1.commit.committedOps 255365 # Number of ops (including micro ops) committed
system.cpu1.commit.swp_count 0 # Number of s/w prefetches committed
-system.cpu1.commit.refs 114842 # Number of memory references committed
-system.cpu1.commit.loads 77975 # Number of loads committed
-system.cpu1.commit.membars 4761 # Number of memory barriers committed
-system.cpu1.commit.branches 47591 # Number of branches committed
+system.cpu1.commit.refs 107755 # Number of memory references committed
+system.cpu1.commit.loads 73429 # Number of loads committed
+system.cpu1.commit.membars 5300 # Number of memory barriers committed
+system.cpu1.commit.branches 45589 # Number of branches committed
system.cpu1.commit.fp_insts 0 # Number of committed floating point instructions.
-system.cpu1.commit.int_insts 184553 # Number of committed integer instructions.
+system.cpu1.commit.int_insts 175463 # Number of committed integer instructions.
system.cpu1.commit.function_calls 322 # Number of function calls committed.
-system.cpu1.commit.op_class_0::No_OpClass 38379 14.30% 14.30% # Class of committed instruction
-system.cpu1.commit.op_class_0::IntAlu 110478 41.15% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::IntMult 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::IntDiv 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::FloatAdd 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::FloatCmp 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::FloatCvt 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::FloatMult 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::FloatDiv 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::FloatSqrt 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdAdd 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdAddAcc 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdAlu 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdCmp 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdCvt 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdMisc 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdMult 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdMultAcc 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdShift 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdShiftAcc 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdSqrt 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdFloatAdd 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdFloatAlu 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdFloatCmp 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdFloatCvt 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdFloatDiv 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdFloatMisc 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdFloatMult 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdFloatMultAcc 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::SimdFloatSqrt 0 0.00% 55.45% # Class of committed instruction
-system.cpu1.commit.op_class_0::MemRead 82736 30.82% 86.27% # Class of committed instruction
-system.cpu1.commit.op_class_0::MemWrite 36867 13.73% 100.00% # Class of committed instruction
+system.cpu1.commit.op_class_0::No_OpClass 36376 14.24% 14.24% # Class of committed instruction
+system.cpu1.commit.op_class_0::IntAlu 105934 41.48% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::IntMult 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::IntDiv 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::FloatAdd 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::FloatCmp 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::FloatCvt 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::FloatMult 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::FloatDiv 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::FloatSqrt 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdAdd 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdAddAcc 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdAlu 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdCmp 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdCvt 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdMisc 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdMult 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdMultAcc 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdShift 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdShiftAcc 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdSqrt 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdFloatAdd 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdFloatAlu 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdFloatCmp 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdFloatCvt 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdFloatDiv 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdFloatMisc 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdFloatMult 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdFloatMultAcc 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::SimdFloatSqrt 0 0.00% 55.73% # Class of committed instruction
+system.cpu1.commit.op_class_0::MemRead 78729 30.83% 86.56% # Class of committed instruction
+system.cpu1.commit.op_class_0::MemWrite 34326 13.44% 100.00% # Class of committed instruction
system.cpu1.commit.op_class_0::IprAccess 0 0.00% 100.00% # Class of committed instruction
system.cpu1.commit.op_class_0::InstPrefetch 0 0.00% 100.00% # Class of committed instruction
-system.cpu1.commit.op_class_0::total 268460 # Class of committed instruction
-system.cpu1.commit.bw_lim_events 1291 # number cycles where commit BW limit reached
+system.cpu1.commit.op_class_0::total 255365 # Class of committed instruction
+system.cpu1.commit.bw_lim_events 1279 # number cycles where commit BW limit reached
system.cpu1.commit.bw_limited 0 # number of insts not committed due to BW limits
-system.cpu1.rob.rob_reads 437508 # The number of ROB reads
-system.cpu1.rob.rob_writes 568153 # The number of ROB writes
-system.cpu1.timesIdled 207 # Number of times that the entire CPU went into an idle state and unscheduled itself
-system.cpu1.idleCycles 1697 # Total number of cycles that the CPU has spent unscheduled due to idling
-system.cpu1.quiesceCycles 43298 # Total number of cycles that CPU has spent quiesced or waiting for an interrupt
-system.cpu1.committedInsts 225320 # Number of Instructions Simulated
-system.cpu1.committedOps 225320 # Number of Ops (including micro ops) Simulated
-system.cpu1.cpi 0.745513 # CPI: Cycles Per Instruction
-system.cpu1.cpi_total 0.745513 # CPI: Total CPI of All Threads
-system.cpu1.ipc 1.341358 # IPC: Instructions Per Cycle
-system.cpu1.ipc_total 1.341358 # IPC: Total IPC of All Threads
-system.cpu1.int_regfile_reads 411671 # number of integer regfile reads
-system.cpu1.int_regfile_writes 192443 # number of integer regfile writes
+system.cpu1.rob.rob_reads 424317 # The number of ROB reads
+system.cpu1.rob.rob_writes 541540 # The number of ROB writes
+system.cpu1.timesIdled 208 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu1.idleCycles 1718 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu1.quiesceCycles 43314 # Total number of cycles that CPU has spent quiesced or waiting for an interrupt
+system.cpu1.committedInsts 213689 # Number of Instructions Simulated
+system.cpu1.committedOps 213689 # Number of Ops (including micro ops) Simulated
+system.cpu1.cpi 0.753539 # CPI: Cycles Per Instruction
+system.cpu1.cpi_total 0.753539 # CPI: Total CPI of All Threads
+system.cpu1.ipc 1.327071 # IPC: Instructions Per Cycle
+system.cpu1.ipc_total 1.327071 # IPC: Total IPC of All Threads
+system.cpu1.int_regfile_reads 390200 # number of integer regfile reads
+system.cpu1.int_regfile_writes 182656 # number of integer regfile writes
system.cpu1.fp_regfile_writes 64 # number of floating regfile writes
-system.cpu1.misc_regfile_reads 118908 # number of misc regfile reads
+system.cpu1.misc_regfile_reads 111763 # number of misc regfile reads
system.cpu1.misc_regfile_writes 648 # number of misc regfile writes
system.cpu1.icache.tags.replacements 388 # number of replacements
-system.cpu1.icache.tags.tagsinuse 78.688259 # Cycle average of tags in use
-system.cpu1.icache.tags.total_refs 20497 # Total number of references to valid blocks.
+system.cpu1.icache.tags.tagsinuse 78.707719 # Cycle average of tags in use
+system.cpu1.icache.tags.total_refs 21821 # Total number of references to valid blocks.
system.cpu1.icache.tags.sampled_refs 497 # Sample count of references to valid blocks.
-system.cpu1.icache.tags.avg_refs 41.241449 # Average number of references to valid blocks.
+system.cpu1.icache.tags.avg_refs 43.905433 # Average number of references to valid blocks.
system.cpu1.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu1.icache.tags.occ_blocks::cpu1.inst 78.688259 # Average occupied blocks per requestor
-system.cpu1.icache.tags.occ_percent::cpu1.inst 0.153688 # Average percentage of cache occupancy
-system.cpu1.icache.tags.occ_percent::total 0.153688 # Average percentage of cache occupancy
+system.cpu1.icache.tags.occ_blocks::cpu1.inst 78.707719 # Average occupied blocks per requestor
+system.cpu1.icache.tags.occ_percent::cpu1.inst 0.153726 # Average percentage of cache occupancy
+system.cpu1.icache.tags.occ_percent::total 0.153726 # Average percentage of cache occupancy
system.cpu1.icache.tags.occ_task_id_blocks::1024 109 # Occupied blocks per task id
system.cpu1.icache.tags.age_task_id_blocks_1024::0 11 # Occupied blocks per task id
system.cpu1.icache.tags.age_task_id_blocks_1024::1 98 # Occupied blocks per task id
system.cpu1.icache.tags.occ_task_id_percent::1024 0.212891 # Percentage of cache occupancy per task id
-system.cpu1.icache.tags.tag_accesses 21559 # Number of tag accesses
-system.cpu1.icache.tags.data_accesses 21559 # Number of data accesses
-system.cpu1.icache.ReadReq_hits::cpu1.inst 20497 # number of ReadReq hits
-system.cpu1.icache.ReadReq_hits::total 20497 # number of ReadReq hits
-system.cpu1.icache.demand_hits::cpu1.inst 20497 # number of demand (read+write) hits
-system.cpu1.icache.demand_hits::total 20497 # number of demand (read+write) hits
-system.cpu1.icache.overall_hits::cpu1.inst 20497 # number of overall hits
-system.cpu1.icache.overall_hits::total 20497 # number of overall hits
-system.cpu1.icache.ReadReq_misses::cpu1.inst 565 # number of ReadReq misses
-system.cpu1.icache.ReadReq_misses::total 565 # number of ReadReq misses
-system.cpu1.icache.demand_misses::cpu1.inst 565 # number of demand (read+write) misses
-system.cpu1.icache.demand_misses::total 565 # number of demand (read+write) misses
-system.cpu1.icache.overall_misses::cpu1.inst 565 # number of overall misses
-system.cpu1.icache.overall_misses::total 565 # number of overall misses
-system.cpu1.icache.ReadReq_miss_latency::cpu1.inst 8463744 # number of ReadReq miss cycles
-system.cpu1.icache.ReadReq_miss_latency::total 8463744 # number of ReadReq miss cycles
-system.cpu1.icache.demand_miss_latency::cpu1.inst 8463744 # number of demand (read+write) miss cycles
-system.cpu1.icache.demand_miss_latency::total 8463744 # number of demand (read+write) miss cycles
-system.cpu1.icache.overall_miss_latency::cpu1.inst 8463744 # number of overall miss cycles
-system.cpu1.icache.overall_miss_latency::total 8463744 # number of overall miss cycles
-system.cpu1.icache.ReadReq_accesses::cpu1.inst 21062 # number of ReadReq accesses(hits+misses)
-system.cpu1.icache.ReadReq_accesses::total 21062 # number of ReadReq accesses(hits+misses)
-system.cpu1.icache.demand_accesses::cpu1.inst 21062 # number of demand (read+write) accesses
-system.cpu1.icache.demand_accesses::total 21062 # number of demand (read+write) accesses
-system.cpu1.icache.overall_accesses::cpu1.inst 21062 # number of overall (read+write) accesses
-system.cpu1.icache.overall_accesses::total 21062 # number of overall (read+write) accesses
-system.cpu1.icache.ReadReq_miss_rate::cpu1.inst 0.026826 # miss rate for ReadReq accesses
-system.cpu1.icache.ReadReq_miss_rate::total 0.026826 # miss rate for ReadReq accesses
-system.cpu1.icache.demand_miss_rate::cpu1.inst 0.026826 # miss rate for demand accesses
-system.cpu1.icache.demand_miss_rate::total 0.026826 # miss rate for demand accesses
-system.cpu1.icache.overall_miss_rate::cpu1.inst 0.026826 # miss rate for overall accesses
-system.cpu1.icache.overall_miss_rate::total 0.026826 # miss rate for overall accesses
-system.cpu1.icache.ReadReq_avg_miss_latency::cpu1.inst 14980.077876 # average ReadReq miss latency
-system.cpu1.icache.ReadReq_avg_miss_latency::total 14980.077876 # average ReadReq miss latency
-system.cpu1.icache.demand_avg_miss_latency::cpu1.inst 14980.077876 # average overall miss latency
-system.cpu1.icache.demand_avg_miss_latency::total 14980.077876 # average overall miss latency
-system.cpu1.icache.overall_avg_miss_latency::cpu1.inst 14980.077876 # average overall miss latency
-system.cpu1.icache.overall_avg_miss_latency::total 14980.077876 # average overall miss latency
+system.cpu1.icache.tags.tag_accesses 22877 # Number of tag accesses
+system.cpu1.icache.tags.data_accesses 22877 # Number of data accesses
+system.cpu1.icache.ReadReq_hits::cpu1.inst 21821 # number of ReadReq hits
+system.cpu1.icache.ReadReq_hits::total 21821 # number of ReadReq hits
+system.cpu1.icache.demand_hits::cpu1.inst 21821 # number of demand (read+write) hits
+system.cpu1.icache.demand_hits::total 21821 # number of demand (read+write) hits
+system.cpu1.icache.overall_hits::cpu1.inst 21821 # number of overall hits
+system.cpu1.icache.overall_hits::total 21821 # number of overall hits
+system.cpu1.icache.ReadReq_misses::cpu1.inst 559 # number of ReadReq misses
+system.cpu1.icache.ReadReq_misses::total 559 # number of ReadReq misses
+system.cpu1.icache.demand_misses::cpu1.inst 559 # number of demand (read+write) misses
+system.cpu1.icache.demand_misses::total 559 # number of demand (read+write) misses
+system.cpu1.icache.overall_misses::cpu1.inst 559 # number of overall misses
+system.cpu1.icache.overall_misses::total 559 # number of overall misses
+system.cpu1.icache.ReadReq_miss_latency::cpu1.inst 8425746 # number of ReadReq miss cycles
+system.cpu1.icache.ReadReq_miss_latency::total 8425746 # number of ReadReq miss cycles
+system.cpu1.icache.demand_miss_latency::cpu1.inst 8425746 # number of demand (read+write) miss cycles
+system.cpu1.icache.demand_miss_latency::total 8425746 # number of demand (read+write) miss cycles
+system.cpu1.icache.overall_miss_latency::cpu1.inst 8425746 # number of overall miss cycles
+system.cpu1.icache.overall_miss_latency::total 8425746 # number of overall miss cycles
+system.cpu1.icache.ReadReq_accesses::cpu1.inst 22380 # number of ReadReq accesses(hits+misses)
+system.cpu1.icache.ReadReq_accesses::total 22380 # number of ReadReq accesses(hits+misses)
+system.cpu1.icache.demand_accesses::cpu1.inst 22380 # number of demand (read+write) accesses
+system.cpu1.icache.demand_accesses::total 22380 # number of demand (read+write) accesses
+system.cpu1.icache.overall_accesses::cpu1.inst 22380 # number of overall (read+write) accesses
+system.cpu1.icache.overall_accesses::total 22380 # number of overall (read+write) accesses
+system.cpu1.icache.ReadReq_miss_rate::cpu1.inst 0.024978 # miss rate for ReadReq accesses
+system.cpu1.icache.ReadReq_miss_rate::total 0.024978 # miss rate for ReadReq accesses
+system.cpu1.icache.demand_miss_rate::cpu1.inst 0.024978 # miss rate for demand accesses
+system.cpu1.icache.demand_miss_rate::total 0.024978 # miss rate for demand accesses
+system.cpu1.icache.overall_miss_rate::cpu1.inst 0.024978 # miss rate for overall accesses
+system.cpu1.icache.overall_miss_rate::total 0.024978 # miss rate for overall accesses
+system.cpu1.icache.ReadReq_avg_miss_latency::cpu1.inst 15072.890877 # average ReadReq miss latency
+system.cpu1.icache.ReadReq_avg_miss_latency::total 15072.890877 # average ReadReq miss latency
+system.cpu1.icache.demand_avg_miss_latency::cpu1.inst 15072.890877 # average overall miss latency
+system.cpu1.icache.demand_avg_miss_latency::total 15072.890877 # average overall miss latency
+system.cpu1.icache.overall_avg_miss_latency::cpu1.inst 15072.890877 # average overall miss latency
+system.cpu1.icache.overall_avg_miss_latency::total 15072.890877 # average overall miss latency
system.cpu1.icache.blocked_cycles::no_mshrs 2 # number of cycles access was blocked
system.cpu1.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu1.icache.blocked::no_mshrs 1 # number of cycles access was blocked
@@ -1615,112 +1638,111 @@ system.cpu1.icache.avg_blocked_cycles::no_mshrs 2
system.cpu1.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu1.icache.fast_writes 0 # number of fast writes performed
system.cpu1.icache.cache_copies 0 # number of cache copies performed
-system.cpu1.icache.ReadReq_mshr_hits::cpu1.inst 68 # number of ReadReq MSHR hits
-system.cpu1.icache.ReadReq_mshr_hits::total 68 # number of ReadReq MSHR hits
-system.cpu1.icache.demand_mshr_hits::cpu1.inst 68 # number of demand (read+write) MSHR hits
-system.cpu1.icache.demand_mshr_hits::total 68 # number of demand (read+write) MSHR hits
-system.cpu1.icache.overall_mshr_hits::cpu1.inst 68 # number of overall MSHR hits
-system.cpu1.icache.overall_mshr_hits::total 68 # number of overall MSHR hits
+system.cpu1.icache.ReadReq_mshr_hits::cpu1.inst 62 # number of ReadReq MSHR hits
+system.cpu1.icache.ReadReq_mshr_hits::total 62 # number of ReadReq MSHR hits
+system.cpu1.icache.demand_mshr_hits::cpu1.inst 62 # number of demand (read+write) MSHR hits
+system.cpu1.icache.demand_mshr_hits::total 62 # number of demand (read+write) MSHR hits
+system.cpu1.icache.overall_mshr_hits::cpu1.inst 62 # number of overall MSHR hits
+system.cpu1.icache.overall_mshr_hits::total 62 # number of overall MSHR hits
system.cpu1.icache.ReadReq_mshr_misses::cpu1.inst 497 # number of ReadReq MSHR misses
system.cpu1.icache.ReadReq_mshr_misses::total 497 # number of ReadReq MSHR misses
system.cpu1.icache.demand_mshr_misses::cpu1.inst 497 # number of demand (read+write) MSHR misses
system.cpu1.icache.demand_mshr_misses::total 497 # number of demand (read+write) MSHR misses
system.cpu1.icache.overall_mshr_misses::cpu1.inst 497 # number of overall MSHR misses
system.cpu1.icache.overall_mshr_misses::total 497 # number of overall MSHR misses
-system.cpu1.icache.ReadReq_mshr_miss_latency::cpu1.inst 6616756 # number of ReadReq MSHR miss cycles
-system.cpu1.icache.ReadReq_mshr_miss_latency::total 6616756 # number of ReadReq MSHR miss cycles
-system.cpu1.icache.demand_mshr_miss_latency::cpu1.inst 6616756 # number of demand (read+write) MSHR miss cycles
-system.cpu1.icache.demand_mshr_miss_latency::total 6616756 # number of demand (read+write) MSHR miss cycles
-system.cpu1.icache.overall_mshr_miss_latency::cpu1.inst 6616756 # number of overall MSHR miss cycles
-system.cpu1.icache.overall_mshr_miss_latency::total 6616756 # number of overall MSHR miss cycles
-system.cpu1.icache.ReadReq_mshr_miss_rate::cpu1.inst 0.023597 # mshr miss rate for ReadReq accesses
-system.cpu1.icache.ReadReq_mshr_miss_rate::total 0.023597 # mshr miss rate for ReadReq accesses
-system.cpu1.icache.demand_mshr_miss_rate::cpu1.inst 0.023597 # mshr miss rate for demand accesses
-system.cpu1.icache.demand_mshr_miss_rate::total 0.023597 # mshr miss rate for demand accesses
-system.cpu1.icache.overall_mshr_miss_rate::cpu1.inst 0.023597 # mshr miss rate for overall accesses
-system.cpu1.icache.overall_mshr_miss_rate::total 0.023597 # mshr miss rate for overall accesses
-system.cpu1.icache.ReadReq_avg_mshr_miss_latency::cpu1.inst 13313.392354 # average ReadReq mshr miss latency
-system.cpu1.icache.ReadReq_avg_mshr_miss_latency::total 13313.392354 # average ReadReq mshr miss latency
-system.cpu1.icache.demand_avg_mshr_miss_latency::cpu1.inst 13313.392354 # average overall mshr miss latency
-system.cpu1.icache.demand_avg_mshr_miss_latency::total 13313.392354 # average overall mshr miss latency
-system.cpu1.icache.overall_avg_mshr_miss_latency::cpu1.inst 13313.392354 # average overall mshr miss latency
-system.cpu1.icache.overall_avg_mshr_miss_latency::total 13313.392354 # average overall mshr miss latency
+system.cpu1.icache.ReadReq_mshr_miss_latency::cpu1.inst 6648254 # number of ReadReq MSHR miss cycles
+system.cpu1.icache.ReadReq_mshr_miss_latency::total 6648254 # number of ReadReq MSHR miss cycles
+system.cpu1.icache.demand_mshr_miss_latency::cpu1.inst 6648254 # number of demand (read+write) MSHR miss cycles
+system.cpu1.icache.demand_mshr_miss_latency::total 6648254 # number of demand (read+write) MSHR miss cycles
+system.cpu1.icache.overall_mshr_miss_latency::cpu1.inst 6648254 # number of overall MSHR miss cycles
+system.cpu1.icache.overall_mshr_miss_latency::total 6648254 # number of overall MSHR miss cycles
+system.cpu1.icache.ReadReq_mshr_miss_rate::cpu1.inst 0.022207 # mshr miss rate for ReadReq accesses
+system.cpu1.icache.ReadReq_mshr_miss_rate::total 0.022207 # mshr miss rate for ReadReq accesses
+system.cpu1.icache.demand_mshr_miss_rate::cpu1.inst 0.022207 # mshr miss rate for demand accesses
+system.cpu1.icache.demand_mshr_miss_rate::total 0.022207 # mshr miss rate for demand accesses
+system.cpu1.icache.overall_mshr_miss_rate::cpu1.inst 0.022207 # mshr miss rate for overall accesses
+system.cpu1.icache.overall_mshr_miss_rate::total 0.022207 # mshr miss rate for overall accesses
+system.cpu1.icache.ReadReq_avg_mshr_miss_latency::cpu1.inst 13376.768612 # average ReadReq mshr miss latency
+system.cpu1.icache.ReadReq_avg_mshr_miss_latency::total 13376.768612 # average ReadReq mshr miss latency
+system.cpu1.icache.demand_avg_mshr_miss_latency::cpu1.inst 13376.768612 # average overall mshr miss latency
+system.cpu1.icache.demand_avg_mshr_miss_latency::total 13376.768612 # average overall mshr miss latency
+system.cpu1.icache.overall_avg_mshr_miss_latency::cpu1.inst 13376.768612 # average overall mshr miss latency
+system.cpu1.icache.overall_avg_mshr_miss_latency::total 13376.768612 # average overall mshr miss latency
system.cpu1.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu1.dcache.tags.replacements 0 # number of replacements
-system.cpu1.dcache.tags.tagsinuse 24.399537 # Cycle average of tags in use
-system.cpu1.dcache.tags.total_refs 43036 # Total number of references to valid blocks.
-system.cpu1.dcache.tags.sampled_refs 29 # Sample count of references to valid blocks.
-system.cpu1.dcache.tags.avg_refs 1484 # Average number of references to valid blocks.
+system.cpu1.dcache.tags.tagsinuse 24.402316 # Cycle average of tags in use
+system.cpu1.dcache.tags.total_refs 40362 # Total number of references to valid blocks.
+system.cpu1.dcache.tags.sampled_refs 28 # Sample count of references to valid blocks.
+system.cpu1.dcache.tags.avg_refs 1441.500000 # Average number of references to valid blocks.
system.cpu1.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu1.dcache.tags.occ_blocks::cpu1.data 24.399537 # Average occupied blocks per requestor
-system.cpu1.dcache.tags.occ_percent::cpu1.data 0.047655 # Average percentage of cache occupancy
-system.cpu1.dcache.tags.occ_percent::total 0.047655 # Average percentage of cache occupancy
-system.cpu1.dcache.tags.occ_task_id_blocks::1024 29 # Occupied blocks per task id
-system.cpu1.dcache.tags.age_task_id_blocks_1024::0 1 # Occupied blocks per task id
+system.cpu1.dcache.tags.occ_blocks::cpu1.data 24.402316 # Average occupied blocks per requestor
+system.cpu1.dcache.tags.occ_percent::cpu1.data 0.047661 # Average percentage of cache occupancy
+system.cpu1.dcache.tags.occ_percent::total 0.047661 # Average percentage of cache occupancy
+system.cpu1.dcache.tags.occ_task_id_blocks::1024 28 # Occupied blocks per task id
system.cpu1.dcache.tags.age_task_id_blocks_1024::1 28 # Occupied blocks per task id
-system.cpu1.dcache.tags.occ_task_id_percent::1024 0.056641 # Percentage of cache occupancy per task id
-system.cpu1.dcache.tags.tag_accesses 333666 # Number of tag accesses
-system.cpu1.dcache.tags.data_accesses 333666 # Number of data accesses
-system.cpu1.dcache.ReadReq_hits::cpu1.data 46059 # number of ReadReq hits
-system.cpu1.dcache.ReadReq_hits::total 46059 # number of ReadReq hits
-system.cpu1.dcache.WriteReq_hits::cpu1.data 36657 # number of WriteReq hits
-system.cpu1.dcache.WriteReq_hits::total 36657 # number of WriteReq hits
+system.cpu1.dcache.tags.occ_task_id_percent::1024 0.054688 # Percentage of cache occupancy per task id
+system.cpu1.dcache.tags.tag_accesses 315306 # Number of tag accesses
+system.cpu1.dcache.tags.data_accesses 315306 # Number of data accesses
+system.cpu1.dcache.ReadReq_hits::cpu1.data 43998 # number of ReadReq hits
+system.cpu1.dcache.ReadReq_hits::total 43998 # number of ReadReq hits
+system.cpu1.dcache.WriteReq_hits::cpu1.data 34119 # number of WriteReq hits
+system.cpu1.dcache.WriteReq_hits::total 34119 # number of WriteReq hits
system.cpu1.dcache.SwapReq_hits::cpu1.data 13 # number of SwapReq hits
system.cpu1.dcache.SwapReq_hits::total 13 # number of SwapReq hits
-system.cpu1.dcache.demand_hits::cpu1.data 82716 # number of demand (read+write) hits
-system.cpu1.dcache.demand_hits::total 82716 # number of demand (read+write) hits
-system.cpu1.dcache.overall_hits::cpu1.data 82716 # number of overall hits
-system.cpu1.dcache.overall_hits::total 82716 # number of overall hits
-system.cpu1.dcache.ReadReq_misses::cpu1.data 427 # number of ReadReq misses
-system.cpu1.dcache.ReadReq_misses::total 427 # number of ReadReq misses
-system.cpu1.dcache.WriteReq_misses::cpu1.data 140 # number of WriteReq misses
-system.cpu1.dcache.WriteReq_misses::total 140 # number of WriteReq misses
-system.cpu1.dcache.SwapReq_misses::cpu1.data 57 # number of SwapReq misses
-system.cpu1.dcache.SwapReq_misses::total 57 # number of SwapReq misses
-system.cpu1.dcache.demand_misses::cpu1.data 567 # number of demand (read+write) misses
-system.cpu1.dcache.demand_misses::total 567 # number of demand (read+write) misses
-system.cpu1.dcache.overall_misses::cpu1.data 567 # number of overall misses
-system.cpu1.dcache.overall_misses::total 567 # number of overall misses
-system.cpu1.dcache.ReadReq_miss_latency::cpu1.data 5717104 # number of ReadReq miss cycles
-system.cpu1.dcache.ReadReq_miss_latency::total 5717104 # number of ReadReq miss cycles
-system.cpu1.dcache.WriteReq_miss_latency::cpu1.data 2840511 # number of WriteReq miss cycles
-system.cpu1.dcache.WriteReq_miss_latency::total 2840511 # number of WriteReq miss cycles
-system.cpu1.dcache.SwapReq_miss_latency::cpu1.data 466006 # number of SwapReq miss cycles
-system.cpu1.dcache.SwapReq_miss_latency::total 466006 # number of SwapReq miss cycles
-system.cpu1.dcache.demand_miss_latency::cpu1.data 8557615 # number of demand (read+write) miss cycles
-system.cpu1.dcache.demand_miss_latency::total 8557615 # number of demand (read+write) miss cycles
-system.cpu1.dcache.overall_miss_latency::cpu1.data 8557615 # number of overall miss cycles
-system.cpu1.dcache.overall_miss_latency::total 8557615 # number of overall miss cycles
-system.cpu1.dcache.ReadReq_accesses::cpu1.data 46486 # number of ReadReq accesses(hits+misses)
-system.cpu1.dcache.ReadReq_accesses::total 46486 # number of ReadReq accesses(hits+misses)
-system.cpu1.dcache.WriteReq_accesses::cpu1.data 36797 # number of WriteReq accesses(hits+misses)
-system.cpu1.dcache.WriteReq_accesses::total 36797 # number of WriteReq accesses(hits+misses)
-system.cpu1.dcache.SwapReq_accesses::cpu1.data 70 # number of SwapReq accesses(hits+misses)
-system.cpu1.dcache.SwapReq_accesses::total 70 # number of SwapReq accesses(hits+misses)
-system.cpu1.dcache.demand_accesses::cpu1.data 83283 # number of demand (read+write) accesses
-system.cpu1.dcache.demand_accesses::total 83283 # number of demand (read+write) accesses
-system.cpu1.dcache.overall_accesses::cpu1.data 83283 # number of overall (read+write) accesses
-system.cpu1.dcache.overall_accesses::total 83283 # number of overall (read+write) accesses
-system.cpu1.dcache.ReadReq_miss_rate::cpu1.data 0.009186 # miss rate for ReadReq accesses
-system.cpu1.dcache.ReadReq_miss_rate::total 0.009186 # miss rate for ReadReq accesses
-system.cpu1.dcache.WriteReq_miss_rate::cpu1.data 0.003805 # miss rate for WriteReq accesses
-system.cpu1.dcache.WriteReq_miss_rate::total 0.003805 # miss rate for WriteReq accesses
-system.cpu1.dcache.SwapReq_miss_rate::cpu1.data 0.814286 # miss rate for SwapReq accesses
-system.cpu1.dcache.SwapReq_miss_rate::total 0.814286 # miss rate for SwapReq accesses
-system.cpu1.dcache.demand_miss_rate::cpu1.data 0.006808 # miss rate for demand accesses
-system.cpu1.dcache.demand_miss_rate::total 0.006808 # miss rate for demand accesses
-system.cpu1.dcache.overall_miss_rate::cpu1.data 0.006808 # miss rate for overall accesses
-system.cpu1.dcache.overall_miss_rate::total 0.006808 # miss rate for overall accesses
-system.cpu1.dcache.ReadReq_avg_miss_latency::cpu1.data 13389.002342 # average ReadReq miss latency
-system.cpu1.dcache.ReadReq_avg_miss_latency::total 13389.002342 # average ReadReq miss latency
-system.cpu1.dcache.WriteReq_avg_miss_latency::cpu1.data 20289.364286 # average WriteReq miss latency
-system.cpu1.dcache.WriteReq_avg_miss_latency::total 20289.364286 # average WriteReq miss latency
-system.cpu1.dcache.SwapReq_avg_miss_latency::cpu1.data 8175.543860 # average SwapReq miss latency
-system.cpu1.dcache.SwapReq_avg_miss_latency::total 8175.543860 # average SwapReq miss latency
-system.cpu1.dcache.demand_avg_miss_latency::cpu1.data 15092.795414 # average overall miss latency
-system.cpu1.dcache.demand_avg_miss_latency::total 15092.795414 # average overall miss latency
-system.cpu1.dcache.overall_avg_miss_latency::cpu1.data 15092.795414 # average overall miss latency
-system.cpu1.dcache.overall_avg_miss_latency::total 15092.795414 # average overall miss latency
+system.cpu1.dcache.demand_hits::cpu1.data 78117 # number of demand (read+write) hits
+system.cpu1.dcache.demand_hits::total 78117 # number of demand (read+write) hits
+system.cpu1.dcache.overall_hits::cpu1.data 78117 # number of overall hits
+system.cpu1.dcache.overall_hits::total 78117 # number of overall hits
+system.cpu1.dcache.ReadReq_misses::cpu1.data 439 # number of ReadReq misses
+system.cpu1.dcache.ReadReq_misses::total 439 # number of ReadReq misses
+system.cpu1.dcache.WriteReq_misses::cpu1.data 136 # number of WriteReq misses
+system.cpu1.dcache.WriteReq_misses::total 136 # number of WriteReq misses
+system.cpu1.dcache.SwapReq_misses::cpu1.data 58 # number of SwapReq misses
+system.cpu1.dcache.SwapReq_misses::total 58 # number of SwapReq misses
+system.cpu1.dcache.demand_misses::cpu1.data 575 # number of demand (read+write) misses
+system.cpu1.dcache.demand_misses::total 575 # number of demand (read+write) misses
+system.cpu1.dcache.overall_misses::cpu1.data 575 # number of overall misses
+system.cpu1.dcache.overall_misses::total 575 # number of overall misses
+system.cpu1.dcache.ReadReq_miss_latency::cpu1.data 5820038 # number of ReadReq miss cycles
+system.cpu1.dcache.ReadReq_miss_latency::total 5820038 # number of ReadReq miss cycles
+system.cpu1.dcache.WriteReq_miss_latency::cpu1.data 2819511 # number of WriteReq miss cycles
+system.cpu1.dcache.WriteReq_miss_latency::total 2819511 # number of WriteReq miss cycles
+system.cpu1.dcache.SwapReq_miss_latency::cpu1.data 502006 # number of SwapReq miss cycles
+system.cpu1.dcache.SwapReq_miss_latency::total 502006 # number of SwapReq miss cycles
+system.cpu1.dcache.demand_miss_latency::cpu1.data 8639549 # number of demand (read+write) miss cycles
+system.cpu1.dcache.demand_miss_latency::total 8639549 # number of demand (read+write) miss cycles
+system.cpu1.dcache.overall_miss_latency::cpu1.data 8639549 # number of overall miss cycles
+system.cpu1.dcache.overall_miss_latency::total 8639549 # number of overall miss cycles
+system.cpu1.dcache.ReadReq_accesses::cpu1.data 44437 # number of ReadReq accesses(hits+misses)
+system.cpu1.dcache.ReadReq_accesses::total 44437 # number of ReadReq accesses(hits+misses)
+system.cpu1.dcache.WriteReq_accesses::cpu1.data 34255 # number of WriteReq accesses(hits+misses)
+system.cpu1.dcache.WriteReq_accesses::total 34255 # number of WriteReq accesses(hits+misses)
+system.cpu1.dcache.SwapReq_accesses::cpu1.data 71 # number of SwapReq accesses(hits+misses)
+system.cpu1.dcache.SwapReq_accesses::total 71 # number of SwapReq accesses(hits+misses)
+system.cpu1.dcache.demand_accesses::cpu1.data 78692 # number of demand (read+write) accesses
+system.cpu1.dcache.demand_accesses::total 78692 # number of demand (read+write) accesses
+system.cpu1.dcache.overall_accesses::cpu1.data 78692 # number of overall (read+write) accesses
+system.cpu1.dcache.overall_accesses::total 78692 # number of overall (read+write) accesses
+system.cpu1.dcache.ReadReq_miss_rate::cpu1.data 0.009879 # miss rate for ReadReq accesses
+system.cpu1.dcache.ReadReq_miss_rate::total 0.009879 # miss rate for ReadReq accesses
+system.cpu1.dcache.WriteReq_miss_rate::cpu1.data 0.003970 # miss rate for WriteReq accesses
+system.cpu1.dcache.WriteReq_miss_rate::total 0.003970 # miss rate for WriteReq accesses
+system.cpu1.dcache.SwapReq_miss_rate::cpu1.data 0.816901 # miss rate for SwapReq accesses
+system.cpu1.dcache.SwapReq_miss_rate::total 0.816901 # miss rate for SwapReq accesses
+system.cpu1.dcache.demand_miss_rate::cpu1.data 0.007307 # miss rate for demand accesses
+system.cpu1.dcache.demand_miss_rate::total 0.007307 # miss rate for demand accesses
+system.cpu1.dcache.overall_miss_rate::cpu1.data 0.007307 # miss rate for overall accesses
+system.cpu1.dcache.overall_miss_rate::total 0.007307 # miss rate for overall accesses
+system.cpu1.dcache.ReadReq_avg_miss_latency::cpu1.data 13257.489749 # average ReadReq miss latency
+system.cpu1.dcache.ReadReq_avg_miss_latency::total 13257.489749 # average ReadReq miss latency
+system.cpu1.dcache.WriteReq_avg_miss_latency::cpu1.data 20731.698529 # average WriteReq miss latency
+system.cpu1.dcache.WriteReq_avg_miss_latency::total 20731.698529 # average WriteReq miss latency
+system.cpu1.dcache.SwapReq_avg_miss_latency::cpu1.data 8655.275862 # average SwapReq miss latency
+system.cpu1.dcache.SwapReq_avg_miss_latency::total 8655.275862 # average SwapReq miss latency
+system.cpu1.dcache.demand_avg_miss_latency::cpu1.data 15025.302609 # average overall miss latency
+system.cpu1.dcache.demand_avg_miss_latency::total 15025.302609 # average overall miss latency
+system.cpu1.dcache.overall_avg_miss_latency::cpu1.data 15025.302609 # average overall miss latency
+system.cpu1.dcache.overall_avg_miss_latency::total 15025.302609 # average overall miss latency
system.cpu1.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu1.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu1.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -1729,406 +1751,406 @@ system.cpu1.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu1.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu1.dcache.fast_writes 0 # number of fast writes performed
system.cpu1.dcache.cache_copies 0 # number of cache copies performed
-system.cpu1.dcache.ReadReq_mshr_hits::cpu1.data 269 # number of ReadReq MSHR hits
-system.cpu1.dcache.ReadReq_mshr_hits::total 269 # number of ReadReq MSHR hits
-system.cpu1.dcache.WriteReq_mshr_hits::cpu1.data 35 # number of WriteReq MSHR hits
-system.cpu1.dcache.WriteReq_mshr_hits::total 35 # number of WriteReq MSHR hits
-system.cpu1.dcache.demand_mshr_hits::cpu1.data 304 # number of demand (read+write) MSHR hits
-system.cpu1.dcache.demand_mshr_hits::total 304 # number of demand (read+write) MSHR hits
-system.cpu1.dcache.overall_mshr_hits::cpu1.data 304 # number of overall MSHR hits
-system.cpu1.dcache.overall_mshr_hits::total 304 # number of overall MSHR hits
-system.cpu1.dcache.ReadReq_mshr_misses::cpu1.data 158 # number of ReadReq MSHR misses
-system.cpu1.dcache.ReadReq_mshr_misses::total 158 # number of ReadReq MSHR misses
-system.cpu1.dcache.WriteReq_mshr_misses::cpu1.data 105 # number of WriteReq MSHR misses
-system.cpu1.dcache.WriteReq_mshr_misses::total 105 # number of WriteReq MSHR misses
-system.cpu1.dcache.SwapReq_mshr_misses::cpu1.data 57 # number of SwapReq MSHR misses
-system.cpu1.dcache.SwapReq_mshr_misses::total 57 # number of SwapReq MSHR misses
-system.cpu1.dcache.demand_mshr_misses::cpu1.data 263 # number of demand (read+write) MSHR misses
-system.cpu1.dcache.demand_mshr_misses::total 263 # number of demand (read+write) MSHR misses
-system.cpu1.dcache.overall_mshr_misses::cpu1.data 263 # number of overall MSHR misses
-system.cpu1.dcache.overall_mshr_misses::total 263 # number of overall MSHR misses
-system.cpu1.dcache.ReadReq_mshr_miss_latency::cpu1.data 1020514 # number of ReadReq MSHR miss cycles
-system.cpu1.dcache.ReadReq_mshr_miss_latency::total 1020514 # number of ReadReq MSHR miss cycles
-system.cpu1.dcache.WriteReq_mshr_miss_latency::cpu1.data 1289239 # number of WriteReq MSHR miss cycles
-system.cpu1.dcache.WriteReq_mshr_miss_latency::total 1289239 # number of WriteReq MSHR miss cycles
-system.cpu1.dcache.SwapReq_mshr_miss_latency::cpu1.data 351994 # number of SwapReq MSHR miss cycles
-system.cpu1.dcache.SwapReq_mshr_miss_latency::total 351994 # number of SwapReq MSHR miss cycles
-system.cpu1.dcache.demand_mshr_miss_latency::cpu1.data 2309753 # number of demand (read+write) MSHR miss cycles
-system.cpu1.dcache.demand_mshr_miss_latency::total 2309753 # number of demand (read+write) MSHR miss cycles
-system.cpu1.dcache.overall_mshr_miss_latency::cpu1.data 2309753 # number of overall MSHR miss cycles
-system.cpu1.dcache.overall_mshr_miss_latency::total 2309753 # number of overall MSHR miss cycles
-system.cpu1.dcache.ReadReq_mshr_miss_rate::cpu1.data 0.003399 # mshr miss rate for ReadReq accesses
-system.cpu1.dcache.ReadReq_mshr_miss_rate::total 0.003399 # mshr miss rate for ReadReq accesses
-system.cpu1.dcache.WriteReq_mshr_miss_rate::cpu1.data 0.002853 # mshr miss rate for WriteReq accesses
-system.cpu1.dcache.WriteReq_mshr_miss_rate::total 0.002853 # mshr miss rate for WriteReq accesses
-system.cpu1.dcache.SwapReq_mshr_miss_rate::cpu1.data 0.814286 # mshr miss rate for SwapReq accesses
-system.cpu1.dcache.SwapReq_mshr_miss_rate::total 0.814286 # mshr miss rate for SwapReq accesses
-system.cpu1.dcache.demand_mshr_miss_rate::cpu1.data 0.003158 # mshr miss rate for demand accesses
-system.cpu1.dcache.demand_mshr_miss_rate::total 0.003158 # mshr miss rate for demand accesses
-system.cpu1.dcache.overall_mshr_miss_rate::cpu1.data 0.003158 # mshr miss rate for overall accesses
-system.cpu1.dcache.overall_mshr_miss_rate::total 0.003158 # mshr miss rate for overall accesses
-system.cpu1.dcache.ReadReq_avg_mshr_miss_latency::cpu1.data 6458.949367 # average ReadReq mshr miss latency
-system.cpu1.dcache.ReadReq_avg_mshr_miss_latency::total 6458.949367 # average ReadReq mshr miss latency
-system.cpu1.dcache.WriteReq_avg_mshr_miss_latency::cpu1.data 12278.466667 # average WriteReq mshr miss latency
-system.cpu1.dcache.WriteReq_avg_mshr_miss_latency::total 12278.466667 # average WriteReq mshr miss latency
-system.cpu1.dcache.SwapReq_avg_mshr_miss_latency::cpu1.data 6175.333333 # average SwapReq mshr miss latency
-system.cpu1.dcache.SwapReq_avg_mshr_miss_latency::total 6175.333333 # average SwapReq mshr miss latency
-system.cpu1.dcache.demand_avg_mshr_miss_latency::cpu1.data 8782.330798 # average overall mshr miss latency
-system.cpu1.dcache.demand_avg_mshr_miss_latency::total 8782.330798 # average overall mshr miss latency
-system.cpu1.dcache.overall_avg_mshr_miss_latency::cpu1.data 8782.330798 # average overall mshr miss latency
-system.cpu1.dcache.overall_avg_mshr_miss_latency::total 8782.330798 # average overall mshr miss latency
+system.cpu1.dcache.ReadReq_mshr_hits::cpu1.data 276 # number of ReadReq MSHR hits
+system.cpu1.dcache.ReadReq_mshr_hits::total 276 # number of ReadReq MSHR hits
+system.cpu1.dcache.WriteReq_mshr_hits::cpu1.data 32 # number of WriteReq MSHR hits
+system.cpu1.dcache.WriteReq_mshr_hits::total 32 # number of WriteReq MSHR hits
+system.cpu1.dcache.demand_mshr_hits::cpu1.data 308 # number of demand (read+write) MSHR hits
+system.cpu1.dcache.demand_mshr_hits::total 308 # number of demand (read+write) MSHR hits
+system.cpu1.dcache.overall_mshr_hits::cpu1.data 308 # number of overall MSHR hits
+system.cpu1.dcache.overall_mshr_hits::total 308 # number of overall MSHR hits
+system.cpu1.dcache.ReadReq_mshr_misses::cpu1.data 163 # number of ReadReq MSHR misses
+system.cpu1.dcache.ReadReq_mshr_misses::total 163 # number of ReadReq MSHR misses
+system.cpu1.dcache.WriteReq_mshr_misses::cpu1.data 104 # number of WriteReq MSHR misses
+system.cpu1.dcache.WriteReq_mshr_misses::total 104 # number of WriteReq MSHR misses
+system.cpu1.dcache.SwapReq_mshr_misses::cpu1.data 58 # number of SwapReq MSHR misses
+system.cpu1.dcache.SwapReq_mshr_misses::total 58 # number of SwapReq MSHR misses
+system.cpu1.dcache.demand_mshr_misses::cpu1.data 267 # number of demand (read+write) MSHR misses
+system.cpu1.dcache.demand_mshr_misses::total 267 # number of demand (read+write) MSHR misses
+system.cpu1.dcache.overall_mshr_misses::cpu1.data 267 # number of overall MSHR misses
+system.cpu1.dcache.overall_mshr_misses::total 267 # number of overall MSHR misses
+system.cpu1.dcache.ReadReq_mshr_miss_latency::cpu1.data 1085520 # number of ReadReq MSHR miss cycles
+system.cpu1.dcache.ReadReq_mshr_miss_latency::total 1085520 # number of ReadReq MSHR miss cycles
+system.cpu1.dcache.WriteReq_mshr_miss_latency::cpu1.data 1288239 # number of WriteReq MSHR miss cycles
+system.cpu1.dcache.WriteReq_mshr_miss_latency::total 1288239 # number of WriteReq MSHR miss cycles
+system.cpu1.dcache.SwapReq_mshr_miss_latency::cpu1.data 385994 # number of SwapReq MSHR miss cycles
+system.cpu1.dcache.SwapReq_mshr_miss_latency::total 385994 # number of SwapReq MSHR miss cycles
+system.cpu1.dcache.demand_mshr_miss_latency::cpu1.data 2373759 # number of demand (read+write) MSHR miss cycles
+system.cpu1.dcache.demand_mshr_miss_latency::total 2373759 # number of demand (read+write) MSHR miss cycles
+system.cpu1.dcache.overall_mshr_miss_latency::cpu1.data 2373759 # number of overall MSHR miss cycles
+system.cpu1.dcache.overall_mshr_miss_latency::total 2373759 # number of overall MSHR miss cycles
+system.cpu1.dcache.ReadReq_mshr_miss_rate::cpu1.data 0.003668 # mshr miss rate for ReadReq accesses
+system.cpu1.dcache.ReadReq_mshr_miss_rate::total 0.003668 # mshr miss rate for ReadReq accesses
+system.cpu1.dcache.WriteReq_mshr_miss_rate::cpu1.data 0.003036 # mshr miss rate for WriteReq accesses
+system.cpu1.dcache.WriteReq_mshr_miss_rate::total 0.003036 # mshr miss rate for WriteReq accesses
+system.cpu1.dcache.SwapReq_mshr_miss_rate::cpu1.data 0.816901 # mshr miss rate for SwapReq accesses
+system.cpu1.dcache.SwapReq_mshr_miss_rate::total 0.816901 # mshr miss rate for SwapReq accesses
+system.cpu1.dcache.demand_mshr_miss_rate::cpu1.data 0.003393 # mshr miss rate for demand accesses
+system.cpu1.dcache.demand_mshr_miss_rate::total 0.003393 # mshr miss rate for demand accesses
+system.cpu1.dcache.overall_mshr_miss_rate::cpu1.data 0.003393 # mshr miss rate for overall accesses
+system.cpu1.dcache.overall_mshr_miss_rate::total 0.003393 # mshr miss rate for overall accesses
+system.cpu1.dcache.ReadReq_avg_mshr_miss_latency::cpu1.data 6659.631902 # average ReadReq mshr miss latency
+system.cpu1.dcache.ReadReq_avg_mshr_miss_latency::total 6659.631902 # average ReadReq mshr miss latency
+system.cpu1.dcache.WriteReq_avg_mshr_miss_latency::cpu1.data 12386.913462 # average WriteReq mshr miss latency
+system.cpu1.dcache.WriteReq_avg_mshr_miss_latency::total 12386.913462 # average WriteReq mshr miss latency
+system.cpu1.dcache.SwapReq_avg_mshr_miss_latency::cpu1.data 6655.068966 # average SwapReq mshr miss latency
+system.cpu1.dcache.SwapReq_avg_mshr_miss_latency::total 6655.068966 # average SwapReq mshr miss latency
+system.cpu1.dcache.demand_avg_mshr_miss_latency::cpu1.data 8890.483146 # average overall mshr miss latency
+system.cpu1.dcache.demand_avg_mshr_miss_latency::total 8890.483146 # average overall mshr miss latency
+system.cpu1.dcache.overall_avg_mshr_miss_latency::cpu1.data 8890.483146 # average overall mshr miss latency
+system.cpu1.dcache.overall_avg_mshr_miss_latency::total 8890.483146 # average overall mshr miss latency
system.cpu1.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu2.branchPred.lookups 50591 # Number of BP lookups
-system.cpu2.branchPred.condPredicted 46824 # Number of conditional branches predicted
-system.cpu2.branchPred.condIncorrect 1298 # Number of conditional branches incorrect
-system.cpu2.branchPred.BTBLookups 43166 # Number of BTB lookups
-system.cpu2.branchPred.BTBHits 41772 # Number of BTB hits
+system.cpu2.branchPred.lookups 52660 # Number of BP lookups
+system.cpu2.branchPred.condPredicted 48877 # Number of conditional branches predicted
+system.cpu2.branchPred.condIncorrect 1286 # Number of conditional branches incorrect
+system.cpu2.branchPred.BTBLookups 45218 # Number of BTB lookups
+system.cpu2.branchPred.BTBHits 43881 # Number of BTB hits
system.cpu2.branchPred.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
-system.cpu2.branchPred.BTBHitPct 96.770606 # BTB Hit Percentage
-system.cpu2.branchPred.usedRAS 904 # Number of times the RAS was used to get a target.
+system.cpu2.branchPred.BTBHitPct 97.043213 # BTB Hit Percentage
+system.cpu2.branchPred.usedRAS 913 # Number of times the RAS was used to get a target.
system.cpu2.branchPred.RASInCorrect 231 # Number of incorrect RAS predictions.
-system.cpu2.numCycles 167617 # number of cpu cycles simulated
+system.cpu2.numCycles 160663 # number of cpu cycles simulated
system.cpu2.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu2.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu2.fetch.icacheStallCycles 31796 # Number of cycles fetch is stalled on an Icache miss
-system.cpu2.fetch.Insts 277876 # Number of instructions fetch has processed
-system.cpu2.fetch.Branches 50591 # Number of branches that fetch encountered
-system.cpu2.fetch.predictedBranches 42676 # Number of branches that fetch has predicted taken
-system.cpu2.fetch.Cycles 121192 # Number of cycles fetch has run and was not squashing or blocked
-system.cpu2.fetch.SquashCycles 2752 # Number of cycles fetch has spent squashing
+system.cpu2.fetch.icacheStallCycles 30584 # Number of cycles fetch is stalled on an Icache miss
+system.cpu2.fetch.Insts 291962 # Number of instructions fetch has processed
+system.cpu2.fetch.Branches 52660 # Number of branches that fetch encountered
+system.cpu2.fetch.predictedBranches 44794 # Number of branches that fetch has predicted taken
+system.cpu2.fetch.Cycles 122431 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu2.fetch.SquashCycles 2729 # Number of cycles fetch has spent squashing
system.cpu2.fetch.MiscStallCycles 4 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
-system.cpu2.fetch.NoActiveThreadStallCycles 7062 # Number of stall cycles due to no active thread to fetch from
-system.cpu2.fetch.PendingTrapStallCycles 1110 # Number of stall cycles due to pending traps
+system.cpu2.fetch.NoActiveThreadStallCycles 10 # Number of stall cycles due to no active thread to fetch from
+system.cpu2.fetch.PendingTrapStallCycles 1111 # Number of stall cycles due to pending traps
system.cpu2.fetch.IcacheWaitRetryStallCycles 3 # Number of stall cycles due to full MSHR
-system.cpu2.fetch.CacheLines 22366 # Number of cache lines fetched
-system.cpu2.fetch.IcacheSquashes 459 # Number of outstanding Icache misses that were squashed
-system.cpu2.fetch.rateDist::samples 162543 # Number of instructions fetched each cycle (Total)
-system.cpu2.fetch.rateDist::mean 1.709554 # Number of instructions fetched each cycle (Total)
-system.cpu2.fetch.rateDist::stdev 2.176994 # Number of instructions fetched each cycle (Total)
+system.cpu2.fetch.CacheLines 21169 # Number of cache lines fetched
+system.cpu2.fetch.IcacheSquashes 445 # Number of outstanding Icache misses that were squashed
+system.cpu2.fetch.rateDist::samples 155507 # Number of instructions fetched each cycle (Total)
+system.cpu2.fetch.rateDist::mean 1.877485 # Number of instructions fetched each cycle (Total)
+system.cpu2.fetch.rateDist::stdev 2.219728 # Number of instructions fetched each cycle (Total)
system.cpu2.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
-system.cpu2.fetch.rateDist::0 64711 39.81% 39.81% # Number of instructions fetched each cycle (Total)
-system.cpu2.fetch.rateDist::1 49634 30.54% 70.35% # Number of instructions fetched each cycle (Total)
-system.cpu2.fetch.rateDist::2 6798 4.18% 74.53% # Number of instructions fetched each cycle (Total)
-system.cpu2.fetch.rateDist::3 3442 2.12% 76.65% # Number of instructions fetched each cycle (Total)
-system.cpu2.fetch.rateDist::4 952 0.59% 77.23% # Number of instructions fetched each cycle (Total)
-system.cpu2.fetch.rateDist::5 30771 18.93% 96.16% # Number of instructions fetched each cycle (Total)
-system.cpu2.fetch.rateDist::6 1187 0.73% 96.89% # Number of instructions fetched each cycle (Total)
-system.cpu2.fetch.rateDist::7 849 0.52% 97.42% # Number of instructions fetched each cycle (Total)
-system.cpu2.fetch.rateDist::8 4199 2.58% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu2.fetch.rateDist::0 53998 34.72% 34.72% # Number of instructions fetched each cycle (Total)
+system.cpu2.fetch.rateDist::1 51209 32.93% 67.65% # Number of instructions fetched each cycle (Total)
+system.cpu2.fetch.rateDist::2 6210 3.99% 71.65% # Number of instructions fetched each cycle (Total)
+system.cpu2.fetch.rateDist::3 3413 2.19% 73.84% # Number of instructions fetched each cycle (Total)
+system.cpu2.fetch.rateDist::4 933 0.60% 74.44% # Number of instructions fetched each cycle (Total)
+system.cpu2.fetch.rateDist::5 33386 21.47% 95.91% # Number of instructions fetched each cycle (Total)
+system.cpu2.fetch.rateDist::6 1293 0.83% 96.74% # Number of instructions fetched each cycle (Total)
+system.cpu2.fetch.rateDist::7 846 0.54% 97.29% # Number of instructions fetched each cycle (Total)
+system.cpu2.fetch.rateDist::8 4219 2.71% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu2.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu2.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
system.cpu2.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
-system.cpu2.fetch.rateDist::total 162543 # Number of instructions fetched each cycle (Total)
-system.cpu2.fetch.branchRate 0.301825 # Number of branch fetches per cycle
-system.cpu2.fetch.rate 1.657803 # Number of inst fetches per cycle
-system.cpu2.decode.IdleCycles 17997 # Number of cycles decode is idle
-system.cpu2.decode.BlockedCycles 57677 # Number of cycles decode is blocked
-system.cpu2.decode.RunCycles 74910 # Number of cycles decode is running
-system.cpu2.decode.UnblockCycles 3521 # Number of cycles decode is unblocking
-system.cpu2.decode.SquashCycles 1376 # Number of cycles decode is squashing
-system.cpu2.decode.DecodedInsts 262355 # Number of instructions handled by decode
-system.cpu2.rename.SquashCycles 1376 # Number of cycles rename is squashing
-system.cpu2.rename.IdleCycles 18679 # Number of cycles rename is idle
-system.cpu2.rename.BlockCycles 27128 # Number of cycles rename is blocking
-system.cpu2.rename.serializeStallCycles 12799 # count of cycles rename stalled for serializing inst
-system.cpu2.rename.RunCycles 76466 # Number of cycles rename is running
-system.cpu2.rename.UnblockCycles 19033 # Number of cycles rename is unblocking
-system.cpu2.rename.RenamedInsts 259235 # Number of instructions processed by rename
-system.cpu2.rename.IQFullEvents 17033 # Number of times rename has blocked due to IQ full
+system.cpu2.fetch.rateDist::total 155507 # Number of instructions fetched each cycle (Total)
+system.cpu2.fetch.branchRate 0.327767 # Number of branch fetches per cycle
+system.cpu2.fetch.rate 1.817232 # Number of inst fetches per cycle
+system.cpu2.decode.IdleCycles 17863 # Number of cycles decode is idle
+system.cpu2.decode.BlockedCycles 52592 # Number of cycles decode is blocked
+system.cpu2.decode.RunCycles 80411 # Number of cycles decode is running
+system.cpu2.decode.UnblockCycles 3267 # Number of cycles decode is unblocking
+system.cpu2.decode.SquashCycles 1364 # Number of cycles decode is squashing
+system.cpu2.decode.DecodedInsts 276853 # Number of instructions handled by decode
+system.cpu2.rename.SquashCycles 1364 # Number of cycles rename is squashing
+system.cpu2.rename.IdleCycles 18564 # Number of cycles rename is idle
+system.cpu2.rename.BlockCycles 24147 # Number of cycles rename is blocking
+system.cpu2.rename.serializeStallCycles 12636 # count of cycles rename stalled for serializing inst
+system.cpu2.rename.RunCycles 81735 # Number of cycles rename is running
+system.cpu2.rename.UnblockCycles 17051 # Number of cycles rename is unblocking
+system.cpu2.rename.RenamedInsts 273529 # Number of instructions processed by rename
+system.cpu2.rename.IQFullEvents 15090 # Number of times rename has blocked due to IQ full
system.cpu2.rename.LQFullEvents 25 # Number of times rename has blocked due to LQ full
system.cpu2.rename.FullRegisterEvents 6 # Number of times there has been no free registers
-system.cpu2.rename.RenamedOperands 182575 # Number of destination operands rename has renamed
-system.cpu2.rename.RenameLookups 494395 # Number of register rename lookups that rename has made
-system.cpu2.rename.int_rename_lookups 386046 # Number of integer rename lookups
-system.cpu2.rename.CommittedMaps 167620 # Number of HB maps that are committed
-system.cpu2.rename.UndoneMaps 14955 # Number of HB maps that are undone due to squashing
-system.cpu2.rename.serializingInsts 1169 # count of serializing insts renamed
-system.cpu2.rename.tempSerializingInsts 1235 # count of temporary serializing insts renamed
-system.cpu2.rename.skidInsts 23554 # count of insts added to the skid buffer
-system.cpu2.memDep0.insertedLoads 71776 # Number of loads inserted to the mem dependence unit.
-system.cpu2.memDep0.insertedStores 33725 # Number of stores inserted to the mem dependence unit.
-system.cpu2.memDep0.conflictingLoads 34298 # Number of conflicting loads.
-system.cpu2.memDep0.conflictingStores 28594 # Number of conflicting stores.
-system.cpu2.iq.iqInstsAdded 214929 # Number of instructions added to the IQ (excludes non-spec)
-system.cpu2.iq.iqNonSpecInstsAdded 6621 # Number of non-speculative instructions added to the IQ
-system.cpu2.iq.iqInstsIssued 216336 # Number of instructions issued
-system.cpu2.iq.iqSquashedInstsIssued 47 # Number of squashed instructions issued
-system.cpu2.iq.iqSquashedInstsExamined 13228 # Number of squashed instructions iterated over during squash; mainly for profiling
-system.cpu2.iq.iqSquashedOperandsExamined 12296 # Number of squashed operands that are examined and possibly removed from graph
-system.cpu2.iq.iqSquashedNonSpecRemoved 674 # Number of squashed non-spec instructions that were removed
-system.cpu2.iq.issued_per_cycle::samples 162543 # Number of insts issued each cycle
-system.cpu2.iq.issued_per_cycle::mean 1.330946 # Number of insts issued each cycle
-system.cpu2.iq.issued_per_cycle::stdev 1.384454 # Number of insts issued each cycle
+system.cpu2.rename.RenamedOperands 193256 # Number of destination operands rename has renamed
+system.cpu2.rename.RenameLookups 525177 # Number of register rename lookups that rename has made
+system.cpu2.rename.int_rename_lookups 409210 # Number of integer rename lookups
+system.cpu2.rename.CommittedMaps 178291 # Number of HB maps that are committed
+system.cpu2.rename.UndoneMaps 14965 # Number of HB maps that are undone due to squashing
+system.cpu2.rename.serializingInsts 1175 # count of serializing insts renamed
+system.cpu2.rename.tempSerializingInsts 1247 # count of temporary serializing insts renamed
+system.cpu2.rename.skidInsts 21746 # count of insts added to the skid buffer
+system.cpu2.memDep0.insertedLoads 76624 # Number of loads inserted to the mem dependence unit.
+system.cpu2.memDep0.insertedStores 36478 # Number of stores inserted to the mem dependence unit.
+system.cpu2.memDep0.conflictingLoads 36325 # Number of conflicting loads.
+system.cpu2.memDep0.conflictingStores 31287 # Number of conflicting stores.
+system.cpu2.iq.iqInstsAdded 227715 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu2.iq.iqNonSpecInstsAdded 6015 # Number of non-speculative instructions added to the IQ
+system.cpu2.iq.iqInstsIssued 228842 # Number of instructions issued
+system.cpu2.iq.iqSquashedInstsIssued 34 # Number of squashed instructions issued
+system.cpu2.iq.iqSquashedInstsExamined 13120 # Number of squashed instructions iterated over during squash; mainly for profiling
+system.cpu2.iq.iqSquashedOperandsExamined 11533 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu2.iq.iqSquashedNonSpecRemoved 647 # Number of squashed non-spec instructions that were removed
+system.cpu2.iq.issued_per_cycle::samples 155507 # Number of insts issued each cycle
+system.cpu2.iq.issued_per_cycle::mean 1.471586 # Number of insts issued each cycle
+system.cpu2.iq.issued_per_cycle::stdev 1.386146 # Number of insts issued each cycle
system.cpu2.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
-system.cpu2.iq.issued_per_cycle::0 68368 42.06% 42.06% # Number of insts issued each cycle
-system.cpu2.iq.issued_per_cycle::1 22285 13.71% 55.77% # Number of insts issued each cycle
-system.cpu2.iq.issued_per_cycle::2 32950 20.27% 76.04% # Number of insts issued each cycle
-system.cpu2.iq.issued_per_cycle::3 32546 20.02% 96.07% # Number of insts issued each cycle
-system.cpu2.iq.issued_per_cycle::4 3459 2.13% 98.19% # Number of insts issued each cycle
-system.cpu2.iq.issued_per_cycle::5 1594 0.98% 99.17% # Number of insts issued each cycle
-system.cpu2.iq.issued_per_cycle::6 888 0.55% 99.72% # Number of insts issued each cycle
-system.cpu2.iq.issued_per_cycle::7 245 0.15% 99.87% # Number of insts issued each cycle
-system.cpu2.iq.issued_per_cycle::8 208 0.13% 100.00% # Number of insts issued each cycle
+system.cpu2.iq.issued_per_cycle::0 57410 36.92% 36.92% # Number of insts issued each cycle
+system.cpu2.iq.issued_per_cycle::1 20565 13.22% 50.14% # Number of insts issued each cycle
+system.cpu2.iq.issued_per_cycle::2 35759 23.00% 73.14% # Number of insts issued each cycle
+system.cpu2.iq.issued_per_cycle::3 35374 22.75% 95.89% # Number of insts issued each cycle
+system.cpu2.iq.issued_per_cycle::4 3397 2.18% 98.07% # Number of insts issued each cycle
+system.cpu2.iq.issued_per_cycle::5 1636 1.05% 99.12% # Number of insts issued each cycle
+system.cpu2.iq.issued_per_cycle::6 896 0.58% 99.70% # Number of insts issued each cycle
+system.cpu2.iq.issued_per_cycle::7 267 0.17% 99.87% # Number of insts issued each cycle
+system.cpu2.iq.issued_per_cycle::8 203 0.13% 100.00% # Number of insts issued each cycle
system.cpu2.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
system.cpu2.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
system.cpu2.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
-system.cpu2.iq.issued_per_cycle::total 162543 # Number of insts issued each cycle
+system.cpu2.iq.issued_per_cycle::total 155507 # Number of insts issued each cycle
system.cpu2.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
-system.cpu2.iq.fu_full::IntAlu 90 24.93% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::IntMult 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::IntDiv 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::FloatAdd 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::FloatCmp 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::FloatCvt 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::FloatMult 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::FloatDiv 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::FloatSqrt 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdAdd 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdAddAcc 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdAlu 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdCmp 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdCvt 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdMisc 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdMult 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdMultAcc 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdShift 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdShiftAcc 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdSqrt 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdFloatAdd 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdFloatAlu 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdFloatCmp 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdFloatCvt 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdFloatDiv 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdFloatMisc 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdFloatMult 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdFloatMultAcc 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::SimdFloatSqrt 0 0.00% 24.93% # attempts to use FU when none available
-system.cpu2.iq.fu_full::MemRead 62 17.17% 42.11% # attempts to use FU when none available
-system.cpu2.iq.fu_full::MemWrite 209 57.89% 100.00% # attempts to use FU when none available
+system.cpu2.iq.fu_full::IntAlu 89 26.57% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::IntMult 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::IntDiv 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::FloatAdd 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::FloatCmp 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::FloatCvt 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::FloatMult 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::FloatDiv 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::FloatSqrt 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdAdd 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdAddAcc 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdAlu 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdCmp 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdCvt 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdMisc 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdMult 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdMultAcc 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdShift 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdShiftAcc 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdSqrt 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdFloatAdd 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdFloatAlu 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdFloatCmp 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdFloatCvt 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdFloatDiv 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdFloatMisc 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdFloatMult 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdFloatMultAcc 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::SimdFloatSqrt 0 0.00% 26.57% # attempts to use FU when none available
+system.cpu2.iq.fu_full::MemRead 37 11.04% 37.61% # attempts to use FU when none available
+system.cpu2.iq.fu_full::MemWrite 209 62.39% 100.00% # attempts to use FU when none available
system.cpu2.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
system.cpu2.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
system.cpu2.iq.FU_type_0::No_OpClass 0 0.00% 0.00% # Type of FU issued
-system.cpu2.iq.FU_type_0::IntAlu 107190 49.55% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::IntMult 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::IntDiv 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::FloatAdd 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::FloatCmp 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::FloatCvt 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::FloatMult 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::FloatDiv 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::FloatSqrt 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdAdd 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdAddAcc 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdAlu 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdCmp 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdCvt 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdMisc 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdMult 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdMultAcc 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdShift 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdShiftAcc 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdSqrt 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdFloatAdd 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdFloatAlu 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdFloatCmp 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdFloatCvt 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdFloatDiv 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdFloatMisc 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdFloatMult 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::SimdFloatSqrt 0 0.00% 49.55% # Type of FU issued
-system.cpu2.iq.FU_type_0::MemRead 76124 35.19% 84.74% # Type of FU issued
-system.cpu2.iq.FU_type_0::MemWrite 33022 15.26% 100.00% # Type of FU issued
+system.cpu2.iq.FU_type_0::IntAlu 112448 49.14% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::IntMult 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::IntDiv 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::FloatAdd 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::FloatCmp 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::FloatCvt 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::FloatMult 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::FloatDiv 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::FloatSqrt 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdAdd 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdAddAcc 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdAlu 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdCmp 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdCvt 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdMisc 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdMult 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdMultAcc 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdShift 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdShiftAcc 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdSqrt 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdFloatAdd 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdFloatAlu 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdFloatCmp 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdFloatCvt 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdFloatDiv 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdFloatMisc 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdFloatMult 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::SimdFloatSqrt 0 0.00% 49.14% # Type of FU issued
+system.cpu2.iq.FU_type_0::MemRead 80571 35.21% 84.35% # Type of FU issued
+system.cpu2.iq.FU_type_0::MemWrite 35823 15.65% 100.00% # Type of FU issued
system.cpu2.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
system.cpu2.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
-system.cpu2.iq.FU_type_0::total 216336 # Type of FU issued
-system.cpu2.iq.rate 1.290657 # Inst issue rate
-system.cpu2.iq.fu_busy_cnt 361 # FU busy when requested
-system.cpu2.iq.fu_busy_rate 0.001669 # FU busy rate (busy events/executed inst)
-system.cpu2.iq.int_inst_queue_reads 595623 # Number of integer instruction queue reads
-system.cpu2.iq.int_inst_queue_writes 234822 # Number of integer instruction queue writes
-system.cpu2.iq.int_inst_queue_wakeup_accesses 214628 # Number of integer instruction queue wakeup accesses
+system.cpu2.iq.FU_type_0::total 228842 # Type of FU issued
+system.cpu2.iq.rate 1.424360 # Inst issue rate
+system.cpu2.iq.fu_busy_cnt 335 # FU busy when requested
+system.cpu2.iq.fu_busy_rate 0.001464 # FU busy rate (busy events/executed inst)
+system.cpu2.iq.int_inst_queue_reads 613560 # Number of integer instruction queue reads
+system.cpu2.iq.int_inst_queue_writes 246890 # Number of integer instruction queue writes
+system.cpu2.iq.int_inst_queue_wakeup_accesses 227101 # Number of integer instruction queue wakeup accesses
system.cpu2.iq.fp_inst_queue_reads 0 # Number of floating instruction queue reads
system.cpu2.iq.fp_inst_queue_writes 0 # Number of floating instruction queue writes
system.cpu2.iq.fp_inst_queue_wakeup_accesses 0 # Number of floating instruction queue wakeup accesses
-system.cpu2.iq.int_alu_accesses 216697 # Number of integer alu accesses
+system.cpu2.iq.int_alu_accesses 229177 # Number of integer alu accesses
system.cpu2.iq.fp_alu_accesses 0 # Number of floating point alu accesses
-system.cpu2.iew.lsq.thread0.forwLoads 28314 # Number of loads that had data forwarded from stores
+system.cpu2.iew.lsq.thread0.forwLoads 31107 # Number of loads that had data forwarded from stores
system.cpu2.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
-system.cpu2.iew.lsq.thread0.squashedLoads 2909 # Number of loads squashed
+system.cpu2.iew.lsq.thread0.squashedLoads 2702 # Number of loads squashed
system.cpu2.iew.lsq.thread0.ignoredResponses 3 # Number of memory responses ignored because the instruction is squashed
-system.cpu2.iew.lsq.thread0.memOrderViolation 44 # Number of memory ordering violations
-system.cpu2.iew.lsq.thread0.squashedStores 1648 # Number of stores squashed
+system.cpu2.iew.lsq.thread0.memOrderViolation 40 # Number of memory ordering violations
+system.cpu2.iew.lsq.thread0.squashedStores 1596 # Number of stores squashed
system.cpu2.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
system.cpu2.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
system.cpu2.iew.lsq.thread0.rescheduledLoads 0 # Number of loads that were rescheduled
system.cpu2.iew.lsq.thread0.cacheBlocked 0 # Number of times an access to memory failed due to the cache being blocked
system.cpu2.iew.iewIdleCycles 0 # Number of cycles IEW is idle
-system.cpu2.iew.iewSquashCycles 1376 # Number of cycles IEW is squashing
-system.cpu2.iew.iewBlockCycles 7567 # Number of cycles IEW is blocking
-system.cpu2.iew.iewUnblockCycles 67 # Number of cycles IEW is unblocking
-system.cpu2.iew.iewDispatchedInsts 256538 # Number of instructions dispatched to IQ
-system.cpu2.iew.iewDispSquashedInsts 192 # Number of squashed instructions skipped by dispatch
-system.cpu2.iew.iewDispLoadInsts 71776 # Number of dispatched load instructions
-system.cpu2.iew.iewDispStoreInsts 33725 # Number of dispatched store instructions
-system.cpu2.iew.iewDispNonSpecInsts 1099 # Number of dispatched non-speculative instructions
-system.cpu2.iew.iewIQFullEvents 39 # Number of times the IQ has become full, causing a stall
+system.cpu2.iew.iewSquashCycles 1364 # Number of cycles IEW is squashing
+system.cpu2.iew.iewBlockCycles 6807 # Number of cycles IEW is blocking
+system.cpu2.iew.iewUnblockCycles 58 # Number of cycles IEW is unblocking
+system.cpu2.iew.iewDispatchedInsts 270837 # Number of instructions dispatched to IQ
+system.cpu2.iew.iewDispSquashedInsts 190 # Number of squashed instructions skipped by dispatch
+system.cpu2.iew.iewDispLoadInsts 76624 # Number of dispatched load instructions
+system.cpu2.iew.iewDispStoreInsts 36478 # Number of dispatched store instructions
+system.cpu2.iew.iewDispNonSpecInsts 1098 # Number of dispatched non-speculative instructions
+system.cpu2.iew.iewIQFullEvents 36 # Number of times the IQ has become full, causing a stall
system.cpu2.iew.iewLSQFullEvents 0 # Number of times the LSQ has become full, causing a stall
-system.cpu2.iew.memOrderViolationEvents 44 # Number of memory order violations
-system.cpu2.iew.predictedTakenIncorrect 464 # Number of branches that were predicted taken incorrectly
-system.cpu2.iew.predictedNotTakenIncorrect 1069 # Number of branches that were predicted not taken incorrectly
-system.cpu2.iew.branchMispredicts 1533 # Number of branch mispredicts detected at execute
-system.cpu2.iew.iewExecutedInsts 215226 # Number of executed instructions
-system.cpu2.iew.iewExecLoadInsts 70571 # Number of load instructions executed
-system.cpu2.iew.iewExecSquashedInsts 1110 # Number of squashed instructions skipped in execute
+system.cpu2.iew.memOrderViolationEvents 40 # Number of memory order violations
+system.cpu2.iew.predictedTakenIncorrect 470 # Number of branches that were predicted taken incorrectly
+system.cpu2.iew.predictedNotTakenIncorrect 1049 # Number of branches that were predicted not taken incorrectly
+system.cpu2.iew.branchMispredicts 1519 # Number of branch mispredicts detected at execute
+system.cpu2.iew.iewExecutedInsts 227700 # Number of executed instructions
+system.cpu2.iew.iewExecLoadInsts 75599 # Number of load instructions executed
+system.cpu2.iew.iewExecSquashedInsts 1142 # Number of squashed instructions skipped in execute
system.cpu2.iew.exec_swp 0 # number of swp insts executed
-system.cpu2.iew.exec_nop 34988 # number of nop insts executed
-system.cpu2.iew.exec_refs 103485 # number of memory reference insts executed
-system.cpu2.iew.exec_branches 44292 # Number of branches executed
-system.cpu2.iew.exec_stores 32914 # Number of stores executed
-system.cpu2.iew.exec_rate 1.284034 # Inst execution rate
-system.cpu2.iew.wb_sent 214935 # cumulative count of insts sent to commit
-system.cpu2.iew.wb_count 214628 # cumulative count of insts written-back
-system.cpu2.iew.wb_producers 121102 # num instructions producing a value
-system.cpu2.iew.wb_consumers 127756 # num instructions consuming a value
+system.cpu2.iew.exec_nop 37107 # number of nop insts executed
+system.cpu2.iew.exec_refs 111310 # number of memory reference insts executed
+system.cpu2.iew.exec_branches 46563 # Number of branches executed
+system.cpu2.iew.exec_stores 35711 # Number of stores executed
+system.cpu2.iew.exec_rate 1.417252 # Inst execution rate
+system.cpu2.iew.wb_sent 227402 # cumulative count of insts sent to commit
+system.cpu2.iew.wb_count 227101 # cumulative count of insts written-back
+system.cpu2.iew.wb_producers 129036 # num instructions producing a value
+system.cpu2.iew.wb_consumers 135804 # num instructions consuming a value
system.cpu2.iew.wb_penalized 0 # number of instrctions required to write to 'other' IQ
-system.cpu2.iew.wb_rate 1.280467 # insts written-back per cycle
-system.cpu2.iew.wb_fanout 0.947916 # average fanout of values written-back
+system.cpu2.iew.wb_rate 1.413524 # insts written-back per cycle
+system.cpu2.iew.wb_fanout 0.950163 # average fanout of values written-back
system.cpu2.iew.wb_penalized_rate 0 # fraction of instructions written-back that wrote to 'other' IQ
-system.cpu2.commit.commitSquashedInsts 14883 # The number of squashed insts skipped by commit
-system.cpu2.commit.commitNonSpecStalls 5947 # The number of times commit has been forced to stall to communicate backwards
-system.cpu2.commit.branchMispredicts 1298 # The number of times a branch was mispredicted
-system.cpu2.commit.committed_per_cycle::samples 152800 # Number of insts commited each cycle
-system.cpu2.commit.committed_per_cycle::mean 1.581165 # Number of insts commited each cycle
-system.cpu2.commit.committed_per_cycle::stdev 2.037167 # Number of insts commited each cycle
+system.cpu2.commit.commitSquashedInsts 14614 # The number of squashed insts skipped by commit
+system.cpu2.commit.commitNonSpecStalls 5368 # The number of times commit has been forced to stall to communicate backwards
+system.cpu2.commit.branchMispredicts 1286 # The number of times a branch was mispredicted
+system.cpu2.commit.committed_per_cycle::samples 152859 # Number of insts commited each cycle
+system.cpu2.commit.committed_per_cycle::mean 1.675858 # Number of insts commited each cycle
+system.cpu2.commit.committed_per_cycle::stdev 2.068536 # Number of insts commited each cycle
system.cpu2.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
-system.cpu2.commit.committed_per_cycle::0 66891 43.78% 43.78% # Number of insts commited each cycle
-system.cpu2.commit.committed_per_cycle::1 41018 26.84% 70.62% # Number of insts commited each cycle
-system.cpu2.commit.committed_per_cycle::2 5166 3.38% 74.00% # Number of insts commited each cycle
-system.cpu2.commit.committed_per_cycle::3 6776 4.43% 78.44% # Number of insts commited each cycle
-system.cpu2.commit.committed_per_cycle::4 1516 0.99% 79.43% # Number of insts commited each cycle
-system.cpu2.commit.committed_per_cycle::5 28304 18.52% 97.95% # Number of insts commited each cycle
-system.cpu2.commit.committed_per_cycle::6 869 0.57% 98.52% # Number of insts commited each cycle
-system.cpu2.commit.committed_per_cycle::7 954 0.62% 99.15% # Number of insts commited each cycle
-system.cpu2.commit.committed_per_cycle::8 1306 0.85% 100.00% # Number of insts commited each cycle
+system.cpu2.commit.committed_per_cycle::0 62472 40.87% 40.87% # Number of insts commited each cycle
+system.cpu2.commit.committed_per_cycle::1 43239 28.29% 69.16% # Number of insts commited each cycle
+system.cpu2.commit.committed_per_cycle::2 5166 3.38% 72.54% # Number of insts commited each cycle
+system.cpu2.commit.committed_per_cycle::3 6247 4.09% 76.62% # Number of insts commited each cycle
+system.cpu2.commit.committed_per_cycle::4 1528 1.00% 77.62% # Number of insts commited each cycle
+system.cpu2.commit.committed_per_cycle::5 31073 20.33% 97.95% # Number of insts commited each cycle
+system.cpu2.commit.committed_per_cycle::6 875 0.57% 98.52% # Number of insts commited each cycle
+system.cpu2.commit.committed_per_cycle::7 941 0.62% 99.14% # Number of insts commited each cycle
+system.cpu2.commit.committed_per_cycle::8 1318 0.86% 100.00% # Number of insts commited each cycle
system.cpu2.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
system.cpu2.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
system.cpu2.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
-system.cpu2.commit.committed_per_cycle::total 152800 # Number of insts commited each cycle
-system.cpu2.commit.committedInsts 241602 # Number of instructions committed
-system.cpu2.commit.committedOps 241602 # Number of ops (including micro ops) committed
+system.cpu2.commit.committed_per_cycle::total 152859 # Number of insts commited each cycle
+system.cpu2.commit.committedInsts 256170 # Number of instructions committed
+system.cpu2.commit.committedOps 256170 # Number of ops (including micro ops) committed
system.cpu2.commit.swp_count 0 # Number of s/w prefetches committed
-system.cpu2.commit.refs 100944 # Number of memory references committed
-system.cpu2.commit.loads 68867 # Number of loads committed
-system.cpu2.commit.membars 5232 # Number of memory barriers committed
-system.cpu2.commit.branches 43270 # Number of branches committed
+system.cpu2.commit.refs 108804 # Number of memory references committed
+system.cpu2.commit.loads 73922 # Number of loads committed
+system.cpu2.commit.membars 4659 # Number of memory barriers committed
+system.cpu2.commit.branches 45502 # Number of branches committed
system.cpu2.commit.fp_insts 0 # Number of committed floating point instructions.
-system.cpu2.commit.int_insts 166336 # Number of committed integer instructions.
+system.cpu2.commit.int_insts 176434 # Number of committed integer instructions.
system.cpu2.commit.function_calls 322 # Number of function calls committed.
-system.cpu2.commit.op_class_0::No_OpClass 34059 14.10% 14.10% # Class of committed instruction
-system.cpu2.commit.op_class_0::IntAlu 101367 41.96% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::IntMult 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::IntDiv 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::FloatAdd 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::FloatCmp 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::FloatCvt 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::FloatMult 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::FloatDiv 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::FloatSqrt 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdAdd 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdAddAcc 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdAlu 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdCmp 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdCvt 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdMisc 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdMult 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdMultAcc 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdShift 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdShiftAcc 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdSqrt 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdFloatAdd 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdFloatAlu 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdFloatCmp 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdFloatCvt 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdFloatDiv 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdFloatMisc 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdFloatMult 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdFloatMultAcc 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::SimdFloatSqrt 0 0.00% 56.05% # Class of committed instruction
-system.cpu2.commit.op_class_0::MemRead 74099 30.67% 86.72% # Class of committed instruction
-system.cpu2.commit.op_class_0::MemWrite 32077 13.28% 100.00% # Class of committed instruction
+system.cpu2.commit.op_class_0::No_OpClass 36297 14.17% 14.17% # Class of committed instruction
+system.cpu2.commit.op_class_0::IntAlu 106410 41.54% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::IntMult 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::IntDiv 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::FloatAdd 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::FloatCmp 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::FloatCvt 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::FloatMult 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::FloatDiv 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::FloatSqrt 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdAdd 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdAddAcc 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdAlu 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdCmp 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdCvt 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdMisc 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdMult 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdMultAcc 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdShift 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdShiftAcc 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdSqrt 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdFloatAdd 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdFloatAlu 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdFloatCmp 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdFloatCvt 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdFloatDiv 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdFloatMisc 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdFloatMult 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdFloatMultAcc 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::SimdFloatSqrt 0 0.00% 55.71% # Class of committed instruction
+system.cpu2.commit.op_class_0::MemRead 78581 30.68% 86.38% # Class of committed instruction
+system.cpu2.commit.op_class_0::MemWrite 34882 13.62% 100.00% # Class of committed instruction
system.cpu2.commit.op_class_0::IprAccess 0 0.00% 100.00% # Class of committed instruction
system.cpu2.commit.op_class_0::InstPrefetch 0 0.00% 100.00% # Class of committed instruction
-system.cpu2.commit.op_class_0::total 241602 # Class of committed instruction
-system.cpu2.commit.bw_lim_events 1306 # number cycles where commit BW limit reached
+system.cpu2.commit.op_class_0::total 256170 # Class of committed instruction
+system.cpu2.commit.bw_lim_events 1318 # number cycles where commit BW limit reached
system.cpu2.commit.bw_limited 0 # number of insts not committed due to BW limits
-system.cpu2.rob.rob_reads 407392 # The number of ROB reads
-system.cpu2.rob.rob_writes 515662 # The number of ROB writes
-system.cpu2.timesIdled 212 # Number of times that the entire CPU went into an idle state and unscheduled itself
-system.cpu2.idleCycles 5074 # Total number of cycles that the CPU has spent unscheduled due to idling
-system.cpu2.quiesceCycles 43660 # Total number of cycles that CPU has spent quiesced or waiting for an interrupt
-system.cpu2.committedInsts 202311 # Number of Instructions Simulated
-system.cpu2.committedOps 202311 # Number of Ops (including micro ops) Simulated
-system.cpu2.cpi 0.828512 # CPI: Cycles Per Instruction
-system.cpu2.cpi_total 0.828512 # CPI: Total CPI of All Threads
-system.cpu2.ipc 1.206984 # IPC: Instructions Per Cycle
-system.cpu2.ipc_total 1.206984 # IPC: Total IPC of All Threads
-system.cpu2.int_regfile_reads 370344 # number of integer regfile reads
-system.cpu2.int_regfile_writes 173891 # number of integer regfile writes
+system.cpu2.rob.rob_reads 421739 # The number of ROB reads
+system.cpu2.rob.rob_writes 544215 # The number of ROB writes
+system.cpu2.timesIdled 214 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu2.idleCycles 5156 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu2.quiesceCycles 43676 # Total number of cycles that CPU has spent quiesced or waiting for an interrupt
+system.cpu2.committedInsts 215214 # Number of Instructions Simulated
+system.cpu2.committedOps 215214 # Number of Ops (including micro ops) Simulated
+system.cpu2.cpi 0.746527 # CPI: Cycles Per Instruction
+system.cpu2.cpi_total 0.746527 # CPI: Total CPI of All Threads
+system.cpu2.ipc 1.339537 # IPC: Instructions Per Cycle
+system.cpu2.ipc_total 1.339537 # IPC: Total IPC of All Threads
+system.cpu2.int_regfile_reads 394013 # number of integer regfile reads
+system.cpu2.int_regfile_writes 184721 # number of integer regfile writes
system.cpu2.fp_regfile_writes 64 # number of floating regfile writes
-system.cpu2.misc_regfile_reads 105089 # number of misc regfile reads
+system.cpu2.misc_regfile_reads 112958 # number of misc regfile reads
system.cpu2.misc_regfile_writes 648 # number of misc regfile writes
-system.cpu2.icache.tags.replacements 378 # number of replacements
-system.cpu2.icache.tags.tagsinuse 84.908829 # Cycle average of tags in use
-system.cpu2.icache.tags.total_refs 21796 # Total number of references to valid blocks.
-system.cpu2.icache.tags.sampled_refs 490 # Sample count of references to valid blocks.
-system.cpu2.icache.tags.avg_refs 44.481633 # Average number of references to valid blocks.
+system.cpu2.icache.tags.replacements 380 # number of replacements
+system.cpu2.icache.tags.tagsinuse 85.367642 # Cycle average of tags in use
+system.cpu2.icache.tags.total_refs 20592 # Total number of references to valid blocks.
+system.cpu2.icache.tags.sampled_refs 493 # Sample count of references to valid blocks.
+system.cpu2.icache.tags.avg_refs 41.768763 # Average number of references to valid blocks.
system.cpu2.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu2.icache.tags.occ_blocks::cpu2.inst 84.908829 # Average occupied blocks per requestor
-system.cpu2.icache.tags.occ_percent::cpu2.inst 0.165838 # Average percentage of cache occupancy
-system.cpu2.icache.tags.occ_percent::total 0.165838 # Average percentage of cache occupancy
-system.cpu2.icache.tags.occ_task_id_blocks::1024 112 # Occupied blocks per task id
+system.cpu2.icache.tags.occ_blocks::cpu2.inst 85.367642 # Average occupied blocks per requestor
+system.cpu2.icache.tags.occ_percent::cpu2.inst 0.166734 # Average percentage of cache occupancy
+system.cpu2.icache.tags.occ_percent::total 0.166734 # Average percentage of cache occupancy
+system.cpu2.icache.tags.occ_task_id_blocks::1024 113 # Occupied blocks per task id
system.cpu2.icache.tags.age_task_id_blocks_1024::0 11 # Occupied blocks per task id
-system.cpu2.icache.tags.age_task_id_blocks_1024::1 101 # Occupied blocks per task id
-system.cpu2.icache.tags.occ_task_id_percent::1024 0.218750 # Percentage of cache occupancy per task id
-system.cpu2.icache.tags.tag_accesses 22856 # Number of tag accesses
-system.cpu2.icache.tags.data_accesses 22856 # Number of data accesses
-system.cpu2.icache.ReadReq_hits::cpu2.inst 21796 # number of ReadReq hits
-system.cpu2.icache.ReadReq_hits::total 21796 # number of ReadReq hits
-system.cpu2.icache.demand_hits::cpu2.inst 21796 # number of demand (read+write) hits
-system.cpu2.icache.demand_hits::total 21796 # number of demand (read+write) hits
-system.cpu2.icache.overall_hits::cpu2.inst 21796 # number of overall hits
-system.cpu2.icache.overall_hits::total 21796 # number of overall hits
-system.cpu2.icache.ReadReq_misses::cpu2.inst 570 # number of ReadReq misses
-system.cpu2.icache.ReadReq_misses::total 570 # number of ReadReq misses
-system.cpu2.icache.demand_misses::cpu2.inst 570 # number of demand (read+write) misses
-system.cpu2.icache.demand_misses::total 570 # number of demand (read+write) misses
-system.cpu2.icache.overall_misses::cpu2.inst 570 # number of overall misses
-system.cpu2.icache.overall_misses::total 570 # number of overall misses
-system.cpu2.icache.ReadReq_miss_latency::cpu2.inst 13348494 # number of ReadReq miss cycles
-system.cpu2.icache.ReadReq_miss_latency::total 13348494 # number of ReadReq miss cycles
-system.cpu2.icache.demand_miss_latency::cpu2.inst 13348494 # number of demand (read+write) miss cycles
-system.cpu2.icache.demand_miss_latency::total 13348494 # number of demand (read+write) miss cycles
-system.cpu2.icache.overall_miss_latency::cpu2.inst 13348494 # number of overall miss cycles
-system.cpu2.icache.overall_miss_latency::total 13348494 # number of overall miss cycles
-system.cpu2.icache.ReadReq_accesses::cpu2.inst 22366 # number of ReadReq accesses(hits+misses)
-system.cpu2.icache.ReadReq_accesses::total 22366 # number of ReadReq accesses(hits+misses)
-system.cpu2.icache.demand_accesses::cpu2.inst 22366 # number of demand (read+write) accesses
-system.cpu2.icache.demand_accesses::total 22366 # number of demand (read+write) accesses
-system.cpu2.icache.overall_accesses::cpu2.inst 22366 # number of overall (read+write) accesses
-system.cpu2.icache.overall_accesses::total 22366 # number of overall (read+write) accesses
-system.cpu2.icache.ReadReq_miss_rate::cpu2.inst 0.025485 # miss rate for ReadReq accesses
-system.cpu2.icache.ReadReq_miss_rate::total 0.025485 # miss rate for ReadReq accesses
-system.cpu2.icache.demand_miss_rate::cpu2.inst 0.025485 # miss rate for demand accesses
-system.cpu2.icache.demand_miss_rate::total 0.025485 # miss rate for demand accesses
-system.cpu2.icache.overall_miss_rate::cpu2.inst 0.025485 # miss rate for overall accesses
-system.cpu2.icache.overall_miss_rate::total 0.025485 # miss rate for overall accesses
-system.cpu2.icache.ReadReq_avg_miss_latency::cpu2.inst 23418.410526 # average ReadReq miss latency
-system.cpu2.icache.ReadReq_avg_miss_latency::total 23418.410526 # average ReadReq miss latency
-system.cpu2.icache.demand_avg_miss_latency::cpu2.inst 23418.410526 # average overall miss latency
-system.cpu2.icache.demand_avg_miss_latency::total 23418.410526 # average overall miss latency
-system.cpu2.icache.overall_avg_miss_latency::cpu2.inst 23418.410526 # average overall miss latency
-system.cpu2.icache.overall_avg_miss_latency::total 23418.410526 # average overall miss latency
+system.cpu2.icache.tags.age_task_id_blocks_1024::1 102 # Occupied blocks per task id
+system.cpu2.icache.tags.occ_task_id_percent::1024 0.220703 # Percentage of cache occupancy per task id
+system.cpu2.icache.tags.tag_accesses 21662 # Number of tag accesses
+system.cpu2.icache.tags.data_accesses 21662 # Number of data accesses
+system.cpu2.icache.ReadReq_hits::cpu2.inst 20592 # number of ReadReq hits
+system.cpu2.icache.ReadReq_hits::total 20592 # number of ReadReq hits
+system.cpu2.icache.demand_hits::cpu2.inst 20592 # number of demand (read+write) hits
+system.cpu2.icache.demand_hits::total 20592 # number of demand (read+write) hits
+system.cpu2.icache.overall_hits::cpu2.inst 20592 # number of overall hits
+system.cpu2.icache.overall_hits::total 20592 # number of overall hits
+system.cpu2.icache.ReadReq_misses::cpu2.inst 577 # number of ReadReq misses
+system.cpu2.icache.ReadReq_misses::total 577 # number of ReadReq misses
+system.cpu2.icache.demand_misses::cpu2.inst 577 # number of demand (read+write) misses
+system.cpu2.icache.demand_misses::total 577 # number of demand (read+write) misses
+system.cpu2.icache.overall_misses::cpu2.inst 577 # number of overall misses
+system.cpu2.icache.overall_misses::total 577 # number of overall misses
+system.cpu2.icache.ReadReq_miss_latency::cpu2.inst 13065992 # number of ReadReq miss cycles
+system.cpu2.icache.ReadReq_miss_latency::total 13065992 # number of ReadReq miss cycles
+system.cpu2.icache.demand_miss_latency::cpu2.inst 13065992 # number of demand (read+write) miss cycles
+system.cpu2.icache.demand_miss_latency::total 13065992 # number of demand (read+write) miss cycles
+system.cpu2.icache.overall_miss_latency::cpu2.inst 13065992 # number of overall miss cycles
+system.cpu2.icache.overall_miss_latency::total 13065992 # number of overall miss cycles
+system.cpu2.icache.ReadReq_accesses::cpu2.inst 21169 # number of ReadReq accesses(hits+misses)
+system.cpu2.icache.ReadReq_accesses::total 21169 # number of ReadReq accesses(hits+misses)
+system.cpu2.icache.demand_accesses::cpu2.inst 21169 # number of demand (read+write) accesses
+system.cpu2.icache.demand_accesses::total 21169 # number of demand (read+write) accesses
+system.cpu2.icache.overall_accesses::cpu2.inst 21169 # number of overall (read+write) accesses
+system.cpu2.icache.overall_accesses::total 21169 # number of overall (read+write) accesses
+system.cpu2.icache.ReadReq_miss_rate::cpu2.inst 0.027257 # miss rate for ReadReq accesses
+system.cpu2.icache.ReadReq_miss_rate::total 0.027257 # miss rate for ReadReq accesses
+system.cpu2.icache.demand_miss_rate::cpu2.inst 0.027257 # miss rate for demand accesses
+system.cpu2.icache.demand_miss_rate::total 0.027257 # miss rate for demand accesses
+system.cpu2.icache.overall_miss_rate::cpu2.inst 0.027257 # miss rate for overall accesses
+system.cpu2.icache.overall_miss_rate::total 0.027257 # miss rate for overall accesses
+system.cpu2.icache.ReadReq_avg_miss_latency::cpu2.inst 22644.700173 # average ReadReq miss latency
+system.cpu2.icache.ReadReq_avg_miss_latency::total 22644.700173 # average ReadReq miss latency
+system.cpu2.icache.demand_avg_miss_latency::cpu2.inst 22644.700173 # average overall miss latency
+system.cpu2.icache.demand_avg_miss_latency::total 22644.700173 # average overall miss latency
+system.cpu2.icache.overall_avg_miss_latency::cpu2.inst 22644.700173 # average overall miss latency
+system.cpu2.icache.overall_avg_miss_latency::total 22644.700173 # average overall miss latency
system.cpu2.icache.blocked_cycles::no_mshrs 128 # number of cycles access was blocked
system.cpu2.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu2.icache.blocked::no_mshrs 3 # number of cycles access was blocked
@@ -2137,111 +2159,112 @@ system.cpu2.icache.avg_blocked_cycles::no_mshrs 42.666667
system.cpu2.icache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu2.icache.fast_writes 0 # number of fast writes performed
system.cpu2.icache.cache_copies 0 # number of cache copies performed
-system.cpu2.icache.ReadReq_mshr_hits::cpu2.inst 80 # number of ReadReq MSHR hits
-system.cpu2.icache.ReadReq_mshr_hits::total 80 # number of ReadReq MSHR hits
-system.cpu2.icache.demand_mshr_hits::cpu2.inst 80 # number of demand (read+write) MSHR hits
-system.cpu2.icache.demand_mshr_hits::total 80 # number of demand (read+write) MSHR hits
-system.cpu2.icache.overall_mshr_hits::cpu2.inst 80 # number of overall MSHR hits
-system.cpu2.icache.overall_mshr_hits::total 80 # number of overall MSHR hits
-system.cpu2.icache.ReadReq_mshr_misses::cpu2.inst 490 # number of ReadReq MSHR misses
-system.cpu2.icache.ReadReq_mshr_misses::total 490 # number of ReadReq MSHR misses
-system.cpu2.icache.demand_mshr_misses::cpu2.inst 490 # number of demand (read+write) MSHR misses
-system.cpu2.icache.demand_mshr_misses::total 490 # number of demand (read+write) MSHR misses
-system.cpu2.icache.overall_mshr_misses::cpu2.inst 490 # number of overall MSHR misses
-system.cpu2.icache.overall_mshr_misses::total 490 # number of overall MSHR misses
-system.cpu2.icache.ReadReq_mshr_miss_latency::cpu2.inst 10380255 # number of ReadReq MSHR miss cycles
-system.cpu2.icache.ReadReq_mshr_miss_latency::total 10380255 # number of ReadReq MSHR miss cycles
-system.cpu2.icache.demand_mshr_miss_latency::cpu2.inst 10380255 # number of demand (read+write) MSHR miss cycles
-system.cpu2.icache.demand_mshr_miss_latency::total 10380255 # number of demand (read+write) MSHR miss cycles
-system.cpu2.icache.overall_mshr_miss_latency::cpu2.inst 10380255 # number of overall MSHR miss cycles
-system.cpu2.icache.overall_mshr_miss_latency::total 10380255 # number of overall MSHR miss cycles
-system.cpu2.icache.ReadReq_mshr_miss_rate::cpu2.inst 0.021908 # mshr miss rate for ReadReq accesses
-system.cpu2.icache.ReadReq_mshr_miss_rate::total 0.021908 # mshr miss rate for ReadReq accesses
-system.cpu2.icache.demand_mshr_miss_rate::cpu2.inst 0.021908 # mshr miss rate for demand accesses
-system.cpu2.icache.demand_mshr_miss_rate::total 0.021908 # mshr miss rate for demand accesses
-system.cpu2.icache.overall_mshr_miss_rate::cpu2.inst 0.021908 # mshr miss rate for overall accesses
-system.cpu2.icache.overall_mshr_miss_rate::total 0.021908 # mshr miss rate for overall accesses
-system.cpu2.icache.ReadReq_avg_mshr_miss_latency::cpu2.inst 21184.193878 # average ReadReq mshr miss latency
-system.cpu2.icache.ReadReq_avg_mshr_miss_latency::total 21184.193878 # average ReadReq mshr miss latency
-system.cpu2.icache.demand_avg_mshr_miss_latency::cpu2.inst 21184.193878 # average overall mshr miss latency
-system.cpu2.icache.demand_avg_mshr_miss_latency::total 21184.193878 # average overall mshr miss latency
-system.cpu2.icache.overall_avg_mshr_miss_latency::cpu2.inst 21184.193878 # average overall mshr miss latency
-system.cpu2.icache.overall_avg_mshr_miss_latency::total 21184.193878 # average overall mshr miss latency
+system.cpu2.icache.ReadReq_mshr_hits::cpu2.inst 84 # number of ReadReq MSHR hits
+system.cpu2.icache.ReadReq_mshr_hits::total 84 # number of ReadReq MSHR hits
+system.cpu2.icache.demand_mshr_hits::cpu2.inst 84 # number of demand (read+write) MSHR hits
+system.cpu2.icache.demand_mshr_hits::total 84 # number of demand (read+write) MSHR hits
+system.cpu2.icache.overall_mshr_hits::cpu2.inst 84 # number of overall MSHR hits
+system.cpu2.icache.overall_mshr_hits::total 84 # number of overall MSHR hits
+system.cpu2.icache.ReadReq_mshr_misses::cpu2.inst 493 # number of ReadReq MSHR misses
+system.cpu2.icache.ReadReq_mshr_misses::total 493 # number of ReadReq MSHR misses
+system.cpu2.icache.demand_mshr_misses::cpu2.inst 493 # number of demand (read+write) MSHR misses
+system.cpu2.icache.demand_mshr_misses::total 493 # number of demand (read+write) MSHR misses
+system.cpu2.icache.overall_mshr_misses::cpu2.inst 493 # number of overall MSHR misses
+system.cpu2.icache.overall_mshr_misses::total 493 # number of overall MSHR misses
+system.cpu2.icache.ReadReq_mshr_miss_latency::cpu2.inst 10294257 # number of ReadReq MSHR miss cycles
+system.cpu2.icache.ReadReq_mshr_miss_latency::total 10294257 # number of ReadReq MSHR miss cycles
+system.cpu2.icache.demand_mshr_miss_latency::cpu2.inst 10294257 # number of demand (read+write) MSHR miss cycles
+system.cpu2.icache.demand_mshr_miss_latency::total 10294257 # number of demand (read+write) MSHR miss cycles
+system.cpu2.icache.overall_mshr_miss_latency::cpu2.inst 10294257 # number of overall MSHR miss cycles
+system.cpu2.icache.overall_mshr_miss_latency::total 10294257 # number of overall MSHR miss cycles
+system.cpu2.icache.ReadReq_mshr_miss_rate::cpu2.inst 0.023289 # mshr miss rate for ReadReq accesses
+system.cpu2.icache.ReadReq_mshr_miss_rate::total 0.023289 # mshr miss rate for ReadReq accesses
+system.cpu2.icache.demand_mshr_miss_rate::cpu2.inst 0.023289 # mshr miss rate for demand accesses
+system.cpu2.icache.demand_mshr_miss_rate::total 0.023289 # mshr miss rate for demand accesses
+system.cpu2.icache.overall_mshr_miss_rate::cpu2.inst 0.023289 # mshr miss rate for overall accesses
+system.cpu2.icache.overall_mshr_miss_rate::total 0.023289 # mshr miss rate for overall accesses
+system.cpu2.icache.ReadReq_avg_mshr_miss_latency::cpu2.inst 20880.845842 # average ReadReq mshr miss latency
+system.cpu2.icache.ReadReq_avg_mshr_miss_latency::total 20880.845842 # average ReadReq mshr miss latency
+system.cpu2.icache.demand_avg_mshr_miss_latency::cpu2.inst 20880.845842 # average overall mshr miss latency
+system.cpu2.icache.demand_avg_mshr_miss_latency::total 20880.845842 # average overall mshr miss latency
+system.cpu2.icache.overall_avg_mshr_miss_latency::cpu2.inst 20880.845842 # average overall mshr miss latency
+system.cpu2.icache.overall_avg_mshr_miss_latency::total 20880.845842 # average overall mshr miss latency
system.cpu2.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu2.dcache.tags.replacements 0 # number of replacements
-system.cpu2.dcache.tags.tagsinuse 25.893249 # Cycle average of tags in use
-system.cpu2.dcache.tags.total_refs 38186 # Total number of references to valid blocks.
-system.cpu2.dcache.tags.sampled_refs 28 # Sample count of references to valid blocks.
-system.cpu2.dcache.tags.avg_refs 1363.785714 # Average number of references to valid blocks.
+system.cpu2.dcache.tags.tagsinuse 25.876504 # Cycle average of tags in use
+system.cpu2.dcache.tags.total_refs 41118 # Total number of references to valid blocks.
+system.cpu2.dcache.tags.sampled_refs 29 # Sample count of references to valid blocks.
+system.cpu2.dcache.tags.avg_refs 1417.862069 # Average number of references to valid blocks.
system.cpu2.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu2.dcache.tags.occ_blocks::cpu2.data 25.893249 # Average occupied blocks per requestor
-system.cpu2.dcache.tags.occ_percent::cpu2.data 0.050573 # Average percentage of cache occupancy
-system.cpu2.dcache.tags.occ_percent::total 0.050573 # Average percentage of cache occupancy
-system.cpu2.dcache.tags.occ_task_id_blocks::1024 28 # Occupied blocks per task id
+system.cpu2.dcache.tags.occ_blocks::cpu2.data 25.876504 # Average occupied blocks per requestor
+system.cpu2.dcache.tags.occ_percent::cpu2.data 0.050540 # Average percentage of cache occupancy
+system.cpu2.dcache.tags.occ_percent::total 0.050540 # Average percentage of cache occupancy
+system.cpu2.dcache.tags.occ_task_id_blocks::1024 29 # Occupied blocks per task id
+system.cpu2.dcache.tags.age_task_id_blocks_1024::0 1 # Occupied blocks per task id
system.cpu2.dcache.tags.age_task_id_blocks_1024::1 28 # Occupied blocks per task id
-system.cpu2.dcache.tags.occ_task_id_percent::1024 0.054688 # Percentage of cache occupancy per task id
-system.cpu2.dcache.tags.tag_accesses 297518 # Number of tag accesses
-system.cpu2.dcache.tags.data_accesses 297518 # Number of data accesses
-system.cpu2.dcache.ReadReq_hits::cpu2.data 41817 # number of ReadReq hits
-system.cpu2.dcache.ReadReq_hits::total 41817 # number of ReadReq hits
-system.cpu2.dcache.WriteReq_hits::cpu2.data 31862 # number of WriteReq hits
-system.cpu2.dcache.WriteReq_hits::total 31862 # number of WriteReq hits
+system.cpu2.dcache.tags.occ_task_id_percent::1024 0.056641 # Percentage of cache occupancy per task id
+system.cpu2.dcache.tags.tag_accesses 317671 # Number of tag accesses
+system.cpu2.dcache.tags.data_accesses 317671 # Number of data accesses
+system.cpu2.dcache.ReadReq_hits::cpu2.data 44059 # number of ReadReq hits
+system.cpu2.dcache.ReadReq_hits::total 44059 # number of ReadReq hits
+system.cpu2.dcache.WriteReq_hits::cpu2.data 34671 # number of WriteReq hits
+system.cpu2.dcache.WriteReq_hits::total 34671 # number of WriteReq hits
system.cpu2.dcache.SwapReq_hits::cpu2.data 14 # number of SwapReq hits
system.cpu2.dcache.SwapReq_hits::total 14 # number of SwapReq hits
-system.cpu2.dcache.demand_hits::cpu2.data 73679 # number of demand (read+write) hits
-system.cpu2.dcache.demand_hits::total 73679 # number of demand (read+write) hits
-system.cpu2.dcache.overall_hits::cpu2.data 73679 # number of overall hits
-system.cpu2.dcache.overall_hits::total 73679 # number of overall hits
-system.cpu2.dcache.ReadReq_misses::cpu2.data 422 # number of ReadReq misses
-system.cpu2.dcache.ReadReq_misses::total 422 # number of ReadReq misses
-system.cpu2.dcache.WriteReq_misses::cpu2.data 146 # number of WriteReq misses
-system.cpu2.dcache.WriteReq_misses::total 146 # number of WriteReq misses
-system.cpu2.dcache.SwapReq_misses::cpu2.data 55 # number of SwapReq misses
-system.cpu2.dcache.SwapReq_misses::total 55 # number of SwapReq misses
-system.cpu2.dcache.demand_misses::cpu2.data 568 # number of demand (read+write) misses
-system.cpu2.dcache.demand_misses::total 568 # number of demand (read+write) misses
-system.cpu2.dcache.overall_misses::cpu2.data 568 # number of overall misses
-system.cpu2.dcache.overall_misses::total 568 # number of overall misses
-system.cpu2.dcache.ReadReq_miss_latency::cpu2.data 7291559 # number of ReadReq miss cycles
-system.cpu2.dcache.ReadReq_miss_latency::total 7291559 # number of ReadReq miss cycles
-system.cpu2.dcache.WriteReq_miss_latency::cpu2.data 3658011 # number of WriteReq miss cycles
-system.cpu2.dcache.WriteReq_miss_latency::total 3658011 # number of WriteReq miss cycles
-system.cpu2.dcache.SwapReq_miss_latency::cpu2.data 499506 # number of SwapReq miss cycles
-system.cpu2.dcache.SwapReq_miss_latency::total 499506 # number of SwapReq miss cycles
-system.cpu2.dcache.demand_miss_latency::cpu2.data 10949570 # number of demand (read+write) miss cycles
-system.cpu2.dcache.demand_miss_latency::total 10949570 # number of demand (read+write) miss cycles
-system.cpu2.dcache.overall_miss_latency::cpu2.data 10949570 # number of overall miss cycles
-system.cpu2.dcache.overall_miss_latency::total 10949570 # number of overall miss cycles
-system.cpu2.dcache.ReadReq_accesses::cpu2.data 42239 # number of ReadReq accesses(hits+misses)
-system.cpu2.dcache.ReadReq_accesses::total 42239 # number of ReadReq accesses(hits+misses)
-system.cpu2.dcache.WriteReq_accesses::cpu2.data 32008 # number of WriteReq accesses(hits+misses)
-system.cpu2.dcache.WriteReq_accesses::total 32008 # number of WriteReq accesses(hits+misses)
-system.cpu2.dcache.SwapReq_accesses::cpu2.data 69 # number of SwapReq accesses(hits+misses)
-system.cpu2.dcache.SwapReq_accesses::total 69 # number of SwapReq accesses(hits+misses)
-system.cpu2.dcache.demand_accesses::cpu2.data 74247 # number of demand (read+write) accesses
-system.cpu2.dcache.demand_accesses::total 74247 # number of demand (read+write) accesses
-system.cpu2.dcache.overall_accesses::cpu2.data 74247 # number of overall (read+write) accesses
-system.cpu2.dcache.overall_accesses::total 74247 # number of overall (read+write) accesses
-system.cpu2.dcache.ReadReq_miss_rate::cpu2.data 0.009991 # miss rate for ReadReq accesses
-system.cpu2.dcache.ReadReq_miss_rate::total 0.009991 # miss rate for ReadReq accesses
-system.cpu2.dcache.WriteReq_miss_rate::cpu2.data 0.004561 # miss rate for WriteReq accesses
-system.cpu2.dcache.WriteReq_miss_rate::total 0.004561 # miss rate for WriteReq accesses
-system.cpu2.dcache.SwapReq_miss_rate::cpu2.data 0.797101 # miss rate for SwapReq accesses
-system.cpu2.dcache.SwapReq_miss_rate::total 0.797101 # miss rate for SwapReq accesses
-system.cpu2.dcache.demand_miss_rate::cpu2.data 0.007650 # miss rate for demand accesses
-system.cpu2.dcache.demand_miss_rate::total 0.007650 # miss rate for demand accesses
-system.cpu2.dcache.overall_miss_rate::cpu2.data 0.007650 # miss rate for overall accesses
-system.cpu2.dcache.overall_miss_rate::total 0.007650 # miss rate for overall accesses
-system.cpu2.dcache.ReadReq_avg_miss_latency::cpu2.data 17278.575829 # average ReadReq miss latency
-system.cpu2.dcache.ReadReq_avg_miss_latency::total 17278.575829 # average ReadReq miss latency
-system.cpu2.dcache.WriteReq_avg_miss_latency::cpu2.data 25054.869863 # average WriteReq miss latency
-system.cpu2.dcache.WriteReq_avg_miss_latency::total 25054.869863 # average WriteReq miss latency
-system.cpu2.dcache.SwapReq_avg_miss_latency::cpu2.data 9081.927273 # average SwapReq miss latency
-system.cpu2.dcache.SwapReq_avg_miss_latency::total 9081.927273 # average SwapReq miss latency
-system.cpu2.dcache.demand_avg_miss_latency::cpu2.data 19277.411972 # average overall miss latency
-system.cpu2.dcache.demand_avg_miss_latency::total 19277.411972 # average overall miss latency
-system.cpu2.dcache.overall_avg_miss_latency::cpu2.data 19277.411972 # average overall miss latency
-system.cpu2.dcache.overall_avg_miss_latency::total 19277.411972 # average overall miss latency
+system.cpu2.dcache.demand_hits::cpu2.data 78730 # number of demand (read+write) hits
+system.cpu2.dcache.demand_hits::total 78730 # number of demand (read+write) hits
+system.cpu2.dcache.overall_hits::cpu2.data 78730 # number of overall hits
+system.cpu2.dcache.overall_hits::total 78730 # number of overall hits
+system.cpu2.dcache.ReadReq_misses::cpu2.data 415 # number of ReadReq misses
+system.cpu2.dcache.ReadReq_misses::total 415 # number of ReadReq misses
+system.cpu2.dcache.WriteReq_misses::cpu2.data 148 # number of WriteReq misses
+system.cpu2.dcache.WriteReq_misses::total 148 # number of WriteReq misses
+system.cpu2.dcache.SwapReq_misses::cpu2.data 49 # number of SwapReq misses
+system.cpu2.dcache.SwapReq_misses::total 49 # number of SwapReq misses
+system.cpu2.dcache.demand_misses::cpu2.data 563 # number of demand (read+write) misses
+system.cpu2.dcache.demand_misses::total 563 # number of demand (read+write) misses
+system.cpu2.dcache.overall_misses::cpu2.data 563 # number of overall misses
+system.cpu2.dcache.overall_misses::total 563 # number of overall misses
+system.cpu2.dcache.ReadReq_miss_latency::cpu2.data 7441548 # number of ReadReq miss cycles
+system.cpu2.dcache.ReadReq_miss_latency::total 7441548 # number of ReadReq miss cycles
+system.cpu2.dcache.WriteReq_miss_latency::cpu2.data 3663511 # number of WriteReq miss cycles
+system.cpu2.dcache.WriteReq_miss_latency::total 3663511 # number of WriteReq miss cycles
+system.cpu2.dcache.SwapReq_miss_latency::cpu2.data 451006 # number of SwapReq miss cycles
+system.cpu2.dcache.SwapReq_miss_latency::total 451006 # number of SwapReq miss cycles
+system.cpu2.dcache.demand_miss_latency::cpu2.data 11105059 # number of demand (read+write) miss cycles
+system.cpu2.dcache.demand_miss_latency::total 11105059 # number of demand (read+write) miss cycles
+system.cpu2.dcache.overall_miss_latency::cpu2.data 11105059 # number of overall miss cycles
+system.cpu2.dcache.overall_miss_latency::total 11105059 # number of overall miss cycles
+system.cpu2.dcache.ReadReq_accesses::cpu2.data 44474 # number of ReadReq accesses(hits+misses)
+system.cpu2.dcache.ReadReq_accesses::total 44474 # number of ReadReq accesses(hits+misses)
+system.cpu2.dcache.WriteReq_accesses::cpu2.data 34819 # number of WriteReq accesses(hits+misses)
+system.cpu2.dcache.WriteReq_accesses::total 34819 # number of WriteReq accesses(hits+misses)
+system.cpu2.dcache.SwapReq_accesses::cpu2.data 63 # number of SwapReq accesses(hits+misses)
+system.cpu2.dcache.SwapReq_accesses::total 63 # number of SwapReq accesses(hits+misses)
+system.cpu2.dcache.demand_accesses::cpu2.data 79293 # number of demand (read+write) accesses
+system.cpu2.dcache.demand_accesses::total 79293 # number of demand (read+write) accesses
+system.cpu2.dcache.overall_accesses::cpu2.data 79293 # number of overall (read+write) accesses
+system.cpu2.dcache.overall_accesses::total 79293 # number of overall (read+write) accesses
+system.cpu2.dcache.ReadReq_miss_rate::cpu2.data 0.009331 # miss rate for ReadReq accesses
+system.cpu2.dcache.ReadReq_miss_rate::total 0.009331 # miss rate for ReadReq accesses
+system.cpu2.dcache.WriteReq_miss_rate::cpu2.data 0.004251 # miss rate for WriteReq accesses
+system.cpu2.dcache.WriteReq_miss_rate::total 0.004251 # miss rate for WriteReq accesses
+system.cpu2.dcache.SwapReq_miss_rate::cpu2.data 0.777778 # miss rate for SwapReq accesses
+system.cpu2.dcache.SwapReq_miss_rate::total 0.777778 # miss rate for SwapReq accesses
+system.cpu2.dcache.demand_miss_rate::cpu2.data 0.007100 # miss rate for demand accesses
+system.cpu2.dcache.demand_miss_rate::total 0.007100 # miss rate for demand accesses
+system.cpu2.dcache.overall_miss_rate::cpu2.data 0.007100 # miss rate for overall accesses
+system.cpu2.dcache.overall_miss_rate::total 0.007100 # miss rate for overall accesses
+system.cpu2.dcache.ReadReq_avg_miss_latency::cpu2.data 17931.440964 # average ReadReq miss latency
+system.cpu2.dcache.ReadReq_avg_miss_latency::total 17931.440964 # average ReadReq miss latency
+system.cpu2.dcache.WriteReq_avg_miss_latency::cpu2.data 24753.452703 # average WriteReq miss latency
+system.cpu2.dcache.WriteReq_avg_miss_latency::total 24753.452703 # average WriteReq miss latency
+system.cpu2.dcache.SwapReq_avg_miss_latency::cpu2.data 9204.204082 # average SwapReq miss latency
+system.cpu2.dcache.SwapReq_avg_miss_latency::total 9204.204082 # average SwapReq miss latency
+system.cpu2.dcache.demand_avg_miss_latency::cpu2.data 19724.793961 # average overall miss latency
+system.cpu2.dcache.demand_avg_miss_latency::total 19724.793961 # average overall miss latency
+system.cpu2.dcache.overall_avg_miss_latency::cpu2.data 19724.793961 # average overall miss latency
+system.cpu2.dcache.overall_avg_miss_latency::total 19724.793961 # average overall miss latency
system.cpu2.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu2.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu2.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -2250,405 +2273,405 @@ system.cpu2.dcache.avg_blocked_cycles::no_mshrs nan
system.cpu2.dcache.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu2.dcache.fast_writes 0 # number of fast writes performed
system.cpu2.dcache.cache_copies 0 # number of cache copies performed
-system.cpu2.dcache.ReadReq_mshr_hits::cpu2.data 261 # number of ReadReq MSHR hits
-system.cpu2.dcache.ReadReq_mshr_hits::total 261 # number of ReadReq MSHR hits
+system.cpu2.dcache.ReadReq_mshr_hits::cpu2.data 262 # number of ReadReq MSHR hits
+system.cpu2.dcache.ReadReq_mshr_hits::total 262 # number of ReadReq MSHR hits
system.cpu2.dcache.WriteReq_mshr_hits::cpu2.data 40 # number of WriteReq MSHR hits
system.cpu2.dcache.WriteReq_mshr_hits::total 40 # number of WriteReq MSHR hits
-system.cpu2.dcache.demand_mshr_hits::cpu2.data 301 # number of demand (read+write) MSHR hits
-system.cpu2.dcache.demand_mshr_hits::total 301 # number of demand (read+write) MSHR hits
-system.cpu2.dcache.overall_mshr_hits::cpu2.data 301 # number of overall MSHR hits
-system.cpu2.dcache.overall_mshr_hits::total 301 # number of overall MSHR hits
-system.cpu2.dcache.ReadReq_mshr_misses::cpu2.data 161 # number of ReadReq MSHR misses
-system.cpu2.dcache.ReadReq_mshr_misses::total 161 # number of ReadReq MSHR misses
-system.cpu2.dcache.WriteReq_mshr_misses::cpu2.data 106 # number of WriteReq MSHR misses
-system.cpu2.dcache.WriteReq_mshr_misses::total 106 # number of WriteReq MSHR misses
-system.cpu2.dcache.SwapReq_mshr_misses::cpu2.data 55 # number of SwapReq MSHR misses
-system.cpu2.dcache.SwapReq_mshr_misses::total 55 # number of SwapReq MSHR misses
-system.cpu2.dcache.demand_mshr_misses::cpu2.data 267 # number of demand (read+write) MSHR misses
-system.cpu2.dcache.demand_mshr_misses::total 267 # number of demand (read+write) MSHR misses
-system.cpu2.dcache.overall_mshr_misses::cpu2.data 267 # number of overall MSHR misses
-system.cpu2.dcache.overall_mshr_misses::total 267 # number of overall MSHR misses
-system.cpu2.dcache.ReadReq_mshr_miss_latency::cpu2.data 1541774 # number of ReadReq MSHR miss cycles
-system.cpu2.dcache.ReadReq_mshr_miss_latency::total 1541774 # number of ReadReq MSHR miss cycles
-system.cpu2.dcache.WriteReq_mshr_miss_latency::cpu2.data 1509739 # number of WriteReq MSHR miss cycles
-system.cpu2.dcache.WriteReq_mshr_miss_latency::total 1509739 # number of WriteReq MSHR miss cycles
-system.cpu2.dcache.SwapReq_mshr_miss_latency::cpu2.data 389494 # number of SwapReq MSHR miss cycles
-system.cpu2.dcache.SwapReq_mshr_miss_latency::total 389494 # number of SwapReq MSHR miss cycles
-system.cpu2.dcache.demand_mshr_miss_latency::cpu2.data 3051513 # number of demand (read+write) MSHR miss cycles
-system.cpu2.dcache.demand_mshr_miss_latency::total 3051513 # number of demand (read+write) MSHR miss cycles
-system.cpu2.dcache.overall_mshr_miss_latency::cpu2.data 3051513 # number of overall MSHR miss cycles
-system.cpu2.dcache.overall_mshr_miss_latency::total 3051513 # number of overall MSHR miss cycles
-system.cpu2.dcache.ReadReq_mshr_miss_rate::cpu2.data 0.003812 # mshr miss rate for ReadReq accesses
-system.cpu2.dcache.ReadReq_mshr_miss_rate::total 0.003812 # mshr miss rate for ReadReq accesses
-system.cpu2.dcache.WriteReq_mshr_miss_rate::cpu2.data 0.003312 # mshr miss rate for WriteReq accesses
-system.cpu2.dcache.WriteReq_mshr_miss_rate::total 0.003312 # mshr miss rate for WriteReq accesses
-system.cpu2.dcache.SwapReq_mshr_miss_rate::cpu2.data 0.797101 # mshr miss rate for SwapReq accesses
-system.cpu2.dcache.SwapReq_mshr_miss_rate::total 0.797101 # mshr miss rate for SwapReq accesses
-system.cpu2.dcache.demand_mshr_miss_rate::cpu2.data 0.003596 # mshr miss rate for demand accesses
-system.cpu2.dcache.demand_mshr_miss_rate::total 0.003596 # mshr miss rate for demand accesses
-system.cpu2.dcache.overall_mshr_miss_rate::cpu2.data 0.003596 # mshr miss rate for overall accesses
-system.cpu2.dcache.overall_mshr_miss_rate::total 0.003596 # mshr miss rate for overall accesses
-system.cpu2.dcache.ReadReq_avg_mshr_miss_latency::cpu2.data 9576.236025 # average ReadReq mshr miss latency
-system.cpu2.dcache.ReadReq_avg_mshr_miss_latency::total 9576.236025 # average ReadReq mshr miss latency
-system.cpu2.dcache.WriteReq_avg_mshr_miss_latency::cpu2.data 14242.820755 # average WriteReq mshr miss latency
-system.cpu2.dcache.WriteReq_avg_mshr_miss_latency::total 14242.820755 # average WriteReq mshr miss latency
-system.cpu2.dcache.SwapReq_avg_mshr_miss_latency::cpu2.data 7081.709091 # average SwapReq mshr miss latency
-system.cpu2.dcache.SwapReq_avg_mshr_miss_latency::total 7081.709091 # average SwapReq mshr miss latency
-system.cpu2.dcache.demand_avg_mshr_miss_latency::cpu2.data 11428.887640 # average overall mshr miss latency
-system.cpu2.dcache.demand_avg_mshr_miss_latency::total 11428.887640 # average overall mshr miss latency
-system.cpu2.dcache.overall_avg_mshr_miss_latency::cpu2.data 11428.887640 # average overall mshr miss latency
-system.cpu2.dcache.overall_avg_mshr_miss_latency::total 11428.887640 # average overall mshr miss latency
+system.cpu2.dcache.demand_mshr_hits::cpu2.data 302 # number of demand (read+write) MSHR hits
+system.cpu2.dcache.demand_mshr_hits::total 302 # number of demand (read+write) MSHR hits
+system.cpu2.dcache.overall_mshr_hits::cpu2.data 302 # number of overall MSHR hits
+system.cpu2.dcache.overall_mshr_hits::total 302 # number of overall MSHR hits
+system.cpu2.dcache.ReadReq_mshr_misses::cpu2.data 153 # number of ReadReq MSHR misses
+system.cpu2.dcache.ReadReq_mshr_misses::total 153 # number of ReadReq MSHR misses
+system.cpu2.dcache.WriteReq_mshr_misses::cpu2.data 108 # number of WriteReq MSHR misses
+system.cpu2.dcache.WriteReq_mshr_misses::total 108 # number of WriteReq MSHR misses
+system.cpu2.dcache.SwapReq_mshr_misses::cpu2.data 49 # number of SwapReq MSHR misses
+system.cpu2.dcache.SwapReq_mshr_misses::total 49 # number of SwapReq MSHR misses
+system.cpu2.dcache.demand_mshr_misses::cpu2.data 261 # number of demand (read+write) MSHR misses
+system.cpu2.dcache.demand_mshr_misses::total 261 # number of demand (read+write) MSHR misses
+system.cpu2.dcache.overall_mshr_misses::cpu2.data 261 # number of overall MSHR misses
+system.cpu2.dcache.overall_mshr_misses::total 261 # number of overall MSHR misses
+system.cpu2.dcache.ReadReq_mshr_miss_latency::cpu2.data 1686771 # number of ReadReq MSHR miss cycles
+system.cpu2.dcache.ReadReq_mshr_miss_latency::total 1686771 # number of ReadReq MSHR miss cycles
+system.cpu2.dcache.WriteReq_mshr_miss_latency::cpu2.data 1511239 # number of WriteReq MSHR miss cycles
+system.cpu2.dcache.WriteReq_mshr_miss_latency::total 1511239 # number of WriteReq MSHR miss cycles
+system.cpu2.dcache.SwapReq_mshr_miss_latency::cpu2.data 352994 # number of SwapReq MSHR miss cycles
+system.cpu2.dcache.SwapReq_mshr_miss_latency::total 352994 # number of SwapReq MSHR miss cycles
+system.cpu2.dcache.demand_mshr_miss_latency::cpu2.data 3198010 # number of demand (read+write) MSHR miss cycles
+system.cpu2.dcache.demand_mshr_miss_latency::total 3198010 # number of demand (read+write) MSHR miss cycles
+system.cpu2.dcache.overall_mshr_miss_latency::cpu2.data 3198010 # number of overall MSHR miss cycles
+system.cpu2.dcache.overall_mshr_miss_latency::total 3198010 # number of overall MSHR miss cycles
+system.cpu2.dcache.ReadReq_mshr_miss_rate::cpu2.data 0.003440 # mshr miss rate for ReadReq accesses
+system.cpu2.dcache.ReadReq_mshr_miss_rate::total 0.003440 # mshr miss rate for ReadReq accesses
+system.cpu2.dcache.WriteReq_mshr_miss_rate::cpu2.data 0.003102 # mshr miss rate for WriteReq accesses
+system.cpu2.dcache.WriteReq_mshr_miss_rate::total 0.003102 # mshr miss rate for WriteReq accesses
+system.cpu2.dcache.SwapReq_mshr_miss_rate::cpu2.data 0.777778 # mshr miss rate for SwapReq accesses
+system.cpu2.dcache.SwapReq_mshr_miss_rate::total 0.777778 # mshr miss rate for SwapReq accesses
+system.cpu2.dcache.demand_mshr_miss_rate::cpu2.data 0.003292 # mshr miss rate for demand accesses
+system.cpu2.dcache.demand_mshr_miss_rate::total 0.003292 # mshr miss rate for demand accesses
+system.cpu2.dcache.overall_mshr_miss_rate::cpu2.data 0.003292 # mshr miss rate for overall accesses
+system.cpu2.dcache.overall_mshr_miss_rate::total 0.003292 # mshr miss rate for overall accesses
+system.cpu2.dcache.ReadReq_avg_mshr_miss_latency::cpu2.data 11024.647059 # average ReadReq mshr miss latency
+system.cpu2.dcache.ReadReq_avg_mshr_miss_latency::total 11024.647059 # average ReadReq mshr miss latency
+system.cpu2.dcache.WriteReq_avg_mshr_miss_latency::cpu2.data 13992.953704 # average WriteReq mshr miss latency
+system.cpu2.dcache.WriteReq_avg_mshr_miss_latency::total 13992.953704 # average WriteReq mshr miss latency
+system.cpu2.dcache.SwapReq_avg_mshr_miss_latency::cpu2.data 7203.959184 # average SwapReq mshr miss latency
+system.cpu2.dcache.SwapReq_avg_mshr_miss_latency::total 7203.959184 # average SwapReq mshr miss latency
+system.cpu2.dcache.demand_avg_mshr_miss_latency::cpu2.data 12252.911877 # average overall mshr miss latency
+system.cpu2.dcache.demand_avg_mshr_miss_latency::total 12252.911877 # average overall mshr miss latency
+system.cpu2.dcache.overall_avg_mshr_miss_latency::cpu2.data 12252.911877 # average overall mshr miss latency
+system.cpu2.dcache.overall_avg_mshr_miss_latency::total 12252.911877 # average overall mshr miss latency
system.cpu2.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu3.branchPred.lookups 48151 # Number of BP lookups
-system.cpu3.branchPred.condPredicted 44685 # Number of conditional branches predicted
-system.cpu3.branchPred.condIncorrect 1287 # Number of conditional branches incorrect
-system.cpu3.branchPred.BTBLookups 41038 # Number of BTB lookups
-system.cpu3.branchPred.BTBHits 39836 # Number of BTB hits
+system.cpu3.branchPred.lookups 48141 # Number of BP lookups
+system.cpu3.branchPred.condPredicted 44605 # Number of conditional branches predicted
+system.cpu3.branchPred.condIncorrect 1305 # Number of conditional branches incorrect
+system.cpu3.branchPred.BTBLookups 40897 # Number of BTB lookups
+system.cpu3.branchPred.BTBHits 39710 # Number of BTB hits
system.cpu3.branchPred.BTBCorrect 0 # Number of correct BTB predictions (this stat may not work properly.
-system.cpu3.branchPred.BTBHitPct 97.071007 # BTB Hit Percentage
-system.cpu3.branchPred.usedRAS 888 # Number of times the RAS was used to get a target.
+system.cpu3.branchPred.BTBHitPct 97.097587 # BTB Hit Percentage
+system.cpu3.branchPred.usedRAS 884 # Number of times the RAS was used to get a target.
system.cpu3.branchPred.RASInCorrect 231 # Number of incorrect RAS predictions.
-system.cpu3.numCycles 167273 # number of cpu cycles simulated
+system.cpu3.numCycles 160319 # number of cpu cycles simulated
system.cpu3.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu3.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu3.fetch.icacheStallCycles 33692 # Number of cycles fetch is stalled on an Icache miss
-system.cpu3.fetch.Insts 260486 # Number of instructions fetch has processed
-system.cpu3.fetch.Branches 48151 # Number of branches that fetch encountered
-system.cpu3.fetch.predictedBranches 40724 # Number of branches that fetch has predicted taken
-system.cpu3.fetch.Cycles 122974 # Number of cycles fetch has run and was not squashing or blocked
-system.cpu3.fetch.SquashCycles 2726 # Number of cycles fetch has spent squashing
+system.cpu3.fetch.icacheStallCycles 33851 # Number of cycles fetch is stalled on an Icache miss
+system.cpu3.fetch.Insts 260297 # Number of instructions fetch has processed
+system.cpu3.fetch.Branches 48141 # Number of branches that fetch encountered
+system.cpu3.fetch.predictedBranches 40594 # Number of branches that fetch has predicted taken
+system.cpu3.fetch.Cycles 122891 # Number of cycles fetch has run and was not squashing or blocked
+system.cpu3.fetch.SquashCycles 2765 # Number of cycles fetch has spent squashing
system.cpu3.fetch.MiscStallCycles 3 # Number of cycles fetch has spent waiting on interrupts, or bad addresses, or out of MSHRs
-system.cpu3.fetch.NoActiveThreadStallCycles 7060 # Number of stall cycles due to no active thread to fetch from
+system.cpu3.fetch.NoActiveThreadStallCycles 10 # Number of stall cycles due to no active thread to fetch from
system.cpu3.fetch.PendingTrapStallCycles 1076 # Number of stall cycles due to pending traps
-system.cpu3.fetch.CacheLines 24907 # Number of cache lines fetched
-system.cpu3.fetch.IcacheSquashes 418 # Number of outstanding Icache misses that were squashed
-system.cpu3.fetch.rateDist::samples 166168 # Number of instructions fetched each cycle (Total)
-system.cpu3.fetch.rateDist::mean 1.567606 # Number of instructions fetched each cycle (Total)
-system.cpu3.fetch.rateDist::stdev 2.102870 # Number of instructions fetched each cycle (Total)
+system.cpu3.fetch.CacheLines 24972 # Number of cache lines fetched
+system.cpu3.fetch.IcacheSquashes 417 # Number of outstanding Icache misses that were squashed
+system.cpu3.fetch.rateDist::samples 159213 # Number of instructions fetched each cycle (Total)
+system.cpu3.fetch.rateDist::mean 1.634898 # Number of instructions fetched each cycle (Total)
+system.cpu3.fetch.rateDist::stdev 2.125574 # Number of instructions fetched each cycle (Total)
system.cpu3.fetch.rateDist::underflows 0 0.00% 0.00% # Number of instructions fetched each cycle (Total)
-system.cpu3.fetch.rateDist::0 71279 42.90% 42.90% # Number of instructions fetched each cycle (Total)
-system.cpu3.fetch.rateDist::1 48852 29.40% 72.29% # Number of instructions fetched each cycle (Total)
-system.cpu3.fetch.rateDist::2 8282 4.98% 77.28% # Number of instructions fetched each cycle (Total)
-system.cpu3.fetch.rateDist::3 3511 2.11% 79.39% # Number of instructions fetched each cycle (Total)
-system.cpu3.fetch.rateDist::4 1059 0.64% 80.03% # Number of instructions fetched each cycle (Total)
-system.cpu3.fetch.rateDist::5 27347 16.46% 96.49% # Number of instructions fetched each cycle (Total)
-system.cpu3.fetch.rateDist::6 1190 0.72% 97.20% # Number of instructions fetched each cycle (Total)
-system.cpu3.fetch.rateDist::7 758 0.46% 97.66% # Number of instructions fetched each cycle (Total)
-system.cpu3.fetch.rateDist::8 3890 2.34% 100.00% # Number of instructions fetched each cycle (Total)
+system.cpu3.fetch.rateDist::0 64563 40.55% 40.55% # Number of instructions fetched each cycle (Total)
+system.cpu3.fetch.rateDist::1 48696 30.59% 71.14% # Number of instructions fetched each cycle (Total)
+system.cpu3.fetch.rateDist::2 8235 5.17% 76.31% # Number of instructions fetched each cycle (Total)
+system.cpu3.fetch.rateDist::3 3504 2.20% 78.51% # Number of instructions fetched each cycle (Total)
+system.cpu3.fetch.rateDist::4 1064 0.67% 79.18% # Number of instructions fetched each cycle (Total)
+system.cpu3.fetch.rateDist::5 27204 17.09% 96.26% # Number of instructions fetched each cycle (Total)
+system.cpu3.fetch.rateDist::6 1240 0.78% 97.04% # Number of instructions fetched each cycle (Total)
+system.cpu3.fetch.rateDist::7 753 0.47% 97.52% # Number of instructions fetched each cycle (Total)
+system.cpu3.fetch.rateDist::8 3954 2.48% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu3.fetch.rateDist::overflows 0 0.00% 100.00% # Number of instructions fetched each cycle (Total)
system.cpu3.fetch.rateDist::min_value 0 # Number of instructions fetched each cycle (Total)
system.cpu3.fetch.rateDist::max_value 8 # Number of instructions fetched each cycle (Total)
-system.cpu3.fetch.rateDist::total 166168 # Number of instructions fetched each cycle (Total)
-system.cpu3.fetch.branchRate 0.287859 # Number of branch fetches per cycle
-system.cpu3.fetch.rate 1.557251 # Number of inst fetches per cycle
-system.cpu3.decode.IdleCycles 17558 # Number of cycles decode is idle
-system.cpu3.decode.BlockedCycles 68128 # Number of cycles decode is blocked
-system.cpu3.decode.RunCycles 67891 # Number of cycles decode is running
-system.cpu3.decode.UnblockCycles 4168 # Number of cycles decode is unblocking
-system.cpu3.decode.SquashCycles 1363 # Number of cycles decode is squashing
-system.cpu3.decode.DecodedInsts 246104 # Number of instructions handled by decode
-system.cpu3.rename.SquashCycles 1363 # Number of cycles rename is squashing
-system.cpu3.rename.IdleCycles 18233 # Number of cycles rename is idle
-system.cpu3.rename.BlockCycles 33368 # Number of cycles rename is blocking
-system.cpu3.rename.serializeStallCycles 12463 # count of cycles rename stalled for serializing inst
-system.cpu3.rename.RunCycles 69060 # Number of cycles rename is running
-system.cpu3.rename.UnblockCycles 24621 # Number of cycles rename is unblocking
-system.cpu3.rename.RenamedInsts 242881 # Number of instructions processed by rename
-system.cpu3.rename.IQFullEvents 21589 # Number of times rename has blocked due to IQ full
+system.cpu3.fetch.rateDist::total 159213 # Number of instructions fetched each cycle (Total)
+system.cpu3.fetch.branchRate 0.300283 # Number of branch fetches per cycle
+system.cpu3.fetch.rate 1.623619 # Number of inst fetches per cycle
+system.cpu3.decode.IdleCycles 17777 # Number of cycles decode is idle
+system.cpu3.decode.BlockedCycles 68157 # Number of cycles decode is blocked
+system.cpu3.decode.RunCycles 67726 # Number of cycles decode is running
+system.cpu3.decode.UnblockCycles 4161 # Number of cycles decode is unblocking
+system.cpu3.decode.SquashCycles 1382 # Number of cycles decode is squashing
+system.cpu3.decode.DecodedInsts 245360 # Number of instructions handled by decode
+system.cpu3.rename.SquashCycles 1382 # Number of cycles rename is squashing
+system.cpu3.rename.IdleCycles 18479 # Number of cycles rename is idle
+system.cpu3.rename.BlockCycles 33142 # Number of cycles rename is blocking
+system.cpu3.rename.serializeStallCycles 12841 # count of cycles rename stalled for serializing inst
+system.cpu3.rename.RunCycles 69300 # Number of cycles rename is running
+system.cpu3.rename.UnblockCycles 24059 # Number of cycles rename is unblocking
+system.cpu3.rename.RenamedInsts 241885 # Number of instructions processed by rename
+system.cpu3.rename.IQFullEvents 21460 # Number of times rename has blocked due to IQ full
system.cpu3.rename.LQFullEvents 28 # Number of times rename has blocked due to LQ full
system.cpu3.rename.FullRegisterEvents 3 # Number of times there has been no free registers
-system.cpu3.rename.RenamedOperands 169259 # Number of destination operands rename has renamed
-system.cpu3.rename.RenameLookups 456177 # Number of register rename lookups that rename has made
-system.cpu3.rename.int_rename_lookups 357242 # Number of integer rename lookups
-system.cpu3.rename.CommittedMaps 154687 # Number of HB maps that are committed
-system.cpu3.rename.UndoneMaps 14572 # Number of HB maps that are undone due to squashing
-system.cpu3.rename.serializingInsts 1184 # count of serializing insts renamed
-system.cpu3.rename.tempSerializingInsts 1245 # count of temporary serializing insts renamed
-system.cpu3.rename.skidInsts 29195 # count of insts added to the skid buffer
-system.cpu3.memDep0.insertedLoads 65863 # Number of loads inserted to the mem dependence unit.
-system.cpu3.memDep0.insertedStores 30140 # Number of stores inserted to the mem dependence unit.
-system.cpu3.memDep0.conflictingLoads 31966 # Number of conflicting loads.
-system.cpu3.memDep0.conflictingStores 25009 # Number of conflicting stores.
-system.cpu3.iq.iqInstsAdded 199372 # Number of instructions added to the IQ (excludes non-spec)
-system.cpu3.iq.iqNonSpecInstsAdded 7958 # Number of non-speculative instructions added to the IQ
-system.cpu3.iq.iqInstsIssued 202308 # Number of instructions issued
+system.cpu3.rename.RenamedOperands 168616 # Number of destination operands rename has renamed
+system.cpu3.rename.RenameLookups 454082 # Number of register rename lookups that rename has made
+system.cpu3.rename.int_rename_lookups 355646 # Number of integer rename lookups
+system.cpu3.rename.CommittedMaps 153987 # Number of HB maps that are committed
+system.cpu3.rename.UndoneMaps 14629 # Number of HB maps that are undone due to squashing
+system.cpu3.rename.serializingInsts 1214 # count of serializing insts renamed
+system.cpu3.rename.tempSerializingInsts 1272 # count of temporary serializing insts renamed
+system.cpu3.rename.skidInsts 28919 # count of insts added to the skid buffer
+system.cpu3.memDep0.insertedLoads 65522 # Number of loads inserted to the mem dependence unit.
+system.cpu3.memDep0.insertedStores 29976 # Number of stores inserted to the mem dependence unit.
+system.cpu3.memDep0.conflictingLoads 31799 # Number of conflicting loads.
+system.cpu3.memDep0.conflictingStores 24828 # Number of conflicting stores.
+system.cpu3.iq.iqInstsAdded 198526 # Number of instructions added to the IQ (excludes non-spec)
+system.cpu3.iq.iqNonSpecInstsAdded 7988 # Number of non-speculative instructions added to the IQ
+system.cpu3.iq.iqInstsIssued 201423 # Number of instructions issued
system.cpu3.iq.iqSquashedInstsIssued 33 # Number of squashed instructions issued
-system.cpu3.iq.iqSquashedInstsExamined 12859 # Number of squashed instructions iterated over during squash; mainly for profiling
-system.cpu3.iq.iqSquashedOperandsExamined 11887 # Number of squashed operands that are examined and possibly removed from graph
-system.cpu3.iq.iqSquashedNonSpecRemoved 683 # Number of squashed non-spec instructions that were removed
-system.cpu3.iq.issued_per_cycle::samples 166168 # Number of insts issued each cycle
-system.cpu3.iq.issued_per_cycle::mean 1.217491 # Number of insts issued each cycle
-system.cpu3.iq.issued_per_cycle::stdev 1.364227 # Number of insts issued each cycle
+system.cpu3.iq.iqSquashedInstsExamined 12862 # Number of squashed instructions iterated over during squash; mainly for profiling
+system.cpu3.iq.iqSquashedOperandsExamined 11999 # Number of squashed operands that are examined and possibly removed from graph
+system.cpu3.iq.iqSquashedNonSpecRemoved 692 # Number of squashed non-spec instructions that were removed
+system.cpu3.iq.issued_per_cycle::samples 159213 # Number of insts issued each cycle
+system.cpu3.iq.issued_per_cycle::mean 1.265117 # Number of insts issued each cycle
+system.cpu3.iq.issued_per_cycle::stdev 1.367863 # Number of insts issued each cycle
system.cpu3.iq.issued_per_cycle::underflows 0 0.00% 0.00% # Number of insts issued each cycle
-system.cpu3.iq.issued_per_cycle::0 75148 45.22% 45.22% # Number of insts issued each cycle
-system.cpu3.iq.issued_per_cycle::1 26256 15.80% 61.02% # Number of insts issued each cycle
-system.cpu3.iq.issued_per_cycle::2 29417 17.70% 78.73% # Number of insts issued each cycle
-system.cpu3.iq.issued_per_cycle::3 29010 17.46% 96.19% # Number of insts issued each cycle
-system.cpu3.iq.issued_per_cycle::4 3447 2.07% 98.26% # Number of insts issued each cycle
-system.cpu3.iq.issued_per_cycle::5 1582 0.95% 99.21% # Number of insts issued each cycle
-system.cpu3.iq.issued_per_cycle::6 873 0.53% 99.74% # Number of insts issued each cycle
-system.cpu3.iq.issued_per_cycle::7 228 0.14% 99.88% # Number of insts issued each cycle
-system.cpu3.iq.issued_per_cycle::8 207 0.12% 100.00% # Number of insts issued each cycle
+system.cpu3.iq.issued_per_cycle::0 68514 43.03% 43.03% # Number of insts issued each cycle
+system.cpu3.iq.issued_per_cycle::1 26230 16.47% 59.51% # Number of insts issued each cycle
+system.cpu3.iq.issued_per_cycle::2 29303 18.40% 77.91% # Number of insts issued each cycle
+system.cpu3.iq.issued_per_cycle::3 28880 18.14% 96.05% # Number of insts issued each cycle
+system.cpu3.iq.issued_per_cycle::4 3418 2.15% 98.20% # Number of insts issued each cycle
+system.cpu3.iq.issued_per_cycle::5 1568 0.98% 99.18% # Number of insts issued each cycle
+system.cpu3.iq.issued_per_cycle::6 871 0.55% 99.73% # Number of insts issued each cycle
+system.cpu3.iq.issued_per_cycle::7 223 0.14% 99.87% # Number of insts issued each cycle
+system.cpu3.iq.issued_per_cycle::8 206 0.13% 100.00% # Number of insts issued each cycle
system.cpu3.iq.issued_per_cycle::overflows 0 0.00% 100.00% # Number of insts issued each cycle
system.cpu3.iq.issued_per_cycle::min_value 0 # Number of insts issued each cycle
system.cpu3.iq.issued_per_cycle::max_value 8 # Number of insts issued each cycle
-system.cpu3.iq.issued_per_cycle::total 166168 # Number of insts issued each cycle
+system.cpu3.iq.issued_per_cycle::total 159213 # Number of insts issued each cycle
system.cpu3.iq.fu_full::No_OpClass 0 0.00% 0.00% # attempts to use FU when none available
-system.cpu3.iq.fu_full::IntAlu 93 25.83% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::IntMult 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::IntDiv 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::FloatAdd 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::FloatCmp 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::FloatCvt 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::FloatMult 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::FloatDiv 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::FloatSqrt 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdAdd 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdAddAcc 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdAlu 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdCmp 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdCvt 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdMisc 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdMult 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdMultAcc 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdShift 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdShiftAcc 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdSqrt 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdFloatAdd 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdFloatAlu 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdFloatCmp 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdFloatCvt 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdFloatDiv 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdFloatMisc 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdFloatMult 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdFloatMultAcc 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::SimdFloatSqrt 0 0.00% 25.83% # attempts to use FU when none available
-system.cpu3.iq.fu_full::MemRead 58 16.11% 41.94% # attempts to use FU when none available
-system.cpu3.iq.fu_full::MemWrite 209 58.06% 100.00% # attempts to use FU when none available
+system.cpu3.iq.fu_full::IntAlu 92 26.36% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::IntMult 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::IntDiv 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::FloatAdd 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::FloatCmp 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::FloatCvt 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::FloatMult 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::FloatDiv 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::FloatSqrt 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdAdd 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdAddAcc 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdAlu 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdCmp 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdCvt 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdMisc 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdMult 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdMultAcc 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdShift 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdShiftAcc 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdSqrt 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdFloatAdd 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdFloatAlu 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdFloatCmp 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdFloatCvt 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdFloatDiv 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdFloatMisc 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdFloatMult 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdFloatMultAcc 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::SimdFloatSqrt 0 0.00% 26.36% # attempts to use FU when none available
+system.cpu3.iq.fu_full::MemRead 48 13.75% 40.11% # attempts to use FU when none available
+system.cpu3.iq.fu_full::MemWrite 209 59.89% 100.00% # attempts to use FU when none available
system.cpu3.iq.fu_full::IprAccess 0 0.00% 100.00% # attempts to use FU when none available
system.cpu3.iq.fu_full::InstPrefetch 0 0.00% 100.00% # attempts to use FU when none available
system.cpu3.iq.FU_type_0::No_OpClass 0 0.00% 0.00% # Type of FU issued
-system.cpu3.iq.FU_type_0::IntAlu 101290 50.07% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::IntMult 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::IntDiv 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::FloatAdd 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::FloatCmp 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::FloatCvt 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::FloatMult 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::FloatDiv 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::FloatSqrt 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdAdd 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdAddAcc 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdAlu 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdCmp 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdCvt 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdMisc 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdMult 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdMultAcc 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdShift 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdShiftAcc 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdSqrt 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdFloatAdd 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdFloatAlu 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdFloatCmp 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdFloatCvt 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdFloatDiv 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdFloatMisc 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdFloatMult 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::SimdFloatSqrt 0 0.00% 50.07% # Type of FU issued
-system.cpu3.iq.FU_type_0::MemRead 71575 35.38% 85.45% # Type of FU issued
-system.cpu3.iq.FU_type_0::MemWrite 29443 14.55% 100.00% # Type of FU issued
+system.cpu3.iq.FU_type_0::IntAlu 100972 50.13% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::IntMult 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::IntDiv 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::FloatAdd 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::FloatCmp 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::FloatCvt 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::FloatMult 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::FloatDiv 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::FloatSqrt 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdAdd 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdAddAcc 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdAlu 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdCmp 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdCvt 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdMisc 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdMult 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdMultAcc 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdShift 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdShiftAcc 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdSqrt 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdFloatAdd 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdFloatAlu 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdFloatCmp 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdFloatCvt 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdFloatDiv 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdFloatMisc 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdFloatMult 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdFloatMultAcc 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::SimdFloatSqrt 0 0.00% 50.13% # Type of FU issued
+system.cpu3.iq.FU_type_0::MemRead 71204 35.35% 85.48% # Type of FU issued
+system.cpu3.iq.FU_type_0::MemWrite 29247 14.52% 100.00% # Type of FU issued
system.cpu3.iq.FU_type_0::IprAccess 0 0.00% 100.00% # Type of FU issued
system.cpu3.iq.FU_type_0::InstPrefetch 0 0.00% 100.00% # Type of FU issued
-system.cpu3.iq.FU_type_0::total 202308 # Type of FU issued
-system.cpu3.iq.rate 1.209448 # Inst issue rate
-system.cpu3.iq.fu_busy_cnt 360 # FU busy when requested
-system.cpu3.iq.fu_busy_rate 0.001779 # FU busy rate (busy events/executed inst)
-system.cpu3.iq.int_inst_queue_reads 571177 # Number of integer instruction queue reads
-system.cpu3.iq.int_inst_queue_writes 220229 # Number of integer instruction queue writes
-system.cpu3.iq.int_inst_queue_wakeup_accesses 200600 # Number of integer instruction queue wakeup accesses
+system.cpu3.iq.FU_type_0::total 201423 # Type of FU issued
+system.cpu3.iq.rate 1.256389 # Inst issue rate
+system.cpu3.iq.fu_busy_cnt 349 # FU busy when requested
+system.cpu3.iq.fu_busy_rate 0.001733 # FU busy rate (busy events/executed inst)
+system.cpu3.iq.int_inst_queue_reads 562441 # Number of integer instruction queue reads
+system.cpu3.iq.int_inst_queue_writes 219414 # Number of integer instruction queue writes
+system.cpu3.iq.int_inst_queue_wakeup_accesses 199715 # Number of integer instruction queue wakeup accesses
system.cpu3.iq.fp_inst_queue_reads 0 # Number of floating instruction queue reads
system.cpu3.iq.fp_inst_queue_writes 0 # Number of floating instruction queue writes
system.cpu3.iq.fp_inst_queue_wakeup_accesses 0 # Number of floating instruction queue wakeup accesses
-system.cpu3.iq.int_alu_accesses 202668 # Number of integer alu accesses
+system.cpu3.iq.int_alu_accesses 201772 # Number of integer alu accesses
system.cpu3.iq.fp_alu_accesses 0 # Number of floating point alu accesses
-system.cpu3.iew.lsq.thread0.forwLoads 24749 # Number of loads that had data forwarded from stores
+system.cpu3.iew.lsq.thread0.forwLoads 24567 # Number of loads that had data forwarded from stores
system.cpu3.iew.lsq.thread0.invAddrLoads 0 # Number of loads ignored due to an invalid address
-system.cpu3.iew.lsq.thread0.squashedLoads 2800 # Number of loads squashed
+system.cpu3.iew.lsq.thread0.squashedLoads 2806 # Number of loads squashed
system.cpu3.iew.lsq.thread0.ignoredResponses 3 # Number of memory responses ignored because the instruction is squashed
-system.cpu3.iew.lsq.thread0.memOrderViolation 40 # Number of memory ordering violations
-system.cpu3.iew.lsq.thread0.squashedStores 1627 # Number of stores squashed
+system.cpu3.iew.lsq.thread0.memOrderViolation 38 # Number of memory ordering violations
+system.cpu3.iew.lsq.thread0.squashedStores 1637 # Number of stores squashed
system.cpu3.iew.lsq.thread0.invAddrSwpfs 0 # Number of software prefetches ignored due to an invalid address
system.cpu3.iew.lsq.thread0.blockedLoads 0 # Number of blocked loads due to partial load-store forwarding
system.cpu3.iew.lsq.thread0.rescheduledLoads 0 # Number of loads that were rescheduled
system.cpu3.iew.lsq.thread0.cacheBlocked 0 # Number of times an access to memory failed due to the cache being blocked
system.cpu3.iew.iewIdleCycles 0 # Number of cycles IEW is idle
-system.cpu3.iew.iewSquashCycles 1363 # Number of cycles IEW is squashing
-system.cpu3.iew.iewBlockCycles 8604 # Number of cycles IEW is blocking
-system.cpu3.iew.iewUnblockCycles 61 # Number of cycles IEW is unblocking
-system.cpu3.iew.iewDispatchedInsts 240098 # Number of instructions dispatched to IQ
-system.cpu3.iew.iewDispSquashedInsts 201 # Number of squashed instructions skipped by dispatch
-system.cpu3.iew.iewDispLoadInsts 65863 # Number of dispatched load instructions
-system.cpu3.iew.iewDispStoreInsts 30140 # Number of dispatched store instructions
-system.cpu3.iew.iewDispNonSpecInsts 1100 # Number of dispatched non-speculative instructions
-system.cpu3.iew.iewIQFullEvents 33 # Number of times the IQ has become full, causing a stall
+system.cpu3.iew.iewSquashCycles 1382 # Number of cycles IEW is squashing
+system.cpu3.iew.iewBlockCycles 8523 # Number of cycles IEW is blocking
+system.cpu3.iew.iewUnblockCycles 57 # Number of cycles IEW is unblocking
+system.cpu3.iew.iewDispatchedInsts 239131 # Number of instructions dispatched to IQ
+system.cpu3.iew.iewDispSquashedInsts 178 # Number of squashed instructions skipped by dispatch
+system.cpu3.iew.iewDispLoadInsts 65522 # Number of dispatched load instructions
+system.cpu3.iew.iewDispStoreInsts 29976 # Number of dispatched store instructions
+system.cpu3.iew.iewDispNonSpecInsts 1120 # Number of dispatched non-speculative instructions
+system.cpu3.iew.iewIQFullEvents 32 # Number of times the IQ has become full, causing a stall
system.cpu3.iew.iewLSQFullEvents 0 # Number of times the LSQ has become full, causing a stall
-system.cpu3.iew.memOrderViolationEvents 40 # Number of memory order violations
-system.cpu3.iew.predictedTakenIncorrect 473 # Number of branches that were predicted taken incorrectly
-system.cpu3.iew.predictedNotTakenIncorrect 1038 # Number of branches that were predicted not taken incorrectly
-system.cpu3.iew.branchMispredicts 1511 # Number of branch mispredicts detected at execute
-system.cpu3.iew.iewExecutedInsts 201185 # Number of executed instructions
-system.cpu3.iew.iewExecLoadInsts 64698 # Number of load instructions executed
-system.cpu3.iew.iewExecSquashedInsts 1123 # Number of squashed instructions skipped in execute
+system.cpu3.iew.memOrderViolationEvents 38 # Number of memory order violations
+system.cpu3.iew.predictedTakenIncorrect 471 # Number of branches that were predicted taken incorrectly
+system.cpu3.iew.predictedNotTakenIncorrect 1054 # Number of branches that were predicted not taken incorrectly
+system.cpu3.iew.branchMispredicts 1525 # Number of branch mispredicts detected at execute
+system.cpu3.iew.iewExecutedInsts 200291 # Number of executed instructions
+system.cpu3.iew.iewExecLoadInsts 64311 # Number of load instructions executed
+system.cpu3.iew.iewExecSquashedInsts 1132 # Number of squashed instructions skipped in execute
system.cpu3.iew.exec_swp 0 # number of swp insts executed
-system.cpu3.iew.exec_nop 32768 # number of nop insts executed
-system.cpu3.iew.exec_refs 94032 # number of memory reference insts executed
-system.cpu3.iew.exec_branches 42068 # Number of branches executed
-system.cpu3.iew.exec_stores 29334 # Number of stores executed
-system.cpu3.iew.exec_rate 1.202734 # Inst execution rate
-system.cpu3.iew.wb_sent 200904 # cumulative count of insts sent to commit
-system.cpu3.iew.wb_count 200600 # cumulative count of insts written-back
-system.cpu3.iew.wb_producers 111689 # num instructions producing a value
-system.cpu3.iew.wb_consumers 118263 # num instructions consuming a value
+system.cpu3.iew.exec_nop 32617 # number of nop insts executed
+system.cpu3.iew.exec_refs 93457 # number of memory reference insts executed
+system.cpu3.iew.exec_branches 41928 # Number of branches executed
+system.cpu3.iew.exec_stores 29146 # Number of stores executed
+system.cpu3.iew.exec_rate 1.249328 # Inst execution rate
+system.cpu3.iew.wb_sent 200012 # cumulative count of insts sent to commit
+system.cpu3.iew.wb_count 199715 # cumulative count of insts written-back
+system.cpu3.iew.wb_producers 111117 # num instructions producing a value
+system.cpu3.iew.wb_consumers 117670 # num instructions consuming a value
system.cpu3.iew.wb_penalized 0 # number of instrctions required to write to 'other' IQ
-system.cpu3.iew.wb_rate 1.199237 # insts written-back per cycle
-system.cpu3.iew.wb_fanout 0.944412 # average fanout of values written-back
+system.cpu3.iew.wb_rate 1.245735 # insts written-back per cycle
+system.cpu3.iew.wb_fanout 0.944310 # average fanout of values written-back
system.cpu3.iew.wb_penalized_rate 0 # fraction of instructions written-back that wrote to 'other' IQ
-system.cpu3.commit.commitSquashedInsts 14520 # The number of squashed insts skipped by commit
-system.cpu3.commit.commitNonSpecStalls 7275 # The number of times commit has been forced to stall to communicate backwards
-system.cpu3.commit.branchMispredicts 1287 # The number of times a branch was mispredicted
-system.cpu3.commit.committed_per_cycle::samples 156480 # Number of insts commited each cycle
-system.cpu3.commit.committed_per_cycle::mean 1.441238 # Number of insts commited each cycle
-system.cpu3.commit.committed_per_cycle::stdev 1.976154 # Number of insts commited each cycle
+system.cpu3.commit.commitSquashedInsts 14558 # The number of squashed insts skipped by commit
+system.cpu3.commit.commitNonSpecStalls 7296 # The number of times commit has been forced to stall to communicate backwards
+system.cpu3.commit.branchMispredicts 1305 # The number of times a branch was mispredicted
+system.cpu3.commit.committed_per_cycle::samples 156559 # Number of insts commited each cycle
+system.cpu3.commit.committed_per_cycle::mean 1.434092 # Number of insts commited each cycle
+system.cpu3.commit.committed_per_cycle::stdev 1.973064 # Number of insts commited each cycle
system.cpu3.commit.committed_per_cycle::underflows 0 0.00% 0.00% # Number of insts commited each cycle
-system.cpu3.commit.committed_per_cycle::0 74989 47.92% 47.92% # Number of insts commited each cycle
-system.cpu3.commit.committed_per_cycle::1 38816 24.81% 72.73% # Number of insts commited each cycle
-system.cpu3.commit.committed_per_cycle::2 5199 3.32% 76.05% # Number of insts commited each cycle
-system.cpu3.commit.committed_per_cycle::3 8093 5.17% 81.22% # Number of insts commited each cycle
-system.cpu3.commit.committed_per_cycle::4 1536 0.98% 82.20% # Number of insts commited each cycle
-system.cpu3.commit.committed_per_cycle::5 24757 15.82% 98.03% # Number of insts commited each cycle
-system.cpu3.commit.committed_per_cycle::6 830 0.53% 98.56% # Number of insts commited each cycle
-system.cpu3.commit.committed_per_cycle::7 957 0.61% 99.17% # Number of insts commited each cycle
-system.cpu3.commit.committed_per_cycle::8 1303 0.83% 100.00% # Number of insts commited each cycle
+system.cpu3.commit.committed_per_cycle::0 75373 48.14% 48.14% # Number of insts commited each cycle
+system.cpu3.commit.committed_per_cycle::1 38673 24.70% 72.85% # Number of insts commited each cycle
+system.cpu3.commit.committed_per_cycle::2 5214 3.33% 76.18% # Number of insts commited each cycle
+system.cpu3.commit.committed_per_cycle::3 8076 5.16% 81.33% # Number of insts commited each cycle
+system.cpu3.commit.committed_per_cycle::4 1539 0.98% 82.32% # Number of insts commited each cycle
+system.cpu3.commit.committed_per_cycle::5 24642 15.74% 98.06% # Number of insts commited each cycle
+system.cpu3.commit.committed_per_cycle::6 775 0.50% 98.55% # Number of insts commited each cycle
+system.cpu3.commit.committed_per_cycle::7 961 0.61% 99.17% # Number of insts commited each cycle
+system.cpu3.commit.committed_per_cycle::8 1306 0.83% 100.00% # Number of insts commited each cycle
system.cpu3.commit.committed_per_cycle::overflows 0 0.00% 100.00% # Number of insts commited each cycle
system.cpu3.commit.committed_per_cycle::min_value 0 # Number of insts commited each cycle
system.cpu3.commit.committed_per_cycle::max_value 8 # Number of insts commited each cycle
-system.cpu3.commit.committed_per_cycle::total 156480 # Number of insts commited each cycle
-system.cpu3.commit.committedInsts 225525 # Number of instructions committed
-system.cpu3.commit.committedOps 225525 # Number of ops (including micro ops) committed
+system.cpu3.commit.committed_per_cycle::total 156559 # Number of insts commited each cycle
+system.cpu3.commit.committedInsts 224520 # Number of instructions committed
+system.cpu3.commit.committedOps 224520 # Number of ops (including micro ops) committed
system.cpu3.commit.swp_count 0 # Number of s/w prefetches committed
-system.cpu3.commit.refs 91576 # Number of memory references committed
-system.cpu3.commit.loads 63063 # Number of loads committed
-system.cpu3.commit.membars 6559 # Number of memory barriers committed
-system.cpu3.commit.branches 41035 # Number of branches committed
+system.cpu3.commit.refs 91055 # Number of memory references committed
+system.cpu3.commit.loads 62716 # Number of loads committed
+system.cpu3.commit.membars 6575 # Number of memory barriers committed
+system.cpu3.commit.branches 40877 # Number of branches committed
system.cpu3.commit.fp_insts 0 # Number of committed floating point instructions.
-system.cpu3.commit.int_insts 154730 # Number of committed integer instructions.
+system.cpu3.commit.int_insts 154046 # Number of committed integer instructions.
system.cpu3.commit.function_calls 322 # Number of function calls committed.
-system.cpu3.commit.op_class_0::No_OpClass 31823 14.11% 14.11% # Class of committed instruction
-system.cpu3.commit.op_class_0::IntAlu 95567 42.38% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::IntMult 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::IntDiv 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::FloatAdd 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::FloatCmp 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::FloatCvt 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::FloatMult 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::FloatDiv 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::FloatSqrt 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdAdd 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdAddAcc 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdAlu 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdCmp 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdCvt 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdMisc 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdMult 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdMultAcc 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdShift 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdShiftAcc 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdSqrt 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdFloatAdd 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdFloatAlu 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdFloatCmp 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdFloatCvt 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdFloatDiv 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdFloatMisc 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdFloatMult 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdFloatMultAcc 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::SimdFloatSqrt 0 0.00% 56.49% # Class of committed instruction
-system.cpu3.commit.op_class_0::MemRead 69622 30.87% 87.36% # Class of committed instruction
-system.cpu3.commit.op_class_0::MemWrite 28513 12.64% 100.00% # Class of committed instruction
+system.cpu3.commit.op_class_0::No_OpClass 31660 14.10% 14.10% # Class of committed instruction
+system.cpu3.commit.op_class_0::IntAlu 95230 42.41% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::IntMult 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::IntDiv 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::FloatAdd 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::FloatCmp 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::FloatCvt 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::FloatMult 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::FloatDiv 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::FloatSqrt 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdAdd 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdAddAcc 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdAlu 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdCmp 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdCvt 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdMisc 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdMult 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdMultAcc 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdShift 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdShiftAcc 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdSqrt 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdFloatAdd 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdFloatAlu 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdFloatCmp 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdFloatCvt 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdFloatDiv 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdFloatMisc 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdFloatMult 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdFloatMultAcc 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::SimdFloatSqrt 0 0.00% 56.52% # Class of committed instruction
+system.cpu3.commit.op_class_0::MemRead 69291 30.86% 87.38% # Class of committed instruction
+system.cpu3.commit.op_class_0::MemWrite 28339 12.62% 100.00% # Class of committed instruction
system.cpu3.commit.op_class_0::IprAccess 0 0.00% 100.00% # Class of committed instruction
system.cpu3.commit.op_class_0::InstPrefetch 0 0.00% 100.00% # Class of committed instruction
-system.cpu3.commit.op_class_0::total 225525 # Class of committed instruction
-system.cpu3.commit.bw_lim_events 1303 # number cycles where commit BW limit reached
+system.cpu3.commit.op_class_0::total 224520 # Class of committed instruction
+system.cpu3.commit.bw_lim_events 1306 # number cycles where commit BW limit reached
system.cpu3.commit.bw_limited 0 # number of insts not committed due to BW limits
-system.cpu3.rob.rob_reads 394635 # The number of ROB reads
-system.cpu3.rob.rob_writes 482728 # The number of ROB writes
-system.cpu3.timesIdled 203 # Number of times that the entire CPU went into an idle state and unscheduled itself
-system.cpu3.idleCycles 1105 # Total number of cycles that the CPU has spent unscheduled due to idling
-system.cpu3.quiesceCycles 44004 # Total number of cycles that CPU has spent quiesced or waiting for an interrupt
-system.cpu3.committedInsts 187143 # Number of Instructions Simulated
-system.cpu3.committedOps 187143 # Number of Ops (including micro ops) Simulated
-system.cpu3.cpi 0.893825 # CPI: Cycles Per Instruction
-system.cpu3.cpi_total 0.893825 # CPI: Total CPI of All Threads
-system.cpu3.ipc 1.118788 # IPC: Instructions Per Cycle
-system.cpu3.ipc_total 1.118788 # IPC: Total IPC of All Threads
-system.cpu3.int_regfile_reads 341840 # number of integer regfile reads
-system.cpu3.int_regfile_writes 160726 # number of integer regfile writes
+system.cpu3.rob.rob_reads 393745 # The number of ROB reads
+system.cpu3.rob.rob_writes 480811 # The number of ROB writes
+system.cpu3.timesIdled 204 # Number of times that the entire CPU went into an idle state and unscheduled itself
+system.cpu3.idleCycles 1106 # Total number of cycles that the CPU has spent unscheduled due to idling
+system.cpu3.quiesceCycles 44020 # Total number of cycles that CPU has spent quiesced or waiting for an interrupt
+system.cpu3.committedInsts 186285 # Number of Instructions Simulated
+system.cpu3.committedOps 186285 # Number of Ops (including micro ops) Simulated
+system.cpu3.cpi 0.860611 # CPI: Cycles Per Instruction
+system.cpu3.cpi_total 0.860611 # CPI: Total CPI of All Threads
+system.cpu3.ipc 1.161965 # IPC: Instructions Per Cycle
+system.cpu3.ipc_total 1.161965 # IPC: Total IPC of All Threads
+system.cpu3.int_regfile_reads 340113 # number of integer regfile reads
+system.cpu3.int_regfile_writes 159981 # number of integer regfile writes
system.cpu3.fp_regfile_writes 64 # number of floating regfile writes
-system.cpu3.misc_regfile_reads 95629 # number of misc regfile reads
+system.cpu3.misc_regfile_reads 95078 # number of misc regfile reads
system.cpu3.misc_regfile_writes 648 # number of misc regfile writes
-system.cpu3.icache.tags.replacements 380 # number of replacements
-system.cpu3.icache.tags.tagsinuse 77.789470 # Cycle average of tags in use
-system.cpu3.icache.tags.total_refs 24352 # Total number of references to valid blocks.
-system.cpu3.icache.tags.sampled_refs 493 # Sample count of references to valid blocks.
-system.cpu3.icache.tags.avg_refs 49.395538 # Average number of references to valid blocks.
+system.cpu3.icache.tags.replacements 386 # number of replacements
+system.cpu3.icache.tags.tagsinuse 77.771025 # Cycle average of tags in use
+system.cpu3.icache.tags.total_refs 24411 # Total number of references to valid blocks.
+system.cpu3.icache.tags.sampled_refs 499 # Sample count of references to valid blocks.
+system.cpu3.icache.tags.avg_refs 48.919840 # Average number of references to valid blocks.
system.cpu3.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu3.icache.tags.occ_blocks::cpu3.inst 77.789470 # Average occupied blocks per requestor
-system.cpu3.icache.tags.occ_percent::cpu3.inst 0.151933 # Average percentage of cache occupancy
-system.cpu3.icache.tags.occ_percent::total 0.151933 # Average percentage of cache occupancy
+system.cpu3.icache.tags.occ_blocks::cpu3.inst 77.771025 # Average occupied blocks per requestor
+system.cpu3.icache.tags.occ_percent::cpu3.inst 0.151897 # Average percentage of cache occupancy
+system.cpu3.icache.tags.occ_percent::total 0.151897 # Average percentage of cache occupancy
system.cpu3.icache.tags.occ_task_id_blocks::1024 113 # Occupied blocks per task id
system.cpu3.icache.tags.age_task_id_blocks_1024::0 11 # Occupied blocks per task id
system.cpu3.icache.tags.age_task_id_blocks_1024::1 102 # Occupied blocks per task id
system.cpu3.icache.tags.occ_task_id_percent::1024 0.220703 # Percentage of cache occupancy per task id
-system.cpu3.icache.tags.tag_accesses 25400 # Number of tag accesses
-system.cpu3.icache.tags.data_accesses 25400 # Number of data accesses
-system.cpu3.icache.ReadReq_hits::cpu3.inst 24352 # number of ReadReq hits
-system.cpu3.icache.ReadReq_hits::total 24352 # number of ReadReq hits
-system.cpu3.icache.demand_hits::cpu3.inst 24352 # number of demand (read+write) hits
-system.cpu3.icache.demand_hits::total 24352 # number of demand (read+write) hits
-system.cpu3.icache.overall_hits::cpu3.inst 24352 # number of overall hits
-system.cpu3.icache.overall_hits::total 24352 # number of overall hits
-system.cpu3.icache.ReadReq_misses::cpu3.inst 555 # number of ReadReq misses
-system.cpu3.icache.ReadReq_misses::total 555 # number of ReadReq misses
-system.cpu3.icache.demand_misses::cpu3.inst 555 # number of demand (read+write) misses
-system.cpu3.icache.demand_misses::total 555 # number of demand (read+write) misses
-system.cpu3.icache.overall_misses::cpu3.inst 555 # number of overall misses
-system.cpu3.icache.overall_misses::total 555 # number of overall misses
-system.cpu3.icache.ReadReq_miss_latency::cpu3.inst 7324995 # number of ReadReq miss cycles
-system.cpu3.icache.ReadReq_miss_latency::total 7324995 # number of ReadReq miss cycles
-system.cpu3.icache.demand_miss_latency::cpu3.inst 7324995 # number of demand (read+write) miss cycles
-system.cpu3.icache.demand_miss_latency::total 7324995 # number of demand (read+write) miss cycles
-system.cpu3.icache.overall_miss_latency::cpu3.inst 7324995 # number of overall miss cycles
-system.cpu3.icache.overall_miss_latency::total 7324995 # number of overall miss cycles
-system.cpu3.icache.ReadReq_accesses::cpu3.inst 24907 # number of ReadReq accesses(hits+misses)
-system.cpu3.icache.ReadReq_accesses::total 24907 # number of ReadReq accesses(hits+misses)
-system.cpu3.icache.demand_accesses::cpu3.inst 24907 # number of demand (read+write) accesses
-system.cpu3.icache.demand_accesses::total 24907 # number of demand (read+write) accesses
-system.cpu3.icache.overall_accesses::cpu3.inst 24907 # number of overall (read+write) accesses
-system.cpu3.icache.overall_accesses::total 24907 # number of overall (read+write) accesses
-system.cpu3.icache.ReadReq_miss_rate::cpu3.inst 0.022283 # miss rate for ReadReq accesses
-system.cpu3.icache.ReadReq_miss_rate::total 0.022283 # miss rate for ReadReq accesses
-system.cpu3.icache.demand_miss_rate::cpu3.inst 0.022283 # miss rate for demand accesses
-system.cpu3.icache.demand_miss_rate::total 0.022283 # miss rate for demand accesses
-system.cpu3.icache.overall_miss_rate::cpu3.inst 0.022283 # miss rate for overall accesses
-system.cpu3.icache.overall_miss_rate::total 0.022283 # miss rate for overall accesses
-system.cpu3.icache.ReadReq_avg_miss_latency::cpu3.inst 13198.189189 # average ReadReq miss latency
-system.cpu3.icache.ReadReq_avg_miss_latency::total 13198.189189 # average ReadReq miss latency
-system.cpu3.icache.demand_avg_miss_latency::cpu3.inst 13198.189189 # average overall miss latency
-system.cpu3.icache.demand_avg_miss_latency::total 13198.189189 # average overall miss latency
-system.cpu3.icache.overall_avg_miss_latency::cpu3.inst 13198.189189 # average overall miss latency
-system.cpu3.icache.overall_avg_miss_latency::total 13198.189189 # average overall miss latency
+system.cpu3.icache.tags.tag_accesses 25471 # Number of tag accesses
+system.cpu3.icache.tags.data_accesses 25471 # Number of data accesses
+system.cpu3.icache.ReadReq_hits::cpu3.inst 24411 # number of ReadReq hits
+system.cpu3.icache.ReadReq_hits::total 24411 # number of ReadReq hits
+system.cpu3.icache.demand_hits::cpu3.inst 24411 # number of demand (read+write) hits
+system.cpu3.icache.demand_hits::total 24411 # number of demand (read+write) hits
+system.cpu3.icache.overall_hits::cpu3.inst 24411 # number of overall hits
+system.cpu3.icache.overall_hits::total 24411 # number of overall hits
+system.cpu3.icache.ReadReq_misses::cpu3.inst 561 # number of ReadReq misses
+system.cpu3.icache.ReadReq_misses::total 561 # number of ReadReq misses
+system.cpu3.icache.demand_misses::cpu3.inst 561 # number of demand (read+write) misses
+system.cpu3.icache.demand_misses::total 561 # number of demand (read+write) misses
+system.cpu3.icache.overall_misses::cpu3.inst 561 # number of overall misses
+system.cpu3.icache.overall_misses::total 561 # number of overall misses
+system.cpu3.icache.ReadReq_miss_latency::cpu3.inst 7400997 # number of ReadReq miss cycles
+system.cpu3.icache.ReadReq_miss_latency::total 7400997 # number of ReadReq miss cycles
+system.cpu3.icache.demand_miss_latency::cpu3.inst 7400997 # number of demand (read+write) miss cycles
+system.cpu3.icache.demand_miss_latency::total 7400997 # number of demand (read+write) miss cycles
+system.cpu3.icache.overall_miss_latency::cpu3.inst 7400997 # number of overall miss cycles
+system.cpu3.icache.overall_miss_latency::total 7400997 # number of overall miss cycles
+system.cpu3.icache.ReadReq_accesses::cpu3.inst 24972 # number of ReadReq accesses(hits+misses)
+system.cpu3.icache.ReadReq_accesses::total 24972 # number of ReadReq accesses(hits+misses)
+system.cpu3.icache.demand_accesses::cpu3.inst 24972 # number of demand (read+write) accesses
+system.cpu3.icache.demand_accesses::total 24972 # number of demand (read+write) accesses
+system.cpu3.icache.overall_accesses::cpu3.inst 24972 # number of overall (read+write) accesses
+system.cpu3.icache.overall_accesses::total 24972 # number of overall (read+write) accesses
+system.cpu3.icache.ReadReq_miss_rate::cpu3.inst 0.022465 # miss rate for ReadReq accesses
+system.cpu3.icache.ReadReq_miss_rate::total 0.022465 # miss rate for ReadReq accesses
+system.cpu3.icache.demand_miss_rate::cpu3.inst 0.022465 # miss rate for demand accesses
+system.cpu3.icache.demand_miss_rate::total 0.022465 # miss rate for demand accesses
+system.cpu3.icache.overall_miss_rate::cpu3.inst 0.022465 # miss rate for overall accesses
+system.cpu3.icache.overall_miss_rate::total 0.022465 # miss rate for overall accesses
+system.cpu3.icache.ReadReq_avg_miss_latency::cpu3.inst 13192.508021 # average ReadReq miss latency
+system.cpu3.icache.ReadReq_avg_miss_latency::total 13192.508021 # average ReadReq miss latency
+system.cpu3.icache.demand_avg_miss_latency::cpu3.inst 13192.508021 # average overall miss latency
+system.cpu3.icache.demand_avg_miss_latency::total 13192.508021 # average overall miss latency
+system.cpu3.icache.overall_avg_miss_latency::cpu3.inst 13192.508021 # average overall miss latency
+system.cpu3.icache.overall_avg_miss_latency::total 13192.508021 # average overall miss latency
system.cpu3.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu3.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu3.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -2663,105 +2686,105 @@ system.cpu3.icache.demand_mshr_hits::cpu3.inst 62
system.cpu3.icache.demand_mshr_hits::total 62 # number of demand (read+write) MSHR hits
system.cpu3.icache.overall_mshr_hits::cpu3.inst 62 # number of overall MSHR hits
system.cpu3.icache.overall_mshr_hits::total 62 # number of overall MSHR hits
-system.cpu3.icache.ReadReq_mshr_misses::cpu3.inst 493 # number of ReadReq MSHR misses
-system.cpu3.icache.ReadReq_mshr_misses::total 493 # number of ReadReq MSHR misses
-system.cpu3.icache.demand_mshr_misses::cpu3.inst 493 # number of demand (read+write) MSHR misses
-system.cpu3.icache.demand_mshr_misses::total 493 # number of demand (read+write) MSHR misses
-system.cpu3.icache.overall_mshr_misses::cpu3.inst 493 # number of overall MSHR misses
-system.cpu3.icache.overall_mshr_misses::total 493 # number of overall MSHR misses
-system.cpu3.icache.ReadReq_mshr_miss_latency::cpu3.inst 5824754 # number of ReadReq MSHR miss cycles
-system.cpu3.icache.ReadReq_mshr_miss_latency::total 5824754 # number of ReadReq MSHR miss cycles
-system.cpu3.icache.demand_mshr_miss_latency::cpu3.inst 5824754 # number of demand (read+write) MSHR miss cycles
-system.cpu3.icache.demand_mshr_miss_latency::total 5824754 # number of demand (read+write) MSHR miss cycles
-system.cpu3.icache.overall_mshr_miss_latency::cpu3.inst 5824754 # number of overall MSHR miss cycles
-system.cpu3.icache.overall_mshr_miss_latency::total 5824754 # number of overall MSHR miss cycles
-system.cpu3.icache.ReadReq_mshr_miss_rate::cpu3.inst 0.019794 # mshr miss rate for ReadReq accesses
-system.cpu3.icache.ReadReq_mshr_miss_rate::total 0.019794 # mshr miss rate for ReadReq accesses
-system.cpu3.icache.demand_mshr_miss_rate::cpu3.inst 0.019794 # mshr miss rate for demand accesses
-system.cpu3.icache.demand_mshr_miss_rate::total 0.019794 # mshr miss rate for demand accesses
-system.cpu3.icache.overall_mshr_miss_rate::cpu3.inst 0.019794 # mshr miss rate for overall accesses
-system.cpu3.icache.overall_mshr_miss_rate::total 0.019794 # mshr miss rate for overall accesses
-system.cpu3.icache.ReadReq_avg_mshr_miss_latency::cpu3.inst 11814.916836 # average ReadReq mshr miss latency
-system.cpu3.icache.ReadReq_avg_mshr_miss_latency::total 11814.916836 # average ReadReq mshr miss latency
-system.cpu3.icache.demand_avg_mshr_miss_latency::cpu3.inst 11814.916836 # average overall mshr miss latency
-system.cpu3.icache.demand_avg_mshr_miss_latency::total 11814.916836 # average overall mshr miss latency
-system.cpu3.icache.overall_avg_mshr_miss_latency::cpu3.inst 11814.916836 # average overall mshr miss latency
-system.cpu3.icache.overall_avg_mshr_miss_latency::total 11814.916836 # average overall mshr miss latency
+system.cpu3.icache.ReadReq_mshr_misses::cpu3.inst 499 # number of ReadReq MSHR misses
+system.cpu3.icache.ReadReq_mshr_misses::total 499 # number of ReadReq MSHR misses
+system.cpu3.icache.demand_mshr_misses::cpu3.inst 499 # number of demand (read+write) MSHR misses
+system.cpu3.icache.demand_mshr_misses::total 499 # number of demand (read+write) MSHR misses
+system.cpu3.icache.overall_mshr_misses::cpu3.inst 499 # number of overall MSHR misses
+system.cpu3.icache.overall_mshr_misses::total 499 # number of overall MSHR misses
+system.cpu3.icache.ReadReq_mshr_miss_latency::cpu3.inst 5888752 # number of ReadReq MSHR miss cycles
+system.cpu3.icache.ReadReq_mshr_miss_latency::total 5888752 # number of ReadReq MSHR miss cycles
+system.cpu3.icache.demand_mshr_miss_latency::cpu3.inst 5888752 # number of demand (read+write) MSHR miss cycles
+system.cpu3.icache.demand_mshr_miss_latency::total 5888752 # number of demand (read+write) MSHR miss cycles
+system.cpu3.icache.overall_mshr_miss_latency::cpu3.inst 5888752 # number of overall MSHR miss cycles
+system.cpu3.icache.overall_mshr_miss_latency::total 5888752 # number of overall MSHR miss cycles
+system.cpu3.icache.ReadReq_mshr_miss_rate::cpu3.inst 0.019982 # mshr miss rate for ReadReq accesses
+system.cpu3.icache.ReadReq_mshr_miss_rate::total 0.019982 # mshr miss rate for ReadReq accesses
+system.cpu3.icache.demand_mshr_miss_rate::cpu3.inst 0.019982 # mshr miss rate for demand accesses
+system.cpu3.icache.demand_mshr_miss_rate::total 0.019982 # mshr miss rate for demand accesses
+system.cpu3.icache.overall_mshr_miss_rate::cpu3.inst 0.019982 # mshr miss rate for overall accesses
+system.cpu3.icache.overall_mshr_miss_rate::total 0.019982 # mshr miss rate for overall accesses
+system.cpu3.icache.ReadReq_avg_mshr_miss_latency::cpu3.inst 11801.106212 # average ReadReq mshr miss latency
+system.cpu3.icache.ReadReq_avg_mshr_miss_latency::total 11801.106212 # average ReadReq mshr miss latency
+system.cpu3.icache.demand_avg_mshr_miss_latency::cpu3.inst 11801.106212 # average overall mshr miss latency
+system.cpu3.icache.demand_avg_mshr_miss_latency::total 11801.106212 # average overall mshr miss latency
+system.cpu3.icache.overall_avg_mshr_miss_latency::cpu3.inst 11801.106212 # average overall mshr miss latency
+system.cpu3.icache.overall_avg_mshr_miss_latency::total 11801.106212 # average overall mshr miss latency
system.cpu3.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu3.dcache.tags.replacements 0 # number of replacements
-system.cpu3.dcache.tags.tagsinuse 23.433083 # Cycle average of tags in use
-system.cpu3.dcache.tags.total_refs 34557 # Total number of references to valid blocks.
+system.cpu3.dcache.tags.tagsinuse 23.453129 # Cycle average of tags in use
+system.cpu3.dcache.tags.total_refs 34358 # Total number of references to valid blocks.
system.cpu3.dcache.tags.sampled_refs 28 # Sample count of references to valid blocks.
-system.cpu3.dcache.tags.avg_refs 1234.178571 # Average number of references to valid blocks.
+system.cpu3.dcache.tags.avg_refs 1227.071429 # Average number of references to valid blocks.
system.cpu3.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu3.dcache.tags.occ_blocks::cpu3.data 23.433083 # Average occupied blocks per requestor
-system.cpu3.dcache.tags.occ_percent::cpu3.data 0.045768 # Average percentage of cache occupancy
-system.cpu3.dcache.tags.occ_percent::total 0.045768 # Average percentage of cache occupancy
+system.cpu3.dcache.tags.occ_blocks::cpu3.data 23.453129 # Average occupied blocks per requestor
+system.cpu3.dcache.tags.occ_percent::cpu3.data 0.045807 # Average percentage of cache occupancy
+system.cpu3.dcache.tags.occ_percent::total 0.045807 # Average percentage of cache occupancy
system.cpu3.dcache.tags.occ_task_id_blocks::1024 28 # Occupied blocks per task id
system.cpu3.dcache.tags.age_task_id_blocks_1024::1 28 # Occupied blocks per task id
system.cpu3.dcache.tags.occ_task_id_percent::1024 0.054688 # Percentage of cache occupancy per task id
-system.cpu3.dcache.tags.tag_accesses 274000 # Number of tag accesses
-system.cpu3.dcache.tags.data_accesses 274000 # Number of data accesses
-system.cpu3.dcache.ReadReq_hits::cpu3.data 39491 # number of ReadReq hits
-system.cpu3.dcache.ReadReq_hits::total 39491 # number of ReadReq hits
-system.cpu3.dcache.WriteReq_hits::cpu3.data 28303 # number of WriteReq hits
-system.cpu3.dcache.WriteReq_hits::total 28303 # number of WriteReq hits
+system.cpu3.dcache.tags.tag_accesses 272485 # Number of tag accesses
+system.cpu3.dcache.tags.data_accesses 272485 # Number of data accesses
+system.cpu3.dcache.ReadReq_hits::cpu3.data 39283 # number of ReadReq hits
+system.cpu3.dcache.ReadReq_hits::total 39283 # number of ReadReq hits
+system.cpu3.dcache.WriteReq_hits::cpu3.data 28128 # number of WriteReq hits
+system.cpu3.dcache.WriteReq_hits::total 28128 # number of WriteReq hits
system.cpu3.dcache.SwapReq_hits::cpu3.data 13 # number of SwapReq hits
system.cpu3.dcache.SwapReq_hits::total 13 # number of SwapReq hits
-system.cpu3.dcache.demand_hits::cpu3.data 67794 # number of demand (read+write) hits
-system.cpu3.dcache.demand_hits::total 67794 # number of demand (read+write) hits
-system.cpu3.dcache.overall_hits::cpu3.data 67794 # number of overall hits
-system.cpu3.dcache.overall_hits::total 67794 # number of overall hits
-system.cpu3.dcache.ReadReq_misses::cpu3.data 432 # number of ReadReq misses
-system.cpu3.dcache.ReadReq_misses::total 432 # number of ReadReq misses
-system.cpu3.dcache.WriteReq_misses::cpu3.data 140 # number of WriteReq misses
-system.cpu3.dcache.WriteReq_misses::total 140 # number of WriteReq misses
-system.cpu3.dcache.SwapReq_misses::cpu3.data 57 # number of SwapReq misses
-system.cpu3.dcache.SwapReq_misses::total 57 # number of SwapReq misses
-system.cpu3.dcache.demand_misses::cpu3.data 572 # number of demand (read+write) misses
-system.cpu3.dcache.demand_misses::total 572 # number of demand (read+write) misses
-system.cpu3.dcache.overall_misses::cpu3.data 572 # number of overall misses
-system.cpu3.dcache.overall_misses::total 572 # number of overall misses
-system.cpu3.dcache.ReadReq_miss_latency::cpu3.data 5736963 # number of ReadReq miss cycles
-system.cpu3.dcache.ReadReq_miss_latency::total 5736963 # number of ReadReq miss cycles
-system.cpu3.dcache.WriteReq_miss_latency::cpu3.data 2764512 # number of WriteReq miss cycles
-system.cpu3.dcache.WriteReq_miss_latency::total 2764512 # number of WriteReq miss cycles
-system.cpu3.dcache.SwapReq_miss_latency::cpu3.data 515508 # number of SwapReq miss cycles
-system.cpu3.dcache.SwapReq_miss_latency::total 515508 # number of SwapReq miss cycles
-system.cpu3.dcache.demand_miss_latency::cpu3.data 8501475 # number of demand (read+write) miss cycles
-system.cpu3.dcache.demand_miss_latency::total 8501475 # number of demand (read+write) miss cycles
-system.cpu3.dcache.overall_miss_latency::cpu3.data 8501475 # number of overall miss cycles
-system.cpu3.dcache.overall_miss_latency::total 8501475 # number of overall miss cycles
-system.cpu3.dcache.ReadReq_accesses::cpu3.data 39923 # number of ReadReq accesses(hits+misses)
-system.cpu3.dcache.ReadReq_accesses::total 39923 # number of ReadReq accesses(hits+misses)
-system.cpu3.dcache.WriteReq_accesses::cpu3.data 28443 # number of WriteReq accesses(hits+misses)
-system.cpu3.dcache.WriteReq_accesses::total 28443 # number of WriteReq accesses(hits+misses)
-system.cpu3.dcache.SwapReq_accesses::cpu3.data 70 # number of SwapReq accesses(hits+misses)
-system.cpu3.dcache.SwapReq_accesses::total 70 # number of SwapReq accesses(hits+misses)
-system.cpu3.dcache.demand_accesses::cpu3.data 68366 # number of demand (read+write) accesses
-system.cpu3.dcache.demand_accesses::total 68366 # number of demand (read+write) accesses
-system.cpu3.dcache.overall_accesses::cpu3.data 68366 # number of overall (read+write) accesses
-system.cpu3.dcache.overall_accesses::total 68366 # number of overall (read+write) accesses
-system.cpu3.dcache.ReadReq_miss_rate::cpu3.data 0.010821 # miss rate for ReadReq accesses
-system.cpu3.dcache.ReadReq_miss_rate::total 0.010821 # miss rate for ReadReq accesses
-system.cpu3.dcache.WriteReq_miss_rate::cpu3.data 0.004922 # miss rate for WriteReq accesses
-system.cpu3.dcache.WriteReq_miss_rate::total 0.004922 # miss rate for WriteReq accesses
-system.cpu3.dcache.SwapReq_miss_rate::cpu3.data 0.814286 # miss rate for SwapReq accesses
-system.cpu3.dcache.SwapReq_miss_rate::total 0.814286 # miss rate for SwapReq accesses
-system.cpu3.dcache.demand_miss_rate::cpu3.data 0.008367 # miss rate for demand accesses
-system.cpu3.dcache.demand_miss_rate::total 0.008367 # miss rate for demand accesses
-system.cpu3.dcache.overall_miss_rate::cpu3.data 0.008367 # miss rate for overall accesses
-system.cpu3.dcache.overall_miss_rate::total 0.008367 # miss rate for overall accesses
-system.cpu3.dcache.ReadReq_avg_miss_latency::cpu3.data 13280.006944 # average ReadReq miss latency
-system.cpu3.dcache.ReadReq_avg_miss_latency::total 13280.006944 # average ReadReq miss latency
-system.cpu3.dcache.WriteReq_avg_miss_latency::cpu3.data 19746.514286 # average WriteReq miss latency
-system.cpu3.dcache.WriteReq_avg_miss_latency::total 19746.514286 # average WriteReq miss latency
-system.cpu3.dcache.SwapReq_avg_miss_latency::cpu3.data 9044 # average SwapReq miss latency
-system.cpu3.dcache.SwapReq_avg_miss_latency::total 9044 # average SwapReq miss latency
-system.cpu3.dcache.demand_avg_miss_latency::cpu3.data 14862.718531 # average overall miss latency
-system.cpu3.dcache.demand_avg_miss_latency::total 14862.718531 # average overall miss latency
-system.cpu3.dcache.overall_avg_miss_latency::cpu3.data 14862.718531 # average overall miss latency
-system.cpu3.dcache.overall_avg_miss_latency::total 14862.718531 # average overall miss latency
+system.cpu3.dcache.demand_hits::cpu3.data 67411 # number of demand (read+write) hits
+system.cpu3.dcache.demand_hits::total 67411 # number of demand (read+write) hits
+system.cpu3.dcache.overall_hits::cpu3.data 67411 # number of overall hits
+system.cpu3.dcache.overall_hits::total 67411 # number of overall hits
+system.cpu3.dcache.ReadReq_misses::cpu3.data 435 # number of ReadReq misses
+system.cpu3.dcache.ReadReq_misses::total 435 # number of ReadReq misses
+system.cpu3.dcache.WriteReq_misses::cpu3.data 136 # number of WriteReq misses
+system.cpu3.dcache.WriteReq_misses::total 136 # number of WriteReq misses
+system.cpu3.dcache.SwapReq_misses::cpu3.data 62 # number of SwapReq misses
+system.cpu3.dcache.SwapReq_misses::total 62 # number of SwapReq misses
+system.cpu3.dcache.demand_misses::cpu3.data 571 # number of demand (read+write) misses
+system.cpu3.dcache.demand_misses::total 571 # number of demand (read+write) misses
+system.cpu3.dcache.overall_misses::cpu3.data 571 # number of overall misses
+system.cpu3.dcache.overall_misses::total 571 # number of overall misses
+system.cpu3.dcache.ReadReq_miss_latency::cpu3.data 5776999 # number of ReadReq miss cycles
+system.cpu3.dcache.ReadReq_miss_latency::total 5776999 # number of ReadReq miss cycles
+system.cpu3.dcache.WriteReq_miss_latency::cpu3.data 2748012 # number of WriteReq miss cycles
+system.cpu3.dcache.WriteReq_miss_latency::total 2748012 # number of WriteReq miss cycles
+system.cpu3.dcache.SwapReq_miss_latency::cpu3.data 544508 # number of SwapReq miss cycles
+system.cpu3.dcache.SwapReq_miss_latency::total 544508 # number of SwapReq miss cycles
+system.cpu3.dcache.demand_miss_latency::cpu3.data 8525011 # number of demand (read+write) miss cycles
+system.cpu3.dcache.demand_miss_latency::total 8525011 # number of demand (read+write) miss cycles
+system.cpu3.dcache.overall_miss_latency::cpu3.data 8525011 # number of overall miss cycles
+system.cpu3.dcache.overall_miss_latency::total 8525011 # number of overall miss cycles
+system.cpu3.dcache.ReadReq_accesses::cpu3.data 39718 # number of ReadReq accesses(hits+misses)
+system.cpu3.dcache.ReadReq_accesses::total 39718 # number of ReadReq accesses(hits+misses)
+system.cpu3.dcache.WriteReq_accesses::cpu3.data 28264 # number of WriteReq accesses(hits+misses)
+system.cpu3.dcache.WriteReq_accesses::total 28264 # number of WriteReq accesses(hits+misses)
+system.cpu3.dcache.SwapReq_accesses::cpu3.data 75 # number of SwapReq accesses(hits+misses)
+system.cpu3.dcache.SwapReq_accesses::total 75 # number of SwapReq accesses(hits+misses)
+system.cpu3.dcache.demand_accesses::cpu3.data 67982 # number of demand (read+write) accesses
+system.cpu3.dcache.demand_accesses::total 67982 # number of demand (read+write) accesses
+system.cpu3.dcache.overall_accesses::cpu3.data 67982 # number of overall (read+write) accesses
+system.cpu3.dcache.overall_accesses::total 67982 # number of overall (read+write) accesses
+system.cpu3.dcache.ReadReq_miss_rate::cpu3.data 0.010952 # miss rate for ReadReq accesses
+system.cpu3.dcache.ReadReq_miss_rate::total 0.010952 # miss rate for ReadReq accesses
+system.cpu3.dcache.WriteReq_miss_rate::cpu3.data 0.004812 # miss rate for WriteReq accesses
+system.cpu3.dcache.WriteReq_miss_rate::total 0.004812 # miss rate for WriteReq accesses
+system.cpu3.dcache.SwapReq_miss_rate::cpu3.data 0.826667 # miss rate for SwapReq accesses
+system.cpu3.dcache.SwapReq_miss_rate::total 0.826667 # miss rate for SwapReq accesses
+system.cpu3.dcache.demand_miss_rate::cpu3.data 0.008399 # miss rate for demand accesses
+system.cpu3.dcache.demand_miss_rate::total 0.008399 # miss rate for demand accesses
+system.cpu3.dcache.overall_miss_rate::cpu3.data 0.008399 # miss rate for overall accesses
+system.cpu3.dcache.overall_miss_rate::total 0.008399 # miss rate for overall accesses
+system.cpu3.dcache.ReadReq_avg_miss_latency::cpu3.data 13280.457471 # average ReadReq miss latency
+system.cpu3.dcache.ReadReq_avg_miss_latency::total 13280.457471 # average ReadReq miss latency
+system.cpu3.dcache.WriteReq_avg_miss_latency::cpu3.data 20205.970588 # average WriteReq miss latency
+system.cpu3.dcache.WriteReq_avg_miss_latency::total 20205.970588 # average WriteReq miss latency
+system.cpu3.dcache.SwapReq_avg_miss_latency::cpu3.data 8782.387097 # average SwapReq miss latency
+system.cpu3.dcache.SwapReq_avg_miss_latency::total 8782.387097 # average SwapReq miss latency
+system.cpu3.dcache.demand_avg_miss_latency::cpu3.data 14929.966725 # average overall miss latency
+system.cpu3.dcache.demand_avg_miss_latency::total 14929.966725 # average overall miss latency
+system.cpu3.dcache.overall_avg_miss_latency::cpu3.data 14929.966725 # average overall miss latency
+system.cpu3.dcache.overall_avg_miss_latency::total 14929.966725 # average overall miss latency
system.cpu3.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu3.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu3.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -2778,46 +2801,46 @@ system.cpu3.dcache.demand_mshr_hits::cpu3.data 302
system.cpu3.dcache.demand_mshr_hits::total 302 # number of demand (read+write) MSHR hits
system.cpu3.dcache.overall_mshr_hits::cpu3.data 302 # number of overall MSHR hits
system.cpu3.dcache.overall_mshr_hits::total 302 # number of overall MSHR hits
-system.cpu3.dcache.ReadReq_mshr_misses::cpu3.data 163 # number of ReadReq MSHR misses
-system.cpu3.dcache.ReadReq_mshr_misses::total 163 # number of ReadReq MSHR misses
-system.cpu3.dcache.WriteReq_mshr_misses::cpu3.data 107 # number of WriteReq MSHR misses
-system.cpu3.dcache.WriteReq_mshr_misses::total 107 # number of WriteReq MSHR misses
-system.cpu3.dcache.SwapReq_mshr_misses::cpu3.data 57 # number of SwapReq MSHR misses
-system.cpu3.dcache.SwapReq_mshr_misses::total 57 # number of SwapReq MSHR misses
-system.cpu3.dcache.demand_mshr_misses::cpu3.data 270 # number of demand (read+write) MSHR misses
-system.cpu3.dcache.demand_mshr_misses::total 270 # number of demand (read+write) MSHR misses
-system.cpu3.dcache.overall_mshr_misses::cpu3.data 270 # number of overall MSHR misses
-system.cpu3.dcache.overall_mshr_misses::total 270 # number of overall MSHR misses
-system.cpu3.dcache.ReadReq_mshr_miss_latency::cpu3.data 1168525 # number of ReadReq MSHR miss cycles
-system.cpu3.dcache.ReadReq_mshr_miss_latency::total 1168525 # number of ReadReq MSHR miss cycles
-system.cpu3.dcache.WriteReq_mshr_miss_latency::cpu3.data 1299988 # number of WriteReq MSHR miss cycles
-system.cpu3.dcache.WriteReq_mshr_miss_latency::total 1299988 # number of WriteReq MSHR miss cycles
-system.cpu3.dcache.SwapReq_mshr_miss_latency::cpu3.data 401492 # number of SwapReq MSHR miss cycles
-system.cpu3.dcache.SwapReq_mshr_miss_latency::total 401492 # number of SwapReq MSHR miss cycles
-system.cpu3.dcache.demand_mshr_miss_latency::cpu3.data 2468513 # number of demand (read+write) MSHR miss cycles
-system.cpu3.dcache.demand_mshr_miss_latency::total 2468513 # number of demand (read+write) MSHR miss cycles
-system.cpu3.dcache.overall_mshr_miss_latency::cpu3.data 2468513 # number of overall MSHR miss cycles
-system.cpu3.dcache.overall_mshr_miss_latency::total 2468513 # number of overall MSHR miss cycles
-system.cpu3.dcache.ReadReq_mshr_miss_rate::cpu3.data 0.004083 # mshr miss rate for ReadReq accesses
-system.cpu3.dcache.ReadReq_mshr_miss_rate::total 0.004083 # mshr miss rate for ReadReq accesses
-system.cpu3.dcache.WriteReq_mshr_miss_rate::cpu3.data 0.003762 # mshr miss rate for WriteReq accesses
-system.cpu3.dcache.WriteReq_mshr_miss_rate::total 0.003762 # mshr miss rate for WriteReq accesses
-system.cpu3.dcache.SwapReq_mshr_miss_rate::cpu3.data 0.814286 # mshr miss rate for SwapReq accesses
-system.cpu3.dcache.SwapReq_mshr_miss_rate::total 0.814286 # mshr miss rate for SwapReq accesses
-system.cpu3.dcache.demand_mshr_miss_rate::cpu3.data 0.003949 # mshr miss rate for demand accesses
-system.cpu3.dcache.demand_mshr_miss_rate::total 0.003949 # mshr miss rate for demand accesses
-system.cpu3.dcache.overall_mshr_miss_rate::cpu3.data 0.003949 # mshr miss rate for overall accesses
-system.cpu3.dcache.overall_mshr_miss_rate::total 0.003949 # mshr miss rate for overall accesses
-system.cpu3.dcache.ReadReq_avg_mshr_miss_latency::cpu3.data 7168.865031 # average ReadReq mshr miss latency
-system.cpu3.dcache.ReadReq_avg_mshr_miss_latency::total 7168.865031 # average ReadReq mshr miss latency
-system.cpu3.dcache.WriteReq_avg_mshr_miss_latency::cpu3.data 12149.420561 # average WriteReq mshr miss latency
-system.cpu3.dcache.WriteReq_avg_mshr_miss_latency::total 12149.420561 # average WriteReq mshr miss latency
-system.cpu3.dcache.SwapReq_avg_mshr_miss_latency::cpu3.data 7043.719298 # average SwapReq mshr miss latency
-system.cpu3.dcache.SwapReq_avg_mshr_miss_latency::total 7043.719298 # average SwapReq mshr miss latency
-system.cpu3.dcache.demand_avg_mshr_miss_latency::cpu3.data 9142.640741 # average overall mshr miss latency
-system.cpu3.dcache.demand_avg_mshr_miss_latency::total 9142.640741 # average overall mshr miss latency
-system.cpu3.dcache.overall_avg_mshr_miss_latency::cpu3.data 9142.640741 # average overall mshr miss latency
-system.cpu3.dcache.overall_avg_mshr_miss_latency::total 9142.640741 # average overall mshr miss latency
+system.cpu3.dcache.ReadReq_mshr_misses::cpu3.data 166 # number of ReadReq MSHR misses
+system.cpu3.dcache.ReadReq_mshr_misses::total 166 # number of ReadReq MSHR misses
+system.cpu3.dcache.WriteReq_mshr_misses::cpu3.data 103 # number of WriteReq MSHR misses
+system.cpu3.dcache.WriteReq_mshr_misses::total 103 # number of WriteReq MSHR misses
+system.cpu3.dcache.SwapReq_mshr_misses::cpu3.data 62 # number of SwapReq MSHR misses
+system.cpu3.dcache.SwapReq_mshr_misses::total 62 # number of SwapReq MSHR misses
+system.cpu3.dcache.demand_mshr_misses::cpu3.data 269 # number of demand (read+write) MSHR misses
+system.cpu3.dcache.demand_mshr_misses::total 269 # number of demand (read+write) MSHR misses
+system.cpu3.dcache.overall_mshr_misses::cpu3.data 269 # number of overall MSHR misses
+system.cpu3.dcache.overall_mshr_misses::total 269 # number of overall MSHR misses
+system.cpu3.dcache.ReadReq_mshr_miss_latency::cpu3.data 1189025 # number of ReadReq MSHR miss cycles
+system.cpu3.dcache.ReadReq_mshr_miss_latency::total 1189025 # number of ReadReq MSHR miss cycles
+system.cpu3.dcache.WriteReq_mshr_miss_latency::cpu3.data 1291488 # number of WriteReq MSHR miss cycles
+system.cpu3.dcache.WriteReq_mshr_miss_latency::total 1291488 # number of WriteReq MSHR miss cycles
+system.cpu3.dcache.SwapReq_mshr_miss_latency::cpu3.data 420492 # number of SwapReq MSHR miss cycles
+system.cpu3.dcache.SwapReq_mshr_miss_latency::total 420492 # number of SwapReq MSHR miss cycles
+system.cpu3.dcache.demand_mshr_miss_latency::cpu3.data 2480513 # number of demand (read+write) MSHR miss cycles
+system.cpu3.dcache.demand_mshr_miss_latency::total 2480513 # number of demand (read+write) MSHR miss cycles
+system.cpu3.dcache.overall_mshr_miss_latency::cpu3.data 2480513 # number of overall MSHR miss cycles
+system.cpu3.dcache.overall_mshr_miss_latency::total 2480513 # number of overall MSHR miss cycles
+system.cpu3.dcache.ReadReq_mshr_miss_rate::cpu3.data 0.004179 # mshr miss rate for ReadReq accesses
+system.cpu3.dcache.ReadReq_mshr_miss_rate::total 0.004179 # mshr miss rate for ReadReq accesses
+system.cpu3.dcache.WriteReq_mshr_miss_rate::cpu3.data 0.003644 # mshr miss rate for WriteReq accesses
+system.cpu3.dcache.WriteReq_mshr_miss_rate::total 0.003644 # mshr miss rate for WriteReq accesses
+system.cpu3.dcache.SwapReq_mshr_miss_rate::cpu3.data 0.826667 # mshr miss rate for SwapReq accesses
+system.cpu3.dcache.SwapReq_mshr_miss_rate::total 0.826667 # mshr miss rate for SwapReq accesses
+system.cpu3.dcache.demand_mshr_miss_rate::cpu3.data 0.003957 # mshr miss rate for demand accesses
+system.cpu3.dcache.demand_mshr_miss_rate::total 0.003957 # mshr miss rate for demand accesses
+system.cpu3.dcache.overall_mshr_miss_rate::cpu3.data 0.003957 # mshr miss rate for overall accesses
+system.cpu3.dcache.overall_mshr_miss_rate::total 0.003957 # mshr miss rate for overall accesses
+system.cpu3.dcache.ReadReq_avg_mshr_miss_latency::cpu3.data 7162.801205 # average ReadReq mshr miss latency
+system.cpu3.dcache.ReadReq_avg_mshr_miss_latency::total 7162.801205 # average ReadReq mshr miss latency
+system.cpu3.dcache.WriteReq_avg_mshr_miss_latency::cpu3.data 12538.718447 # average WriteReq mshr miss latency
+system.cpu3.dcache.WriteReq_avg_mshr_miss_latency::total 12538.718447 # average WriteReq mshr miss latency
+system.cpu3.dcache.SwapReq_avg_mshr_miss_latency::cpu3.data 6782.129032 # average SwapReq mshr miss latency
+system.cpu3.dcache.SwapReq_avg_mshr_miss_latency::total 6782.129032 # average SwapReq mshr miss latency
+system.cpu3.dcache.demand_avg_mshr_miss_latency::cpu3.data 9221.237918 # average overall mshr miss latency
+system.cpu3.dcache.demand_avg_mshr_miss_latency::total 9221.237918 # average overall mshr miss latency
+system.cpu3.dcache.overall_avg_mshr_miss_latency::cpu3.data 9221.237918 # average overall mshr miss latency
+system.cpu3.dcache.overall_avg_mshr_miss_latency::total 9221.237918 # average overall mshr miss latency
system.cpu3.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-atomic-mp/stats.txt b/tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-atomic-mp/stats.txt
index 3bc9d35ce..f16a9829d 100644
--- a/tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-atomic-mp/stats.txt
+++ b/tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-atomic-mp/stats.txt
@@ -4,86 +4,104 @@ sim_seconds 0.000088 # Nu
sim_ticks 87707000 # Number of ticks simulated
final_tick 87707000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 1618143 # Simulator instruction rate (inst/s)
-host_op_rate 1618081 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 209518099 # Simulator tick rate (ticks/s)
-host_mem_usage 283888 # Number of bytes of host memory used
-host_seconds 0.42 # Real time elapsed on the host
-sim_insts 677327 # Number of instructions simulated
-sim_ops 677327 # Number of ops (including micro ops) simulated
+host_inst_rate 1398636 # Simulator instruction rate (inst/s)
+host_op_rate 1398593 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 181097192 # Simulator tick rate (ticks/s)
+host_mem_usage 299844 # Number of bytes of host memory used
+host_seconds 0.48 # Real time elapsed on the host
+sim_insts 677333 # Number of instructions simulated
+sim_ops 677333 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
system.physmem.bytes_read::cpu0.inst 18048 # Number of bytes read from this memory
system.physmem.bytes_read::cpu0.data 10560 # Number of bytes read from this memory
system.physmem.bytes_read::cpu1.inst 3968 # Number of bytes read from this memory
system.physmem.bytes_read::cpu1.data 1280 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu2.inst 128 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu2.inst 192 # Number of bytes read from this memory
system.physmem.bytes_read::cpu2.data 832 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu3.inst 128 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu3.inst 64 # Number of bytes read from this memory
system.physmem.bytes_read::cpu3.data 832 # Number of bytes read from this memory
system.physmem.bytes_read::total 35776 # Number of bytes read from this memory
system.physmem.bytes_inst_read::cpu0.inst 18048 # Number of instructions bytes read from this memory
system.physmem.bytes_inst_read::cpu1.inst 3968 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::cpu2.inst 128 # Number of instructions bytes read from this memory
-system.physmem.bytes_inst_read::cpu3.inst 128 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::cpu2.inst 192 # Number of instructions bytes read from this memory
+system.physmem.bytes_inst_read::cpu3.inst 64 # Number of instructions bytes read from this memory
system.physmem.bytes_inst_read::total 22272 # Number of instructions bytes read from this memory
system.physmem.num_reads::cpu0.inst 282 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu0.data 165 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu1.inst 62 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu1.data 20 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu2.inst 2 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu2.inst 3 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu2.data 13 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu3.inst 2 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu3.inst 1 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu3.data 13 # Number of read requests responded to by this memory
system.physmem.num_reads::total 559 # Number of read requests responded to by this memory
system.physmem.bw_read::cpu0.inst 205776050 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu0.data 120400880 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu1.inst 45241543 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu1.data 14594046 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu2.inst 1459405 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu2.inst 2189107 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu2.data 9486130 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu3.inst 1459405 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu3.inst 729702 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::cpu3.data 9486130 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_read::total 407903588 # Total read bandwidth from this memory (bytes/s)
system.physmem.bw_inst_read::cpu0.inst 205776050 # Instruction read bandwidth from this memory (bytes/s)
system.physmem.bw_inst_read::cpu1.inst 45241543 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu2.inst 1459405 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu3.inst 1459405 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu2.inst 2189107 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu3.inst 729702 # Instruction read bandwidth from this memory (bytes/s)
system.physmem.bw_inst_read::total 253936402 # Instruction read bandwidth from this memory (bytes/s)
system.physmem.bw_total::cpu0.inst 205776050 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu0.data 120400880 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu1.inst 45241543 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu1.data 14594046 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu2.inst 1459405 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu2.inst 2189107 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu2.data 9486130 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu3.inst 1459405 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu3.inst 729702 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu3.data 9486130 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 407903588 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 407903588 # Throughput (bytes/s)
-system.membus.data_through_bus 35776 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.trans_dist::ReadReq 423 # Transaction distribution
+system.membus.trans_dist::ReadResp 423 # Transaction distribution
+system.membus.trans_dist::UpgradeReq 273 # Transaction distribution
+system.membus.trans_dist::UpgradeResp 80 # Transaction distribution
+system.membus.trans_dist::ReadExReq 412 # Transaction distribution
+system.membus.trans_dist::ReadExResp 136 # Transaction distribution
+system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 1747 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 1747 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.physmem.port 35776 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 35776 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 0 # Total snoops (count)
+system.membus.snoop_fanout::samples 1108 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 1108 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 1108 # Request fanout histogram
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.l2c.tags.replacements 0 # number of replacements
-system.l2c.tags.tagsinuse 366.582542 # Cycle average of tags in use
+system.l2c.tags.tagsinuse 366.582953 # Cycle average of tags in use
system.l2c.tags.total_refs 1220 # Total number of references to valid blocks.
system.l2c.tags.sampled_refs 421 # Sample count of references to valid blocks.
system.l2c.tags.avg_refs 2.897862 # Average number of references to valid blocks.
system.l2c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
system.l2c.tags.occ_blocks::writebacks 0.966439 # Average occupied blocks per requestor
system.l2c.tags.occ_blocks::cpu0.inst 239.426226 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.data 55.207595 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.inst 59.511852 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.data 6.721145 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu2.inst 1.930661 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu2.data 0.935410 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu3.inst 0.977573 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu3.data 0.905640 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.data 55.207589 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.inst 59.512205 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.data 6.721185 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu2.inst 1.942787 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu2.data 0.935416 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu3.inst 0.965459 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu3.data 0.905646 # Average occupied blocks per requestor
system.l2c.tags.occ_percent::writebacks 0.000015 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu0.inst 0.003653 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu0.data 0.000842 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu1.inst 0.000908 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu1.data 0.000103 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu2.inst 0.000029 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu2.inst 0.000030 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu2.data 0.000014 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu3.inst 0.000015 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu3.data 0.000014 # Average percentage of cache occupancy
@@ -92,15 +110,15 @@ system.l2c.tags.occ_task_id_blocks::1024 421 # Oc
system.l2c.tags.age_task_id_blocks_1024::0 48 # Occupied blocks per task id
system.l2c.tags.age_task_id_blocks_1024::1 373 # Occupied blocks per task id
system.l2c.tags.occ_task_id_percent::1024 0.006424 # Percentage of cache occupancy per task id
-system.l2c.tags.tag_accesses 15488 # Number of tag accesses
-system.l2c.tags.data_accesses 15488 # Number of data accesses
+system.l2c.tags.tag_accesses 15456 # Number of tag accesses
+system.l2c.tags.data_accesses 15456 # Number of data accesses
system.l2c.ReadReq_hits::cpu0.inst 185 # number of ReadReq hits
system.l2c.ReadReq_hits::cpu0.data 5 # number of ReadReq hits
system.l2c.ReadReq_hits::cpu1.inst 296 # number of ReadReq hits
system.l2c.ReadReq_hits::cpu1.data 3 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu2.inst 356 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu2.inst 355 # number of ReadReq hits
system.l2c.ReadReq_hits::cpu2.data 9 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu3.inst 357 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu3.inst 358 # number of ReadReq hits
system.l2c.ReadReq_hits::cpu3.data 9 # number of ReadReq hits
system.l2c.ReadReq_hits::total 1220 # number of ReadReq hits
system.l2c.Writeback_hits::writebacks 1 # number of Writeback hits
@@ -111,34 +129,34 @@ system.l2c.demand_hits::cpu0.inst 185 # nu
system.l2c.demand_hits::cpu0.data 5 # number of demand (read+write) hits
system.l2c.demand_hits::cpu1.inst 296 # number of demand (read+write) hits
system.l2c.demand_hits::cpu1.data 3 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu2.inst 356 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu2.inst 355 # number of demand (read+write) hits
system.l2c.demand_hits::cpu2.data 9 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu3.inst 357 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu3.inst 358 # number of demand (read+write) hits
system.l2c.demand_hits::cpu3.data 9 # number of demand (read+write) hits
system.l2c.demand_hits::total 1220 # number of demand (read+write) hits
system.l2c.overall_hits::cpu0.inst 185 # number of overall hits
system.l2c.overall_hits::cpu0.data 5 # number of overall hits
system.l2c.overall_hits::cpu1.inst 296 # number of overall hits
system.l2c.overall_hits::cpu1.data 3 # number of overall hits
-system.l2c.overall_hits::cpu2.inst 356 # number of overall hits
+system.l2c.overall_hits::cpu2.inst 355 # number of overall hits
system.l2c.overall_hits::cpu2.data 9 # number of overall hits
-system.l2c.overall_hits::cpu3.inst 357 # number of overall hits
+system.l2c.overall_hits::cpu3.inst 358 # number of overall hits
system.l2c.overall_hits::cpu3.data 9 # number of overall hits
system.l2c.overall_hits::total 1220 # number of overall hits
system.l2c.ReadReq_misses::cpu0.inst 282 # number of ReadReq misses
system.l2c.ReadReq_misses::cpu0.data 66 # number of ReadReq misses
system.l2c.ReadReq_misses::cpu1.inst 62 # number of ReadReq misses
system.l2c.ReadReq_misses::cpu1.data 7 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu2.inst 2 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu2.inst 3 # number of ReadReq misses
system.l2c.ReadReq_misses::cpu2.data 1 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu3.inst 2 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu3.inst 1 # number of ReadReq misses
system.l2c.ReadReq_misses::cpu3.data 1 # number of ReadReq misses
system.l2c.ReadReq_misses::total 423 # number of ReadReq misses
-system.l2c.UpgradeReq_misses::cpu0.data 29 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu1.data 18 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu2.data 19 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu3.data 18 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::total 84 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu0.data 28 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu1.data 16 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu2.data 17 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu3.data 19 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::total 80 # number of UpgradeReq misses
system.l2c.ReadExReq_misses::cpu0.data 99 # number of ReadExReq misses
system.l2c.ReadExReq_misses::cpu1.data 13 # number of ReadExReq misses
system.l2c.ReadExReq_misses::cpu2.data 12 # number of ReadExReq misses
@@ -148,18 +166,18 @@ system.l2c.demand_misses::cpu0.inst 282 # nu
system.l2c.demand_misses::cpu0.data 165 # number of demand (read+write) misses
system.l2c.demand_misses::cpu1.inst 62 # number of demand (read+write) misses
system.l2c.demand_misses::cpu1.data 20 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu2.inst 2 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu2.inst 3 # number of demand (read+write) misses
system.l2c.demand_misses::cpu2.data 13 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu3.inst 2 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu3.inst 1 # number of demand (read+write) misses
system.l2c.demand_misses::cpu3.data 13 # number of demand (read+write) misses
system.l2c.demand_misses::total 559 # number of demand (read+write) misses
system.l2c.overall_misses::cpu0.inst 282 # number of overall misses
system.l2c.overall_misses::cpu0.data 165 # number of overall misses
system.l2c.overall_misses::cpu1.inst 62 # number of overall misses
system.l2c.overall_misses::cpu1.data 20 # number of overall misses
-system.l2c.overall_misses::cpu2.inst 2 # number of overall misses
+system.l2c.overall_misses::cpu2.inst 3 # number of overall misses
system.l2c.overall_misses::cpu2.data 13 # number of overall misses
-system.l2c.overall_misses::cpu3.inst 2 # number of overall misses
+system.l2c.overall_misses::cpu3.inst 1 # number of overall misses
system.l2c.overall_misses::cpu3.data 13 # number of overall misses
system.l2c.overall_misses::total 559 # number of overall misses
system.l2c.ReadReq_accesses::cpu0.inst 467 # number of ReadReq accesses(hits+misses)
@@ -173,11 +191,11 @@ system.l2c.ReadReq_accesses::cpu3.data 10 # nu
system.l2c.ReadReq_accesses::total 1643 # number of ReadReq accesses(hits+misses)
system.l2c.Writeback_accesses::writebacks 1 # number of Writeback accesses(hits+misses)
system.l2c.Writeback_accesses::total 1 # number of Writeback accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu0.data 31 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu1.data 18 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu2.data 19 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu3.data 18 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::total 86 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu0.data 30 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu1.data 16 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu2.data 17 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu3.data 19 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::total 82 # number of UpgradeReq accesses(hits+misses)
system.l2c.ReadExReq_accesses::cpu0.data 99 # number of ReadExReq accesses(hits+misses)
system.l2c.ReadExReq_accesses::cpu1.data 13 # number of ReadExReq accesses(hits+misses)
system.l2c.ReadExReq_accesses::cpu2.data 12 # number of ReadExReq accesses(hits+misses)
@@ -205,16 +223,16 @@ system.l2c.ReadReq_miss_rate::cpu0.inst 0.603854 # mi
system.l2c.ReadReq_miss_rate::cpu0.data 0.929577 # miss rate for ReadReq accesses
system.l2c.ReadReq_miss_rate::cpu1.inst 0.173184 # miss rate for ReadReq accesses
system.l2c.ReadReq_miss_rate::cpu1.data 0.700000 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu2.inst 0.005587 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu2.inst 0.008380 # miss rate for ReadReq accesses
system.l2c.ReadReq_miss_rate::cpu2.data 0.100000 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu3.inst 0.005571 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu3.inst 0.002786 # miss rate for ReadReq accesses
system.l2c.ReadReq_miss_rate::cpu3.data 0.100000 # miss rate for ReadReq accesses
system.l2c.ReadReq_miss_rate::total 0.257456 # miss rate for ReadReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu0.data 0.935484 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu0.data 0.933333 # miss rate for UpgradeReq accesses
system.l2c.UpgradeReq_miss_rate::cpu1.data 1 # miss rate for UpgradeReq accesses
system.l2c.UpgradeReq_miss_rate::cpu2.data 1 # miss rate for UpgradeReq accesses
system.l2c.UpgradeReq_miss_rate::cpu3.data 1 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::total 0.976744 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::total 0.975610 # miss rate for UpgradeReq accesses
system.l2c.ReadExReq_miss_rate::cpu0.data 1 # miss rate for ReadExReq accesses
system.l2c.ReadExReq_miss_rate::cpu1.data 1 # miss rate for ReadExReq accesses
system.l2c.ReadExReq_miss_rate::cpu2.data 1 # miss rate for ReadExReq accesses
@@ -224,18 +242,18 @@ system.l2c.demand_miss_rate::cpu0.inst 0.603854 # mi
system.l2c.demand_miss_rate::cpu0.data 0.970588 # miss rate for demand accesses
system.l2c.demand_miss_rate::cpu1.inst 0.173184 # miss rate for demand accesses
system.l2c.demand_miss_rate::cpu1.data 0.869565 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu2.inst 0.005587 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu2.inst 0.008380 # miss rate for demand accesses
system.l2c.demand_miss_rate::cpu2.data 0.590909 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu3.inst 0.005571 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu3.inst 0.002786 # miss rate for demand accesses
system.l2c.demand_miss_rate::cpu3.data 0.590909 # miss rate for demand accesses
system.l2c.demand_miss_rate::total 0.314221 # miss rate for demand accesses
system.l2c.overall_miss_rate::cpu0.inst 0.603854 # miss rate for overall accesses
system.l2c.overall_miss_rate::cpu0.data 0.970588 # miss rate for overall accesses
system.l2c.overall_miss_rate::cpu1.inst 0.173184 # miss rate for overall accesses
system.l2c.overall_miss_rate::cpu1.data 0.869565 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu2.inst 0.005587 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu2.inst 0.008380 # miss rate for overall accesses
system.l2c.overall_miss_rate::cpu2.data 0.590909 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu3.inst 0.005571 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu3.inst 0.002786 # miss rate for overall accesses
system.l2c.overall_miss_rate::cpu3.data 0.590909 # miss rate for overall accesses
system.l2c.overall_miss_rate::total 0.314221 # miss rate for overall accesses
system.l2c.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
@@ -247,9 +265,49 @@ system.l2c.avg_blocked_cycles::no_targets nan # a
system.l2c.fast_writes 0 # number of fast writes performed
system.l2c.cache_copies 0 # number of cache copies performed
system.l2c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.toL2Bus.throughput 1893577480 # Throughput (bytes/s)
-system.toL2Bus.data_through_bus 166080 # Total data (bytes)
-system.toL2Bus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.toL2Bus.trans_dist::ReadReq 2179 # Transaction distribution
+system.toL2Bus.trans_dist::ReadResp 2179 # Transaction distribution
+system.toL2Bus.trans_dist::Writeback 1 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeReq 275 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeResp 275 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExReq 412 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExResp 412 # Transaction distribution
+system.toL2Bus.pkt_count_system.cpu0.icache.mem_side::system.l2c.cpu_side 934 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu0.dcache.mem_side::system.l2c.cpu_side 711 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu1.icache.mem_side::system.l2c.cpu_side 716 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu1.dcache.mem_side::system.l2c.cpu_side 696 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu2.icache.mem_side::system.l2c.cpu_side 716 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu2.dcache.mem_side::system.l2c.cpu_side 618 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu3.icache.mem_side::system.l2c.cpu_side 718 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu3.dcache.mem_side::system.l2c.cpu_side 624 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count::total 5733 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.icache.mem_side::system.l2c.cpu_side 29888 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.dcache.mem_side::system.l2c.cpu_side 18752 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.icache.mem_side::system.l2c.cpu_side 22912 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.dcache.mem_side::system.l2c.cpu_side 17600 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu2.icache.mem_side::system.l2c.cpu_side 22912 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu2.dcache.mem_side::system.l2c.cpu_side 15424 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu3.icache.mem_side::system.l2c.cpu_side 22976 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu3.dcache.mem_side::system.l2c.cpu_side 15424 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size::total 165888 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.snoops 0 # Total snoops (count)
+system.toL2Bus.snoop_fanout::samples 2867 # Request fanout histogram
+system.toL2Bus.snoop_fanout::mean 7 # Request fanout histogram
+system.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::5 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::6 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::7 2867 100.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::8 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::min_value 7 # Request fanout histogram
+system.toL2Bus.snoop_fanout::max_value 7 # Request fanout histogram
+system.toL2Bus.snoop_fanout::total 2867 # Request fanout histogram
system.cpu0.workload.num_syscalls 89 # Number of system calls
system.cpu0.numCycles 175415 # number of cpu cycles simulated
system.cpu0.numWorkItemsStarted 0 # number of work items this cpu started
@@ -310,12 +368,12 @@ system.cpu0.op_class::IprAccess 0 0.00% 100.00% # Cl
system.cpu0.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
system.cpu0.op_class::total 175388 # Class of executed instruction
system.cpu0.icache.tags.replacements 215 # number of replacements
-system.cpu0.icache.tags.tagsinuse 222.772698 # Cycle average of tags in use
+system.cpu0.icache.tags.tagsinuse 222.772732 # Cycle average of tags in use
system.cpu0.icache.tags.total_refs 174921 # Total number of references to valid blocks.
system.cpu0.icache.tags.sampled_refs 467 # Sample count of references to valid blocks.
system.cpu0.icache.tags.avg_refs 374.563169 # Average number of references to valid blocks.
system.cpu0.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu0.icache.tags.occ_blocks::cpu0.inst 222.772698 # Average occupied blocks per requestor
+system.cpu0.icache.tags.occ_blocks::cpu0.inst 222.772732 # Average occupied blocks per requestor
system.cpu0.icache.tags.occ_percent::cpu0.inst 0.435103 # Average percentage of cache occupancy
system.cpu0.icache.tags.occ_percent::total 0.435103 # Average percentage of cache occupancy
system.cpu0.icache.tags.occ_task_id_blocks::1024 252 # Occupied blocks per task id
@@ -358,20 +416,20 @@ system.cpu0.icache.fast_writes 0 # nu
system.cpu0.icache.cache_copies 0 # number of cache copies performed
system.cpu0.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu0.dcache.tags.replacements 2 # number of replacements
-system.cpu0.dcache.tags.tagsinuse 150.745494 # Cycle average of tags in use
-system.cpu0.dcache.tags.total_refs 81883 # Total number of references to valid blocks.
+system.cpu0.dcache.tags.tagsinuse 150.745705 # Cycle average of tags in use
+system.cpu0.dcache.tags.total_refs 81882 # Total number of references to valid blocks.
system.cpu0.dcache.tags.sampled_refs 167 # Sample count of references to valid blocks.
-system.cpu0.dcache.tags.avg_refs 490.317365 # Average number of references to valid blocks.
+system.cpu0.dcache.tags.avg_refs 490.311377 # Average number of references to valid blocks.
system.cpu0.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu0.dcache.tags.occ_blocks::cpu0.data 150.745494 # Average occupied blocks per requestor
+system.cpu0.dcache.tags.occ_blocks::cpu0.data 150.745705 # Average occupied blocks per requestor
system.cpu0.dcache.tags.occ_percent::cpu0.data 0.294425 # Average percentage of cache occupancy
system.cpu0.dcache.tags.occ_percent::total 0.294425 # Average percentage of cache occupancy
system.cpu0.dcache.tags.occ_task_id_blocks::1024 165 # Occupied blocks per task id
system.cpu0.dcache.tags.age_task_id_blocks_1024::0 16 # Occupied blocks per task id
system.cpu0.dcache.tags.age_task_id_blocks_1024::1 149 # Occupied blocks per task id
system.cpu0.dcache.tags.occ_task_id_percent::1024 0.322266 # Percentage of cache occupancy per task id
-system.cpu0.dcache.tags.tag_accesses 329803 # Number of tag accesses
-system.cpu0.dcache.tags.data_accesses 329803 # Number of data accesses
+system.cpu0.dcache.tags.tag_accesses 329804 # Number of tag accesses
+system.cpu0.dcache.tags.data_accesses 329804 # Number of data accesses
system.cpu0.dcache.ReadReq_hits::cpu0.data 54430 # number of ReadReq hits
system.cpu0.dcache.ReadReq_hits::total 54430 # number of ReadReq hits
system.cpu0.dcache.WriteReq_hits::cpu0.data 27578 # number of WriteReq hits
@@ -423,31 +481,31 @@ system.cpu0.dcache.cache_copies 0 # nu
system.cpu0.dcache.writebacks::writebacks 1 # number of writebacks
system.cpu0.dcache.writebacks::total 1 # number of writebacks
system.cpu0.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu1.numCycles 173295 # number of cpu cycles simulated
+system.cpu1.numCycles 173297 # number of cpu cycles simulated
system.cpu1.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu1.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu1.committedInsts 167398 # Number of instructions committed
-system.cpu1.committedOps 167398 # Number of ops (including micro ops) committed
-system.cpu1.num_int_alu_accesses 109926 # Number of integer alu accesses
+system.cpu1.committedInsts 167400 # Number of instructions committed
+system.cpu1.committedOps 167400 # Number of ops (including micro ops) committed
+system.cpu1.num_int_alu_accesses 107326 # Number of integer alu accesses
system.cpu1.num_fp_alu_accesses 0 # Number of float alu accesses
system.cpu1.num_func_calls 633 # number of times a function call or return occured
-system.cpu1.num_conditional_control_insts 32743 # number of instructions that are conditional controls
-system.cpu1.num_int_insts 109926 # number of integer instructions
+system.cpu1.num_conditional_control_insts 34043 # number of instructions that are conditional controls
+system.cpu1.num_int_insts 107326 # number of integer instructions
system.cpu1.num_fp_insts 0 # number of float instructions
-system.cpu1.num_int_register_reads 270038 # number of times the integer registers were read
-system.cpu1.num_int_register_writes 100721 # number of times the integer registers were written
+system.cpu1.num_int_register_reads 254436 # number of times the integer registers were read
+system.cpu1.num_int_register_writes 94218 # number of times the integer registers were written
system.cpu1.num_fp_register_reads 0 # number of times the floating registers were read
system.cpu1.num_fp_register_writes 0 # number of times the floating registers were written
-system.cpu1.num_mem_refs 53394 # number of memory refs
-system.cpu1.num_load_insts 40652 # Number of load instructions
-system.cpu1.num_store_insts 12742 # Number of store instructions
-system.cpu1.num_idle_cycles 7873.724337 # Number of idle cycles
-system.cpu1.num_busy_cycles 165421.275663 # Number of busy cycles
-system.cpu1.not_idle_fraction 0.954565 # Percentage of non-idle cycles
-system.cpu1.idle_fraction 0.045435 # Percentage of idle cycles
-system.cpu1.Branches 34390 # Number of branches fetched
-system.cpu1.op_class::No_OpClass 25177 15.04% 15.04% # Class of executed instruction
-system.cpu1.op_class::IntAlu 73170 43.70% 58.74% # Class of executed instruction
+system.cpu1.num_mem_refs 49494 # number of memory refs
+system.cpu1.num_load_insts 39345 # Number of load instructions
+system.cpu1.num_store_insts 10149 # Number of store instructions
+system.cpu1.num_idle_cycles 7872.827276 # Number of idle cycles
+system.cpu1.num_busy_cycles 165424.172724 # Number of busy cycles
+system.cpu1.not_idle_fraction 0.954570 # Percentage of non-idle cycles
+system.cpu1.idle_fraction 0.045430 # Percentage of idle cycles
+system.cpu1.Branches 35694 # Number of branches fetched
+system.cpu1.op_class::No_OpClass 26475 15.81% 15.81% # Class of executed instruction
+system.cpu1.op_class::IntAlu 71873 42.93% 58.74% # Class of executed instruction
system.cpu1.op_class::IntMult 0 0.00% 58.74% # Class of executed instruction
system.cpu1.op_class::IntDiv 0 0.00% 58.74% # Class of executed instruction
system.cpu1.op_class::FloatAdd 0 0.00% 58.74% # Class of executed instruction
@@ -476,44 +534,44 @@ system.cpu1.op_class::SimdFloatMisc 0 0.00% 58.74% # Cl
system.cpu1.op_class::SimdFloatMult 0 0.00% 58.74% # Class of executed instruction
system.cpu1.op_class::SimdFloatMultAcc 0 0.00% 58.74% # Class of executed instruction
system.cpu1.op_class::SimdFloatSqrt 0 0.00% 58.74% # Class of executed instruction
-system.cpu1.op_class::MemRead 56341 33.65% 92.39% # Class of executed instruction
-system.cpu1.op_class::MemWrite 12742 7.61% 100.00% # Class of executed instruction
+system.cpu1.op_class::MemRead 58935 35.20% 93.94% # Class of executed instruction
+system.cpu1.op_class::MemWrite 10149 6.06% 100.00% # Class of executed instruction
system.cpu1.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
system.cpu1.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu1.op_class::total 167430 # Class of executed instruction
+system.cpu1.op_class::total 167432 # Class of executed instruction
system.cpu1.icache.tags.replacements 278 # number of replacements
-system.cpu1.icache.tags.tagsinuse 76.751702 # Cycle average of tags in use
-system.cpu1.icache.tags.total_refs 167072 # Total number of references to valid blocks.
+system.cpu1.icache.tags.tagsinuse 76.752158 # Cycle average of tags in use
+system.cpu1.icache.tags.total_refs 167074 # Total number of references to valid blocks.
system.cpu1.icache.tags.sampled_refs 358 # Sample count of references to valid blocks.
-system.cpu1.icache.tags.avg_refs 466.681564 # Average number of references to valid blocks.
+system.cpu1.icache.tags.avg_refs 466.687151 # Average number of references to valid blocks.
system.cpu1.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu1.icache.tags.occ_blocks::cpu1.inst 76.751702 # Average occupied blocks per requestor
-system.cpu1.icache.tags.occ_percent::cpu1.inst 0.149906 # Average percentage of cache occupancy
-system.cpu1.icache.tags.occ_percent::total 0.149906 # Average percentage of cache occupancy
+system.cpu1.icache.tags.occ_blocks::cpu1.inst 76.752158 # Average occupied blocks per requestor
+system.cpu1.icache.tags.occ_percent::cpu1.inst 0.149907 # Average percentage of cache occupancy
+system.cpu1.icache.tags.occ_percent::total 0.149907 # Average percentage of cache occupancy
system.cpu1.icache.tags.occ_task_id_blocks::1024 80 # Occupied blocks per task id
system.cpu1.icache.tags.age_task_id_blocks_1024::0 9 # Occupied blocks per task id
system.cpu1.icache.tags.age_task_id_blocks_1024::1 71 # Occupied blocks per task id
system.cpu1.icache.tags.occ_task_id_percent::1024 0.156250 # Percentage of cache occupancy per task id
-system.cpu1.icache.tags.tag_accesses 167788 # Number of tag accesses
-system.cpu1.icache.tags.data_accesses 167788 # Number of data accesses
-system.cpu1.icache.ReadReq_hits::cpu1.inst 167072 # number of ReadReq hits
-system.cpu1.icache.ReadReq_hits::total 167072 # number of ReadReq hits
-system.cpu1.icache.demand_hits::cpu1.inst 167072 # number of demand (read+write) hits
-system.cpu1.icache.demand_hits::total 167072 # number of demand (read+write) hits
-system.cpu1.icache.overall_hits::cpu1.inst 167072 # number of overall hits
-system.cpu1.icache.overall_hits::total 167072 # number of overall hits
+system.cpu1.icache.tags.tag_accesses 167790 # Number of tag accesses
+system.cpu1.icache.tags.data_accesses 167790 # Number of data accesses
+system.cpu1.icache.ReadReq_hits::cpu1.inst 167074 # number of ReadReq hits
+system.cpu1.icache.ReadReq_hits::total 167074 # number of ReadReq hits
+system.cpu1.icache.demand_hits::cpu1.inst 167074 # number of demand (read+write) hits
+system.cpu1.icache.demand_hits::total 167074 # number of demand (read+write) hits
+system.cpu1.icache.overall_hits::cpu1.inst 167074 # number of overall hits
+system.cpu1.icache.overall_hits::total 167074 # number of overall hits
system.cpu1.icache.ReadReq_misses::cpu1.inst 358 # number of ReadReq misses
system.cpu1.icache.ReadReq_misses::total 358 # number of ReadReq misses
system.cpu1.icache.demand_misses::cpu1.inst 358 # number of demand (read+write) misses
system.cpu1.icache.demand_misses::total 358 # number of demand (read+write) misses
system.cpu1.icache.overall_misses::cpu1.inst 358 # number of overall misses
system.cpu1.icache.overall_misses::total 358 # number of overall misses
-system.cpu1.icache.ReadReq_accesses::cpu1.inst 167430 # number of ReadReq accesses(hits+misses)
-system.cpu1.icache.ReadReq_accesses::total 167430 # number of ReadReq accesses(hits+misses)
-system.cpu1.icache.demand_accesses::cpu1.inst 167430 # number of demand (read+write) accesses
-system.cpu1.icache.demand_accesses::total 167430 # number of demand (read+write) accesses
-system.cpu1.icache.overall_accesses::cpu1.inst 167430 # number of overall (read+write) accesses
-system.cpu1.icache.overall_accesses::total 167430 # number of overall (read+write) accesses
+system.cpu1.icache.ReadReq_accesses::cpu1.inst 167432 # number of ReadReq accesses(hits+misses)
+system.cpu1.icache.ReadReq_accesses::total 167432 # number of ReadReq accesses(hits+misses)
+system.cpu1.icache.demand_accesses::cpu1.inst 167432 # number of demand (read+write) accesses
+system.cpu1.icache.demand_accesses::total 167432 # number of demand (read+write) accesses
+system.cpu1.icache.overall_accesses::cpu1.inst 167432 # number of overall (read+write) accesses
+system.cpu1.icache.overall_accesses::total 167432 # number of overall (read+write) accesses
system.cpu1.icache.ReadReq_miss_rate::cpu1.inst 0.002138 # miss rate for ReadReq accesses
system.cpu1.icache.ReadReq_miss_rate::total 0.002138 # miss rate for ReadReq accesses
system.cpu1.icache.demand_miss_rate::cpu1.inst 0.002138 # miss rate for demand accesses
@@ -530,59 +588,59 @@ system.cpu1.icache.fast_writes 0 # nu
system.cpu1.icache.cache_copies 0 # number of cache copies performed
system.cpu1.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu1.dcache.tags.replacements 0 # number of replacements
-system.cpu1.dcache.tags.tagsinuse 30.316999 # Cycle average of tags in use
-system.cpu1.dcache.tags.total_refs 26731 # Total number of references to valid blocks.
+system.cpu1.dcache.tags.tagsinuse 30.295170 # Cycle average of tags in use
+system.cpu1.dcache.tags.total_refs 21529 # Total number of references to valid blocks.
system.cpu1.dcache.tags.sampled_refs 26 # Sample count of references to valid blocks.
-system.cpu1.dcache.tags.avg_refs 1028.115385 # Average number of references to valid blocks.
+system.cpu1.dcache.tags.avg_refs 828.038462 # Average number of references to valid blocks.
system.cpu1.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu1.dcache.tags.occ_blocks::cpu1.data 30.316999 # Average occupied blocks per requestor
-system.cpu1.dcache.tags.occ_percent::cpu1.data 0.059213 # Average percentage of cache occupancy
-system.cpu1.dcache.tags.occ_percent::total 0.059213 # Average percentage of cache occupancy
+system.cpu1.dcache.tags.occ_blocks::cpu1.data 30.295170 # Average occupied blocks per requestor
+system.cpu1.dcache.tags.occ_percent::cpu1.data 0.059170 # Average percentage of cache occupancy
+system.cpu1.dcache.tags.occ_percent::total 0.059170 # Average percentage of cache occupancy
system.cpu1.dcache.tags.occ_task_id_blocks::1024 26 # Occupied blocks per task id
system.cpu1.dcache.tags.age_task_id_blocks_1024::1 26 # Occupied blocks per task id
system.cpu1.dcache.tags.occ_task_id_percent::1024 0.050781 # Percentage of cache occupancy per task id
-system.cpu1.dcache.tags.tag_accesses 213800 # Number of tag accesses
-system.cpu1.dcache.tags.data_accesses 213800 # Number of data accesses
-system.cpu1.dcache.ReadReq_hits::cpu1.data 40470 # number of ReadReq hits
-system.cpu1.dcache.ReadReq_hits::total 40470 # number of ReadReq hits
-system.cpu1.dcache.WriteReq_hits::cpu1.data 12563 # number of WriteReq hits
-system.cpu1.dcache.WriteReq_hits::total 12563 # number of WriteReq hits
-system.cpu1.dcache.SwapReq_hits::cpu1.data 14 # number of SwapReq hits
-system.cpu1.dcache.SwapReq_hits::total 14 # number of SwapReq hits
-system.cpu1.dcache.demand_hits::cpu1.data 53033 # number of demand (read+write) hits
-system.cpu1.dcache.demand_hits::total 53033 # number of demand (read+write) hits
-system.cpu1.dcache.overall_hits::cpu1.data 53033 # number of overall hits
-system.cpu1.dcache.overall_hits::total 53033 # number of overall hits
-system.cpu1.dcache.ReadReq_misses::cpu1.data 174 # number of ReadReq misses
-system.cpu1.dcache.ReadReq_misses::total 174 # number of ReadReq misses
-system.cpu1.dcache.WriteReq_misses::cpu1.data 106 # number of WriteReq misses
-system.cpu1.dcache.WriteReq_misses::total 106 # number of WriteReq misses
-system.cpu1.dcache.SwapReq_misses::cpu1.data 57 # number of SwapReq misses
-system.cpu1.dcache.SwapReq_misses::total 57 # number of SwapReq misses
-system.cpu1.dcache.demand_misses::cpu1.data 280 # number of demand (read+write) misses
-system.cpu1.dcache.demand_misses::total 280 # number of demand (read+write) misses
-system.cpu1.dcache.overall_misses::cpu1.data 280 # number of overall misses
-system.cpu1.dcache.overall_misses::total 280 # number of overall misses
-system.cpu1.dcache.ReadReq_accesses::cpu1.data 40644 # number of ReadReq accesses(hits+misses)
-system.cpu1.dcache.ReadReq_accesses::total 40644 # number of ReadReq accesses(hits+misses)
-system.cpu1.dcache.WriteReq_accesses::cpu1.data 12669 # number of WriteReq accesses(hits+misses)
-system.cpu1.dcache.WriteReq_accesses::total 12669 # number of WriteReq accesses(hits+misses)
-system.cpu1.dcache.SwapReq_accesses::cpu1.data 71 # number of SwapReq accesses(hits+misses)
-system.cpu1.dcache.SwapReq_accesses::total 71 # number of SwapReq accesses(hits+misses)
-system.cpu1.dcache.demand_accesses::cpu1.data 53313 # number of demand (read+write) accesses
-system.cpu1.dcache.demand_accesses::total 53313 # number of demand (read+write) accesses
-system.cpu1.dcache.overall_accesses::cpu1.data 53313 # number of overall (read+write) accesses
-system.cpu1.dcache.overall_accesses::total 53313 # number of overall (read+write) accesses
-system.cpu1.dcache.ReadReq_miss_rate::cpu1.data 0.004281 # miss rate for ReadReq accesses
-system.cpu1.dcache.ReadReq_miss_rate::total 0.004281 # miss rate for ReadReq accesses
-system.cpu1.dcache.WriteReq_miss_rate::cpu1.data 0.008367 # miss rate for WriteReq accesses
-system.cpu1.dcache.WriteReq_miss_rate::total 0.008367 # miss rate for WriteReq accesses
-system.cpu1.dcache.SwapReq_miss_rate::cpu1.data 0.802817 # miss rate for SwapReq accesses
-system.cpu1.dcache.SwapReq_miss_rate::total 0.802817 # miss rate for SwapReq accesses
-system.cpu1.dcache.demand_miss_rate::cpu1.data 0.005252 # miss rate for demand accesses
-system.cpu1.dcache.demand_miss_rate::total 0.005252 # miss rate for demand accesses
-system.cpu1.dcache.overall_miss_rate::cpu1.data 0.005252 # miss rate for overall accesses
-system.cpu1.dcache.overall_miss_rate::total 0.005252 # miss rate for overall accesses
+system.cpu1.dcache.tags.tag_accesses 198211 # Number of tag accesses
+system.cpu1.dcache.tags.data_accesses 198211 # Number of data accesses
+system.cpu1.dcache.ReadReq_hits::cpu1.data 39152 # number of ReadReq hits
+system.cpu1.dcache.ReadReq_hits::total 39152 # number of ReadReq hits
+system.cpu1.dcache.WriteReq_hits::cpu1.data 9968 # number of WriteReq hits
+system.cpu1.dcache.WriteReq_hits::total 9968 # number of WriteReq hits
+system.cpu1.dcache.SwapReq_hits::cpu1.data 16 # number of SwapReq hits
+system.cpu1.dcache.SwapReq_hits::total 16 # number of SwapReq hits
+system.cpu1.dcache.demand_hits::cpu1.data 49120 # number of demand (read+write) hits
+system.cpu1.dcache.demand_hits::total 49120 # number of demand (read+write) hits
+system.cpu1.dcache.overall_hits::cpu1.data 49120 # number of overall hits
+system.cpu1.dcache.overall_hits::total 49120 # number of overall hits
+system.cpu1.dcache.ReadReq_misses::cpu1.data 185 # number of ReadReq misses
+system.cpu1.dcache.ReadReq_misses::total 185 # number of ReadReq misses
+system.cpu1.dcache.WriteReq_misses::cpu1.data 102 # number of WriteReq misses
+system.cpu1.dcache.WriteReq_misses::total 102 # number of WriteReq misses
+system.cpu1.dcache.SwapReq_misses::cpu1.data 61 # number of SwapReq misses
+system.cpu1.dcache.SwapReq_misses::total 61 # number of SwapReq misses
+system.cpu1.dcache.demand_misses::cpu1.data 287 # number of demand (read+write) misses
+system.cpu1.dcache.demand_misses::total 287 # number of demand (read+write) misses
+system.cpu1.dcache.overall_misses::cpu1.data 287 # number of overall misses
+system.cpu1.dcache.overall_misses::total 287 # number of overall misses
+system.cpu1.dcache.ReadReq_accesses::cpu1.data 39337 # number of ReadReq accesses(hits+misses)
+system.cpu1.dcache.ReadReq_accesses::total 39337 # number of ReadReq accesses(hits+misses)
+system.cpu1.dcache.WriteReq_accesses::cpu1.data 10070 # number of WriteReq accesses(hits+misses)
+system.cpu1.dcache.WriteReq_accesses::total 10070 # number of WriteReq accesses(hits+misses)
+system.cpu1.dcache.SwapReq_accesses::cpu1.data 77 # number of SwapReq accesses(hits+misses)
+system.cpu1.dcache.SwapReq_accesses::total 77 # number of SwapReq accesses(hits+misses)
+system.cpu1.dcache.demand_accesses::cpu1.data 49407 # number of demand (read+write) accesses
+system.cpu1.dcache.demand_accesses::total 49407 # number of demand (read+write) accesses
+system.cpu1.dcache.overall_accesses::cpu1.data 49407 # number of overall (read+write) accesses
+system.cpu1.dcache.overall_accesses::total 49407 # number of overall (read+write) accesses
+system.cpu1.dcache.ReadReq_miss_rate::cpu1.data 0.004703 # miss rate for ReadReq accesses
+system.cpu1.dcache.ReadReq_miss_rate::total 0.004703 # miss rate for ReadReq accesses
+system.cpu1.dcache.WriteReq_miss_rate::cpu1.data 0.010129 # miss rate for WriteReq accesses
+system.cpu1.dcache.WriteReq_miss_rate::total 0.010129 # miss rate for WriteReq accesses
+system.cpu1.dcache.SwapReq_miss_rate::cpu1.data 0.792208 # miss rate for SwapReq accesses
+system.cpu1.dcache.SwapReq_miss_rate::total 0.792208 # miss rate for SwapReq accesses
+system.cpu1.dcache.demand_miss_rate::cpu1.data 0.005809 # miss rate for demand accesses
+system.cpu1.dcache.demand_miss_rate::total 0.005809 # miss rate for demand accesses
+system.cpu1.dcache.overall_miss_rate::cpu1.data 0.005809 # miss rate for overall accesses
+system.cpu1.dcache.overall_miss_rate::total 0.005809 # miss rate for overall accesses
system.cpu1.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu1.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu1.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -592,31 +650,31 @@ system.cpu1.dcache.avg_blocked_cycles::no_targets nan
system.cpu1.dcache.fast_writes 0 # number of fast writes performed
system.cpu1.dcache.cache_copies 0 # number of cache copies performed
system.cpu1.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu2.numCycles 173295 # number of cpu cycles simulated
+system.cpu2.numCycles 173296 # number of cpu cycles simulated
system.cpu2.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu2.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu2.committedInsts 167334 # Number of instructions committed
-system.cpu2.committedOps 167334 # Number of ops (including micro ops) committed
-system.cpu2.num_int_alu_accesses 113333 # Number of integer alu accesses
+system.cpu2.committedInsts 167335 # Number of instructions committed
+system.cpu2.committedOps 167335 # Number of ops (including micro ops) committed
+system.cpu2.num_int_alu_accesses 114196 # Number of integer alu accesses
system.cpu2.num_fp_alu_accesses 0 # Number of float alu accesses
system.cpu2.num_func_calls 633 # number of times a function call or return occured
-system.cpu2.num_conditional_control_insts 31007 # number of instructions that are conditional controls
-system.cpu2.num_int_insts 113333 # number of integer instructions
+system.cpu2.num_conditional_control_insts 30577 # number of instructions that are conditional controls
+system.cpu2.num_int_insts 114196 # number of integer instructions
system.cpu2.num_fp_insts 0 # number of float instructions
-system.cpu2.num_int_register_reads 290613 # number of times the integer registers were read
-system.cpu2.num_int_register_writes 109308 # number of times the integer registers were written
+system.cpu2.num_int_register_reads 295784 # number of times the integer registers were read
+system.cpu2.num_int_register_writes 111461 # number of times the integer registers were written
system.cpu2.num_fp_register_reads 0 # number of times the floating registers were read
system.cpu2.num_fp_register_writes 0 # number of times the floating registers were written
-system.cpu2.num_mem_refs 58537 # number of memory refs
-system.cpu2.num_load_insts 42362 # Number of load instructions
-system.cpu2.num_store_insts 16175 # Number of store instructions
-system.cpu2.num_idle_cycles 7936.951217 # Number of idle cycles
-system.cpu2.num_busy_cycles 165358.048783 # Number of busy cycles
+system.cpu2.num_mem_refs 59830 # number of memory refs
+system.cpu2.num_load_insts 42793 # Number of load instructions
+system.cpu2.num_store_insts 17037 # Number of store instructions
+system.cpu2.num_idle_cycles 7936.997017 # Number of idle cycles
+system.cpu2.num_busy_cycles 165359.002983 # Number of busy cycles
system.cpu2.not_idle_fraction 0.954200 # Percentage of non-idle cycles
system.cpu2.idle_fraction 0.045800 # Percentage of idle cycles
-system.cpu2.Branches 32652 # Number of branches fetched
-system.cpu2.op_class::No_OpClass 23444 14.01% 14.01% # Class of executed instruction
-system.cpu2.op_class::IntAlu 74873 44.74% 58.74% # Class of executed instruction
+system.cpu2.Branches 32221 # Number of branches fetched
+system.cpu2.op_class::No_OpClass 23013 13.75% 13.75% # Class of executed instruction
+system.cpu2.op_class::IntAlu 75303 44.99% 58.74% # Class of executed instruction
system.cpu2.op_class::IntMult 0 0.00% 58.74% # Class of executed instruction
system.cpu2.op_class::IntDiv 0 0.00% 58.74% # Class of executed instruction
system.cpu2.op_class::FloatAdd 0 0.00% 58.74% # Class of executed instruction
@@ -645,44 +703,44 @@ system.cpu2.op_class::SimdFloatMisc 0 0.00% 58.74% # Cl
system.cpu2.op_class::SimdFloatMult 0 0.00% 58.74% # Class of executed instruction
system.cpu2.op_class::SimdFloatMultAcc 0 0.00% 58.74% # Class of executed instruction
system.cpu2.op_class::SimdFloatSqrt 0 0.00% 58.74% # Class of executed instruction
-system.cpu2.op_class::MemRead 52874 31.59% 90.34% # Class of executed instruction
-system.cpu2.op_class::MemWrite 16175 9.66% 100.00% # Class of executed instruction
+system.cpu2.op_class::MemRead 52014 31.08% 89.82% # Class of executed instruction
+system.cpu2.op_class::MemWrite 17037 10.18% 100.00% # Class of executed instruction
system.cpu2.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
system.cpu2.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu2.op_class::total 167366 # Class of executed instruction
+system.cpu2.op_class::total 167367 # Class of executed instruction
system.cpu2.icache.tags.replacements 278 # number of replacements
-system.cpu2.icache.tags.tagsinuse 74.781015 # Cycle average of tags in use
-system.cpu2.icache.tags.total_refs 167008 # Total number of references to valid blocks.
+system.cpu2.icache.tags.tagsinuse 74.781471 # Cycle average of tags in use
+system.cpu2.icache.tags.total_refs 167009 # Total number of references to valid blocks.
system.cpu2.icache.tags.sampled_refs 358 # Sample count of references to valid blocks.
-system.cpu2.icache.tags.avg_refs 466.502793 # Average number of references to valid blocks.
+system.cpu2.icache.tags.avg_refs 466.505587 # Average number of references to valid blocks.
system.cpu2.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu2.icache.tags.occ_blocks::cpu2.inst 74.781015 # Average occupied blocks per requestor
-system.cpu2.icache.tags.occ_percent::cpu2.inst 0.146057 # Average percentage of cache occupancy
-system.cpu2.icache.tags.occ_percent::total 0.146057 # Average percentage of cache occupancy
+system.cpu2.icache.tags.occ_blocks::cpu2.inst 74.781471 # Average occupied blocks per requestor
+system.cpu2.icache.tags.occ_percent::cpu2.inst 0.146058 # Average percentage of cache occupancy
+system.cpu2.icache.tags.occ_percent::total 0.146058 # Average percentage of cache occupancy
system.cpu2.icache.tags.occ_task_id_blocks::1024 80 # Occupied blocks per task id
system.cpu2.icache.tags.age_task_id_blocks_1024::0 9 # Occupied blocks per task id
system.cpu2.icache.tags.age_task_id_blocks_1024::1 71 # Occupied blocks per task id
system.cpu2.icache.tags.occ_task_id_percent::1024 0.156250 # Percentage of cache occupancy per task id
-system.cpu2.icache.tags.tag_accesses 167724 # Number of tag accesses
-system.cpu2.icache.tags.data_accesses 167724 # Number of data accesses
-system.cpu2.icache.ReadReq_hits::cpu2.inst 167008 # number of ReadReq hits
-system.cpu2.icache.ReadReq_hits::total 167008 # number of ReadReq hits
-system.cpu2.icache.demand_hits::cpu2.inst 167008 # number of demand (read+write) hits
-system.cpu2.icache.demand_hits::total 167008 # number of demand (read+write) hits
-system.cpu2.icache.overall_hits::cpu2.inst 167008 # number of overall hits
-system.cpu2.icache.overall_hits::total 167008 # number of overall hits
+system.cpu2.icache.tags.tag_accesses 167725 # Number of tag accesses
+system.cpu2.icache.tags.data_accesses 167725 # Number of data accesses
+system.cpu2.icache.ReadReq_hits::cpu2.inst 167009 # number of ReadReq hits
+system.cpu2.icache.ReadReq_hits::total 167009 # number of ReadReq hits
+system.cpu2.icache.demand_hits::cpu2.inst 167009 # number of demand (read+write) hits
+system.cpu2.icache.demand_hits::total 167009 # number of demand (read+write) hits
+system.cpu2.icache.overall_hits::cpu2.inst 167009 # number of overall hits
+system.cpu2.icache.overall_hits::total 167009 # number of overall hits
system.cpu2.icache.ReadReq_misses::cpu2.inst 358 # number of ReadReq misses
system.cpu2.icache.ReadReq_misses::total 358 # number of ReadReq misses
system.cpu2.icache.demand_misses::cpu2.inst 358 # number of demand (read+write) misses
system.cpu2.icache.demand_misses::total 358 # number of demand (read+write) misses
system.cpu2.icache.overall_misses::cpu2.inst 358 # number of overall misses
system.cpu2.icache.overall_misses::total 358 # number of overall misses
-system.cpu2.icache.ReadReq_accesses::cpu2.inst 167366 # number of ReadReq accesses(hits+misses)
-system.cpu2.icache.ReadReq_accesses::total 167366 # number of ReadReq accesses(hits+misses)
-system.cpu2.icache.demand_accesses::cpu2.inst 167366 # number of demand (read+write) accesses
-system.cpu2.icache.demand_accesses::total 167366 # number of demand (read+write) accesses
-system.cpu2.icache.overall_accesses::cpu2.inst 167366 # number of overall (read+write) accesses
-system.cpu2.icache.overall_accesses::total 167366 # number of overall (read+write) accesses
+system.cpu2.icache.ReadReq_accesses::cpu2.inst 167367 # number of ReadReq accesses(hits+misses)
+system.cpu2.icache.ReadReq_accesses::total 167367 # number of ReadReq accesses(hits+misses)
+system.cpu2.icache.demand_accesses::cpu2.inst 167367 # number of demand (read+write) accesses
+system.cpu2.icache.demand_accesses::total 167367 # number of demand (read+write) accesses
+system.cpu2.icache.overall_accesses::cpu2.inst 167367 # number of overall (read+write) accesses
+system.cpu2.icache.overall_accesses::total 167367 # number of overall (read+write) accesses
system.cpu2.icache.ReadReq_miss_rate::cpu2.inst 0.002139 # miss rate for ReadReq accesses
system.cpu2.icache.ReadReq_miss_rate::total 0.002139 # miss rate for ReadReq accesses
system.cpu2.icache.demand_miss_rate::cpu2.inst 0.002139 # miss rate for demand accesses
@@ -699,59 +757,60 @@ system.cpu2.icache.fast_writes 0 # nu
system.cpu2.icache.cache_copies 0 # number of cache copies performed
system.cpu2.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu2.dcache.tags.replacements 0 # number of replacements
-system.cpu2.dcache.tags.tagsinuse 29.605505 # Cycle average of tags in use
-system.cpu2.dcache.tags.total_refs 33613 # Total number of references to valid blocks.
-system.cpu2.dcache.tags.sampled_refs 26 # Sample count of references to valid blocks.
-system.cpu2.dcache.tags.avg_refs 1292.807692 # Average number of references to valid blocks.
+system.cpu2.dcache.tags.tagsinuse 29.575165 # Cycle average of tags in use
+system.cpu2.dcache.tags.total_refs 35457 # Total number of references to valid blocks.
+system.cpu2.dcache.tags.sampled_refs 27 # Sample count of references to valid blocks.
+system.cpu2.dcache.tags.avg_refs 1313.222222 # Average number of references to valid blocks.
system.cpu2.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu2.dcache.tags.occ_blocks::cpu2.data 29.605505 # Average occupied blocks per requestor
-system.cpu2.dcache.tags.occ_percent::cpu2.data 0.057823 # Average percentage of cache occupancy
-system.cpu2.dcache.tags.occ_percent::total 0.057823 # Average percentage of cache occupancy
-system.cpu2.dcache.tags.occ_task_id_blocks::1024 26 # Occupied blocks per task id
+system.cpu2.dcache.tags.occ_blocks::cpu2.data 29.575165 # Average occupied blocks per requestor
+system.cpu2.dcache.tags.occ_percent::cpu2.data 0.057764 # Average percentage of cache occupancy
+system.cpu2.dcache.tags.occ_percent::total 0.057764 # Average percentage of cache occupancy
+system.cpu2.dcache.tags.occ_task_id_blocks::1024 27 # Occupied blocks per task id
+system.cpu2.dcache.tags.age_task_id_blocks_1024::0 1 # Occupied blocks per task id
system.cpu2.dcache.tags.age_task_id_blocks_1024::1 26 # Occupied blocks per task id
-system.cpu2.dcache.tags.occ_task_id_percent::1024 0.050781 # Percentage of cache occupancy per task id
-system.cpu2.dcache.tags.tag_accesses 234360 # Number of tag accesses
-system.cpu2.dcache.tags.data_accesses 234360 # Number of data accesses
-system.cpu2.dcache.ReadReq_hits::cpu2.data 42194 # number of ReadReq hits
-system.cpu2.dcache.ReadReq_hits::total 42194 # number of ReadReq hits
-system.cpu2.dcache.WriteReq_hits::cpu2.data 15998 # number of WriteReq hits
-system.cpu2.dcache.WriteReq_hits::total 15998 # number of WriteReq hits
-system.cpu2.dcache.SwapReq_hits::cpu2.data 11 # number of SwapReq hits
-system.cpu2.dcache.SwapReq_hits::total 11 # number of SwapReq hits
-system.cpu2.dcache.demand_hits::cpu2.data 58192 # number of demand (read+write) hits
-system.cpu2.dcache.demand_hits::total 58192 # number of demand (read+write) hits
-system.cpu2.dcache.overall_hits::cpu2.data 58192 # number of overall hits
-system.cpu2.dcache.overall_hits::total 58192 # number of overall hits
-system.cpu2.dcache.ReadReq_misses::cpu2.data 160 # number of ReadReq misses
-system.cpu2.dcache.ReadReq_misses::total 160 # number of ReadReq misses
-system.cpu2.dcache.WriteReq_misses::cpu2.data 109 # number of WriteReq misses
-system.cpu2.dcache.WriteReq_misses::total 109 # number of WriteReq misses
-system.cpu2.dcache.SwapReq_misses::cpu2.data 55 # number of SwapReq misses
-system.cpu2.dcache.SwapReq_misses::total 55 # number of SwapReq misses
-system.cpu2.dcache.demand_misses::cpu2.data 269 # number of demand (read+write) misses
-system.cpu2.dcache.demand_misses::total 269 # number of demand (read+write) misses
-system.cpu2.dcache.overall_misses::cpu2.data 269 # number of overall misses
-system.cpu2.dcache.overall_misses::total 269 # number of overall misses
-system.cpu2.dcache.ReadReq_accesses::cpu2.data 42354 # number of ReadReq accesses(hits+misses)
-system.cpu2.dcache.ReadReq_accesses::total 42354 # number of ReadReq accesses(hits+misses)
-system.cpu2.dcache.WriteReq_accesses::cpu2.data 16107 # number of WriteReq accesses(hits+misses)
-system.cpu2.dcache.WriteReq_accesses::total 16107 # number of WriteReq accesses(hits+misses)
+system.cpu2.dcache.tags.occ_task_id_percent::1024 0.052734 # Percentage of cache occupancy per task id
+system.cpu2.dcache.tags.tag_accesses 239521 # Number of tag accesses
+system.cpu2.dcache.tags.data_accesses 239521 # Number of data accesses
+system.cpu2.dcache.ReadReq_hits::cpu2.data 42635 # number of ReadReq hits
+system.cpu2.dcache.ReadReq_hits::total 42635 # number of ReadReq hits
+system.cpu2.dcache.WriteReq_hits::cpu2.data 16864 # number of WriteReq hits
+system.cpu2.dcache.WriteReq_hits::total 16864 # number of WriteReq hits
+system.cpu2.dcache.SwapReq_hits::cpu2.data 12 # number of SwapReq hits
+system.cpu2.dcache.SwapReq_hits::total 12 # number of SwapReq hits
+system.cpu2.dcache.demand_hits::cpu2.data 59499 # number of demand (read+write) hits
+system.cpu2.dcache.demand_hits::total 59499 # number of demand (read+write) hits
+system.cpu2.dcache.overall_hits::cpu2.data 59499 # number of overall hits
+system.cpu2.dcache.overall_hits::total 59499 # number of overall hits
+system.cpu2.dcache.ReadReq_misses::cpu2.data 150 # number of ReadReq misses
+system.cpu2.dcache.ReadReq_misses::total 150 # number of ReadReq misses
+system.cpu2.dcache.WriteReq_misses::cpu2.data 105 # number of WriteReq misses
+system.cpu2.dcache.WriteReq_misses::total 105 # number of WriteReq misses
+system.cpu2.dcache.SwapReq_misses::cpu2.data 54 # number of SwapReq misses
+system.cpu2.dcache.SwapReq_misses::total 54 # number of SwapReq misses
+system.cpu2.dcache.demand_misses::cpu2.data 255 # number of demand (read+write) misses
+system.cpu2.dcache.demand_misses::total 255 # number of demand (read+write) misses
+system.cpu2.dcache.overall_misses::cpu2.data 255 # number of overall misses
+system.cpu2.dcache.overall_misses::total 255 # number of overall misses
+system.cpu2.dcache.ReadReq_accesses::cpu2.data 42785 # number of ReadReq accesses(hits+misses)
+system.cpu2.dcache.ReadReq_accesses::total 42785 # number of ReadReq accesses(hits+misses)
+system.cpu2.dcache.WriteReq_accesses::cpu2.data 16969 # number of WriteReq accesses(hits+misses)
+system.cpu2.dcache.WriteReq_accesses::total 16969 # number of WriteReq accesses(hits+misses)
system.cpu2.dcache.SwapReq_accesses::cpu2.data 66 # number of SwapReq accesses(hits+misses)
system.cpu2.dcache.SwapReq_accesses::total 66 # number of SwapReq accesses(hits+misses)
-system.cpu2.dcache.demand_accesses::cpu2.data 58461 # number of demand (read+write) accesses
-system.cpu2.dcache.demand_accesses::total 58461 # number of demand (read+write) accesses
-system.cpu2.dcache.overall_accesses::cpu2.data 58461 # number of overall (read+write) accesses
-system.cpu2.dcache.overall_accesses::total 58461 # number of overall (read+write) accesses
-system.cpu2.dcache.ReadReq_miss_rate::cpu2.data 0.003778 # miss rate for ReadReq accesses
-system.cpu2.dcache.ReadReq_miss_rate::total 0.003778 # miss rate for ReadReq accesses
-system.cpu2.dcache.WriteReq_miss_rate::cpu2.data 0.006767 # miss rate for WriteReq accesses
-system.cpu2.dcache.WriteReq_miss_rate::total 0.006767 # miss rate for WriteReq accesses
-system.cpu2.dcache.SwapReq_miss_rate::cpu2.data 0.833333 # miss rate for SwapReq accesses
-system.cpu2.dcache.SwapReq_miss_rate::total 0.833333 # miss rate for SwapReq accesses
-system.cpu2.dcache.demand_miss_rate::cpu2.data 0.004601 # miss rate for demand accesses
-system.cpu2.dcache.demand_miss_rate::total 0.004601 # miss rate for demand accesses
-system.cpu2.dcache.overall_miss_rate::cpu2.data 0.004601 # miss rate for overall accesses
-system.cpu2.dcache.overall_miss_rate::total 0.004601 # miss rate for overall accesses
+system.cpu2.dcache.demand_accesses::cpu2.data 59754 # number of demand (read+write) accesses
+system.cpu2.dcache.demand_accesses::total 59754 # number of demand (read+write) accesses
+system.cpu2.dcache.overall_accesses::cpu2.data 59754 # number of overall (read+write) accesses
+system.cpu2.dcache.overall_accesses::total 59754 # number of overall (read+write) accesses
+system.cpu2.dcache.ReadReq_miss_rate::cpu2.data 0.003506 # miss rate for ReadReq accesses
+system.cpu2.dcache.ReadReq_miss_rate::total 0.003506 # miss rate for ReadReq accesses
+system.cpu2.dcache.WriteReq_miss_rate::cpu2.data 0.006188 # miss rate for WriteReq accesses
+system.cpu2.dcache.WriteReq_miss_rate::total 0.006188 # miss rate for WriteReq accesses
+system.cpu2.dcache.SwapReq_miss_rate::cpu2.data 0.818182 # miss rate for SwapReq accesses
+system.cpu2.dcache.SwapReq_miss_rate::total 0.818182 # miss rate for SwapReq accesses
+system.cpu2.dcache.demand_miss_rate::cpu2.data 0.004267 # miss rate for demand accesses
+system.cpu2.dcache.demand_miss_rate::total 0.004267 # miss rate for demand accesses
+system.cpu2.dcache.overall_miss_rate::cpu2.data 0.004267 # miss rate for overall accesses
+system.cpu2.dcache.overall_miss_rate::total 0.004267 # miss rate for overall accesses
system.cpu2.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu2.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu2.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -761,31 +820,31 @@ system.cpu2.dcache.avg_blocked_cycles::no_targets nan
system.cpu2.dcache.fast_writes 0 # number of fast writes performed
system.cpu2.dcache.cache_copies 0 # number of cache copies performed
system.cpu2.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu3.numCycles 173294 # number of cpu cycles simulated
+system.cpu3.numCycles 173297 # number of cpu cycles simulated
system.cpu3.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu3.numWorkItemsCompleted 0 # number of work items this cpu completed
-system.cpu3.committedInsts 167269 # Number of instructions committed
-system.cpu3.committedOps 167269 # Number of ops (including micro ops) committed
-system.cpu3.num_int_alu_accesses 111554 # Number of integer alu accesses
+system.cpu3.committedInsts 167272 # Number of instructions committed
+system.cpu3.committedOps 167272 # Number of ops (including micro ops) committed
+system.cpu3.num_int_alu_accesses 113295 # Number of integer alu accesses
system.cpu3.num_fp_alu_accesses 0 # Number of float alu accesses
system.cpu3.num_func_calls 633 # number of times a function call or return occured
-system.cpu3.num_conditional_control_insts 31865 # number of instructions that are conditional controls
-system.cpu3.num_int_insts 111554 # number of integer instructions
+system.cpu3.num_conditional_control_insts 30996 # number of instructions that are conditional controls
+system.cpu3.num_int_insts 113295 # number of integer instructions
system.cpu3.num_fp_insts 0 # number of float instructions
-system.cpu3.num_int_register_reads 280060 # number of times the integer registers were read
-system.cpu3.num_int_register_writes 104916 # number of times the integer registers were written
+system.cpu3.num_int_register_reads 290503 # number of times the integer registers were read
+system.cpu3.num_int_register_writes 109270 # number of times the integer registers were written
system.cpu3.num_fp_register_reads 0 # number of times the floating registers were read
system.cpu3.num_fp_register_writes 0 # number of times the floating registers were written
-system.cpu3.num_mem_refs 55900 # number of memory refs
-system.cpu3.num_load_insts 41466 # Number of load instructions
-system.cpu3.num_store_insts 14434 # Number of store instructions
-system.cpu3.num_idle_cycles 8001.119846 # Number of idle cycles
-system.cpu3.num_busy_cycles 165292.880154 # Number of busy cycles
-system.cpu3.not_idle_fraction 0.953829 # Percentage of non-idle cycles
-system.cpu3.idle_fraction 0.046171 # Percentage of idle cycles
-system.cpu3.Branches 33511 # Number of branches fetched
-system.cpu3.op_class::No_OpClass 24299 14.52% 14.52% # Class of executed instruction
-system.cpu3.op_class::IntAlu 73982 44.22% 58.75% # Class of executed instruction
+system.cpu3.num_mem_refs 58510 # number of memory refs
+system.cpu3.num_load_insts 42344 # Number of load instructions
+system.cpu3.num_store_insts 16166 # Number of store instructions
+system.cpu3.num_idle_cycles 7999.282495 # Number of idle cycles
+system.cpu3.num_busy_cycles 165297.717505 # Number of busy cycles
+system.cpu3.not_idle_fraction 0.953841 # Percentage of non-idle cycles
+system.cpu3.idle_fraction 0.046159 # Percentage of idle cycles
+system.cpu3.Branches 32639 # Number of branches fetched
+system.cpu3.op_class::No_OpClass 23433 14.01% 14.01% # Class of executed instruction
+system.cpu3.op_class::IntAlu 74851 44.74% 58.75% # Class of executed instruction
system.cpu3.op_class::IntMult 0 0.00% 58.75% # Class of executed instruction
system.cpu3.op_class::IntDiv 0 0.00% 58.75% # Class of executed instruction
system.cpu3.op_class::FloatAdd 0 0.00% 58.75% # Class of executed instruction
@@ -814,44 +873,44 @@ system.cpu3.op_class::SimdFloatMisc 0 0.00% 58.75% # Cl
system.cpu3.op_class::SimdFloatMult 0 0.00% 58.75% # Class of executed instruction
system.cpu3.op_class::SimdFloatMultAcc 0 0.00% 58.75% # Class of executed instruction
system.cpu3.op_class::SimdFloatSqrt 0 0.00% 58.75% # Class of executed instruction
-system.cpu3.op_class::MemRead 54586 32.63% 91.37% # Class of executed instruction
-system.cpu3.op_class::MemWrite 14434 8.63% 100.00% # Class of executed instruction
+system.cpu3.op_class::MemRead 52854 31.59% 90.34% # Class of executed instruction
+system.cpu3.op_class::MemWrite 16166 9.66% 100.00% # Class of executed instruction
system.cpu3.op_class::IprAccess 0 0.00% 100.00% # Class of executed instruction
system.cpu3.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
-system.cpu3.op_class::total 167301 # Class of executed instruction
+system.cpu3.op_class::total 167304 # Class of executed instruction
system.cpu3.icache.tags.replacements 279 # number of replacements
-system.cpu3.icache.tags.tagsinuse 72.874497 # Cycle average of tags in use
-system.cpu3.icache.tags.total_refs 166942 # Total number of references to valid blocks.
+system.cpu3.icache.tags.tagsinuse 72.874953 # Cycle average of tags in use
+system.cpu3.icache.tags.total_refs 166945 # Total number of references to valid blocks.
system.cpu3.icache.tags.sampled_refs 359 # Sample count of references to valid blocks.
-system.cpu3.icache.tags.avg_refs 465.019499 # Average number of references to valid blocks.
+system.cpu3.icache.tags.avg_refs 465.027855 # Average number of references to valid blocks.
system.cpu3.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu3.icache.tags.occ_blocks::cpu3.inst 72.874497 # Average occupied blocks per requestor
-system.cpu3.icache.tags.occ_percent::cpu3.inst 0.142333 # Average percentage of cache occupancy
-system.cpu3.icache.tags.occ_percent::total 0.142333 # Average percentage of cache occupancy
+system.cpu3.icache.tags.occ_blocks::cpu3.inst 72.874953 # Average occupied blocks per requestor
+system.cpu3.icache.tags.occ_percent::cpu3.inst 0.142334 # Average percentage of cache occupancy
+system.cpu3.icache.tags.occ_percent::total 0.142334 # Average percentage of cache occupancy
system.cpu3.icache.tags.occ_task_id_blocks::1024 80 # Occupied blocks per task id
system.cpu3.icache.tags.age_task_id_blocks_1024::0 9 # Occupied blocks per task id
system.cpu3.icache.tags.age_task_id_blocks_1024::1 71 # Occupied blocks per task id
system.cpu3.icache.tags.occ_task_id_percent::1024 0.156250 # Percentage of cache occupancy per task id
-system.cpu3.icache.tags.tag_accesses 167660 # Number of tag accesses
-system.cpu3.icache.tags.data_accesses 167660 # Number of data accesses
-system.cpu3.icache.ReadReq_hits::cpu3.inst 166942 # number of ReadReq hits
-system.cpu3.icache.ReadReq_hits::total 166942 # number of ReadReq hits
-system.cpu3.icache.demand_hits::cpu3.inst 166942 # number of demand (read+write) hits
-system.cpu3.icache.demand_hits::total 166942 # number of demand (read+write) hits
-system.cpu3.icache.overall_hits::cpu3.inst 166942 # number of overall hits
-system.cpu3.icache.overall_hits::total 166942 # number of overall hits
+system.cpu3.icache.tags.tag_accesses 167663 # Number of tag accesses
+system.cpu3.icache.tags.data_accesses 167663 # Number of data accesses
+system.cpu3.icache.ReadReq_hits::cpu3.inst 166945 # number of ReadReq hits
+system.cpu3.icache.ReadReq_hits::total 166945 # number of ReadReq hits
+system.cpu3.icache.demand_hits::cpu3.inst 166945 # number of demand (read+write) hits
+system.cpu3.icache.demand_hits::total 166945 # number of demand (read+write) hits
+system.cpu3.icache.overall_hits::cpu3.inst 166945 # number of overall hits
+system.cpu3.icache.overall_hits::total 166945 # number of overall hits
system.cpu3.icache.ReadReq_misses::cpu3.inst 359 # number of ReadReq misses
system.cpu3.icache.ReadReq_misses::total 359 # number of ReadReq misses
system.cpu3.icache.demand_misses::cpu3.inst 359 # number of demand (read+write) misses
system.cpu3.icache.demand_misses::total 359 # number of demand (read+write) misses
system.cpu3.icache.overall_misses::cpu3.inst 359 # number of overall misses
system.cpu3.icache.overall_misses::total 359 # number of overall misses
-system.cpu3.icache.ReadReq_accesses::cpu3.inst 167301 # number of ReadReq accesses(hits+misses)
-system.cpu3.icache.ReadReq_accesses::total 167301 # number of ReadReq accesses(hits+misses)
-system.cpu3.icache.demand_accesses::cpu3.inst 167301 # number of demand (read+write) accesses
-system.cpu3.icache.demand_accesses::total 167301 # number of demand (read+write) accesses
-system.cpu3.icache.overall_accesses::cpu3.inst 167301 # number of overall (read+write) accesses
-system.cpu3.icache.overall_accesses::total 167301 # number of overall (read+write) accesses
+system.cpu3.icache.ReadReq_accesses::cpu3.inst 167304 # number of ReadReq accesses(hits+misses)
+system.cpu3.icache.ReadReq_accesses::total 167304 # number of ReadReq accesses(hits+misses)
+system.cpu3.icache.demand_accesses::cpu3.inst 167304 # number of demand (read+write) accesses
+system.cpu3.icache.demand_accesses::total 167304 # number of demand (read+write) accesses
+system.cpu3.icache.overall_accesses::cpu3.inst 167304 # number of overall (read+write) accesses
+system.cpu3.icache.overall_accesses::total 167304 # number of overall (read+write) accesses
system.cpu3.icache.ReadReq_miss_rate::cpu3.inst 0.002146 # miss rate for ReadReq accesses
system.cpu3.icache.ReadReq_miss_rate::total 0.002146 # miss rate for ReadReq accesses
system.cpu3.icache.demand_miss_rate::cpu3.inst 0.002146 # miss rate for demand accesses
@@ -868,60 +927,59 @@ system.cpu3.icache.fast_writes 0 # nu
system.cpu3.icache.cache_copies 0 # number of cache copies performed
system.cpu3.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu3.dcache.tags.replacements 0 # number of replacements
-system.cpu3.dcache.tags.tagsinuse 28.795404 # Cycle average of tags in use
-system.cpu3.dcache.tags.total_refs 30236 # Total number of references to valid blocks.
-system.cpu3.dcache.tags.sampled_refs 27 # Sample count of references to valid blocks.
-system.cpu3.dcache.tags.avg_refs 1119.851852 # Average number of references to valid blocks.
+system.cpu3.dcache.tags.tagsinuse 28.848199 # Cycle average of tags in use
+system.cpu3.dcache.tags.total_refs 33595 # Total number of references to valid blocks.
+system.cpu3.dcache.tags.sampled_refs 26 # Sample count of references to valid blocks.
+system.cpu3.dcache.tags.avg_refs 1292.115385 # Average number of references to valid blocks.
system.cpu3.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu3.dcache.tags.occ_blocks::cpu3.data 28.795404 # Average occupied blocks per requestor
-system.cpu3.dcache.tags.occ_percent::cpu3.data 0.056241 # Average percentage of cache occupancy
-system.cpu3.dcache.tags.occ_percent::total 0.056241 # Average percentage of cache occupancy
-system.cpu3.dcache.tags.occ_task_id_blocks::1024 27 # Occupied blocks per task id
-system.cpu3.dcache.tags.age_task_id_blocks_1024::0 1 # Occupied blocks per task id
+system.cpu3.dcache.tags.occ_blocks::cpu3.data 28.848199 # Average occupied blocks per requestor
+system.cpu3.dcache.tags.occ_percent::cpu3.data 0.056344 # Average percentage of cache occupancy
+system.cpu3.dcache.tags.occ_percent::total 0.056344 # Average percentage of cache occupancy
+system.cpu3.dcache.tags.occ_task_id_blocks::1024 26 # Occupied blocks per task id
system.cpu3.dcache.tags.age_task_id_blocks_1024::1 26 # Occupied blocks per task id
-system.cpu3.dcache.tags.occ_task_id_percent::1024 0.052734 # Percentage of cache occupancy per task id
-system.cpu3.dcache.tags.tag_accesses 223805 # Number of tag accesses
-system.cpu3.dcache.tags.data_accesses 223805 # Number of data accesses
-system.cpu3.dcache.ReadReq_hits::cpu3.data 41301 # number of ReadReq hits
-system.cpu3.dcache.ReadReq_hits::total 41301 # number of ReadReq hits
-system.cpu3.dcache.WriteReq_hits::cpu3.data 14260 # number of WriteReq hits
-system.cpu3.dcache.WriteReq_hits::total 14260 # number of WriteReq hits
-system.cpu3.dcache.SwapReq_hits::cpu3.data 15 # number of SwapReq hits
-system.cpu3.dcache.SwapReq_hits::total 15 # number of SwapReq hits
-system.cpu3.dcache.demand_hits::cpu3.data 55561 # number of demand (read+write) hits
-system.cpu3.dcache.demand_hits::total 55561 # number of demand (read+write) hits
-system.cpu3.dcache.overall_hits::cpu3.data 55561 # number of overall hits
-system.cpu3.dcache.overall_hits::total 55561 # number of overall hits
-system.cpu3.dcache.ReadReq_misses::cpu3.data 157 # number of ReadReq misses
-system.cpu3.dcache.ReadReq_misses::total 157 # number of ReadReq misses
-system.cpu3.dcache.WriteReq_misses::cpu3.data 102 # number of WriteReq misses
-system.cpu3.dcache.WriteReq_misses::total 102 # number of WriteReq misses
-system.cpu3.dcache.SwapReq_misses::cpu3.data 55 # number of SwapReq misses
-system.cpu3.dcache.SwapReq_misses::total 55 # number of SwapReq misses
-system.cpu3.dcache.demand_misses::cpu3.data 259 # number of demand (read+write) misses
-system.cpu3.dcache.demand_misses::total 259 # number of demand (read+write) misses
-system.cpu3.dcache.overall_misses::cpu3.data 259 # number of overall misses
-system.cpu3.dcache.overall_misses::total 259 # number of overall misses
-system.cpu3.dcache.ReadReq_accesses::cpu3.data 41458 # number of ReadReq accesses(hits+misses)
-system.cpu3.dcache.ReadReq_accesses::total 41458 # number of ReadReq accesses(hits+misses)
-system.cpu3.dcache.WriteReq_accesses::cpu3.data 14362 # number of WriteReq accesses(hits+misses)
-system.cpu3.dcache.WriteReq_accesses::total 14362 # number of WriteReq accesses(hits+misses)
-system.cpu3.dcache.SwapReq_accesses::cpu3.data 70 # number of SwapReq accesses(hits+misses)
-system.cpu3.dcache.SwapReq_accesses::total 70 # number of SwapReq accesses(hits+misses)
-system.cpu3.dcache.demand_accesses::cpu3.data 55820 # number of demand (read+write) accesses
-system.cpu3.dcache.demand_accesses::total 55820 # number of demand (read+write) accesses
-system.cpu3.dcache.overall_accesses::cpu3.data 55820 # number of overall (read+write) accesses
-system.cpu3.dcache.overall_accesses::total 55820 # number of overall (read+write) accesses
-system.cpu3.dcache.ReadReq_miss_rate::cpu3.data 0.003787 # miss rate for ReadReq accesses
-system.cpu3.dcache.ReadReq_miss_rate::total 0.003787 # miss rate for ReadReq accesses
-system.cpu3.dcache.WriteReq_miss_rate::cpu3.data 0.007102 # miss rate for WriteReq accesses
-system.cpu3.dcache.WriteReq_miss_rate::total 0.007102 # miss rate for WriteReq accesses
-system.cpu3.dcache.SwapReq_miss_rate::cpu3.data 0.785714 # miss rate for SwapReq accesses
-system.cpu3.dcache.SwapReq_miss_rate::total 0.785714 # miss rate for SwapReq accesses
-system.cpu3.dcache.demand_miss_rate::cpu3.data 0.004640 # miss rate for demand accesses
-system.cpu3.dcache.demand_miss_rate::total 0.004640 # miss rate for demand accesses
-system.cpu3.dcache.overall_miss_rate::cpu3.data 0.004640 # miss rate for overall accesses
-system.cpu3.dcache.overall_miss_rate::total 0.004640 # miss rate for overall accesses
+system.cpu3.dcache.tags.occ_task_id_percent::1024 0.050781 # Percentage of cache occupancy per task id
+system.cpu3.dcache.tags.tag_accesses 234241 # Number of tag accesses
+system.cpu3.dcache.tags.data_accesses 234241 # Number of data accesses
+system.cpu3.dcache.ReadReq_hits::cpu3.data 42185 # number of ReadReq hits
+system.cpu3.dcache.ReadReq_hits::total 42185 # number of ReadReq hits
+system.cpu3.dcache.WriteReq_hits::cpu3.data 15991 # number of WriteReq hits
+system.cpu3.dcache.WriteReq_hits::total 15991 # number of WriteReq hits
+system.cpu3.dcache.SwapReq_hits::cpu3.data 12 # number of SwapReq hits
+system.cpu3.dcache.SwapReq_hits::total 12 # number of SwapReq hits
+system.cpu3.dcache.demand_hits::cpu3.data 58176 # number of demand (read+write) hits
+system.cpu3.dcache.demand_hits::total 58176 # number of demand (read+write) hits
+system.cpu3.dcache.overall_hits::cpu3.data 58176 # number of overall hits
+system.cpu3.dcache.overall_hits::total 58176 # number of overall hits
+system.cpu3.dcache.ReadReq_misses::cpu3.data 151 # number of ReadReq misses
+system.cpu3.dcache.ReadReq_misses::total 151 # number of ReadReq misses
+system.cpu3.dcache.WriteReq_misses::cpu3.data 109 # number of WriteReq misses
+system.cpu3.dcache.WriteReq_misses::total 109 # number of WriteReq misses
+system.cpu3.dcache.SwapReq_misses::cpu3.data 52 # number of SwapReq misses
+system.cpu3.dcache.SwapReq_misses::total 52 # number of SwapReq misses
+system.cpu3.dcache.demand_misses::cpu3.data 260 # number of demand (read+write) misses
+system.cpu3.dcache.demand_misses::total 260 # number of demand (read+write) misses
+system.cpu3.dcache.overall_misses::cpu3.data 260 # number of overall misses
+system.cpu3.dcache.overall_misses::total 260 # number of overall misses
+system.cpu3.dcache.ReadReq_accesses::cpu3.data 42336 # number of ReadReq accesses(hits+misses)
+system.cpu3.dcache.ReadReq_accesses::total 42336 # number of ReadReq accesses(hits+misses)
+system.cpu3.dcache.WriteReq_accesses::cpu3.data 16100 # number of WriteReq accesses(hits+misses)
+system.cpu3.dcache.WriteReq_accesses::total 16100 # number of WriteReq accesses(hits+misses)
+system.cpu3.dcache.SwapReq_accesses::cpu3.data 64 # number of SwapReq accesses(hits+misses)
+system.cpu3.dcache.SwapReq_accesses::total 64 # number of SwapReq accesses(hits+misses)
+system.cpu3.dcache.demand_accesses::cpu3.data 58436 # number of demand (read+write) accesses
+system.cpu3.dcache.demand_accesses::total 58436 # number of demand (read+write) accesses
+system.cpu3.dcache.overall_accesses::cpu3.data 58436 # number of overall (read+write) accesses
+system.cpu3.dcache.overall_accesses::total 58436 # number of overall (read+write) accesses
+system.cpu3.dcache.ReadReq_miss_rate::cpu3.data 0.003567 # miss rate for ReadReq accesses
+system.cpu3.dcache.ReadReq_miss_rate::total 0.003567 # miss rate for ReadReq accesses
+system.cpu3.dcache.WriteReq_miss_rate::cpu3.data 0.006770 # miss rate for WriteReq accesses
+system.cpu3.dcache.WriteReq_miss_rate::total 0.006770 # miss rate for WriteReq accesses
+system.cpu3.dcache.SwapReq_miss_rate::cpu3.data 0.812500 # miss rate for SwapReq accesses
+system.cpu3.dcache.SwapReq_miss_rate::total 0.812500 # miss rate for SwapReq accesses
+system.cpu3.dcache.demand_miss_rate::cpu3.data 0.004449 # miss rate for demand accesses
+system.cpu3.dcache.demand_miss_rate::total 0.004449 # miss rate for demand accesses
+system.cpu3.dcache.overall_miss_rate::cpu3.data 0.004449 # miss rate for overall accesses
+system.cpu3.dcache.overall_miss_rate::total 0.004449 # miss rate for overall accesses
system.cpu3.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu3.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu3.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
diff --git a/tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp/stats.txt b/tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp/stats.txt
index 704fea740..1641360b2 100644
--- a/tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp/stats.txt
+++ b/tests/quick/se/40.m5threads-test-atomic/ref/sparc/linux/simple-timing-mp/stats.txt
@@ -1,14 +1,14 @@
---------- Begin Simulation Statistics ----------
sim_seconds 0.000263 # Number of seconds simulated
-sim_ticks 262794500 # Number of ticks simulated
-final_tick 262794500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_ticks 262793500 # Number of ticks simulated
+final_tick 262793500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_inst_rate 985745 # Simulator instruction rate (inst/s)
-host_op_rate 985721 # Simulator op (including micro ops) rate (op/s)
-host_tick_rate 390370221 # Simulator tick rate (ticks/s)
-host_mem_usage 283880 # Number of bytes of host memory used
-host_seconds 0.67 # Real time elapsed on the host
+host_inst_rate 1021127 # Simulator instruction rate (inst/s)
+host_op_rate 1021105 # Simulator op (including micro ops) rate (op/s)
+host_tick_rate 404381057 # Simulator tick rate (ticks/s)
+host_mem_usage 299844 # Number of bytes of host memory used
+host_seconds 0.65 # Real time elapsed on the host
sim_insts 663567 # Number of instructions simulated
sim_ops 663567 # Number of ops (including micro ops) simulated
system.voltage_domain.voltage 1 # Voltage in Volts
@@ -36,30 +36,29 @@ system.physmem.num_reads::cpu2.data 15 # Nu
system.physmem.num_reads::cpu3.inst 8 # Number of read requests responded to by this memory
system.physmem.num_reads::cpu3.data 16 # Number of read requests responded to by this memory
system.physmem.num_reads::total 572 # Number of read requests responded to by this memory
-system.physmem.bw_read::cpu0.inst 69407845 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu0.data 40183489 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.inst 14368642 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1.data 5357799 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu2.inst 487073 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu2.data 3653044 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu3.inst 1948290 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu3.data 3896581 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 139302763 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu0.inst 69407845 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu1.inst 14368642 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu2.inst 487073 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::cpu3.inst 1948290 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_inst_read::total 86211850 # Instruction read bandwidth from this memory (bytes/s)
-system.physmem.bw_total::cpu0.inst 69407845 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0.data 40183489 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.inst 14368642 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1.data 5357799 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu2.inst 487073 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu2.data 3653044 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu3.inst 1948290 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu3.data 3896581 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 139302763 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 139302763 # Throughput (bytes/s)
+system.physmem.bw_read::cpu0.inst 69408109 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu0.data 40183642 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.inst 14368696 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1.data 5357819 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu2.inst 487074 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu2.data 3653058 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu3.inst 1948298 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu3.data 3896596 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 139303293 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu0.inst 69408109 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu1.inst 14368696 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu2.inst 487074 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::cpu3.inst 1948298 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_inst_read::total 86212178 # Instruction read bandwidth from this memory (bytes/s)
+system.physmem.bw_total::cpu0.inst 69408109 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0.data 40183642 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.inst 14368696 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1.data 5357819 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu2.inst 487074 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu2.data 3653058 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu3.inst 1948298 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu3.data 3896596 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 139303293 # Total bandwidth to/from this memory (bytes/s)
system.membus.trans_dist::ReadReq 430 # Transaction distribution
system.membus.trans_dist::ReadResp 430 # Transaction distribution
system.membus.trans_dist::UpgradeReq 272 # Transaction distribution
@@ -68,30 +67,39 @@ system.membus.trans_dist::ReadExReq 208 # Tr
system.membus.trans_dist::ReadExResp 142 # Transaction distribution
system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 1559 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 1559 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::system.physmem.port 36608 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 36608 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 36608 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
-system.membus.reqLayer0.occupancy 852296 # Layer occupancy (ticks)
+system.membus.pkt_size_system.l2c.mem_side::system.physmem.port 36608 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 36608 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 261 # Total snoops (count)
+system.membus.snoop_fanout::samples 915 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 915 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 915 # Request fanout histogram
+system.membus.reqLayer0.occupancy 852796 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 0.3 # Layer utilization (%)
system.membus.respLayer1.occupancy 5420500 # Layer occupancy (ticks)
system.membus.respLayer1.utilization 2.1 # Layer utilization (%)
system.cpu_clk_domain.clock 500 # Clock period in ticks
system.l2c.tags.replacements 0 # number of replacements
-system.l2c.tags.tagsinuse 349.046072 # Cycle average of tags in use
+system.l2c.tags.tagsinuse 349.046261 # Cycle average of tags in use
system.l2c.tags.total_refs 1220 # Total number of references to valid blocks.
system.l2c.tags.sampled_refs 429 # Sample count of references to valid blocks.
system.l2c.tags.avg_refs 2.843823 # Average number of references to valid blocks.
system.l2c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.l2c.tags.occ_blocks::writebacks 0.889005 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.inst 231.790437 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0.data 54.207948 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.inst 51.556673 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1.data 6.123914 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu2.inst 1.773020 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu2.data 0.843760 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu3.inst 1.030292 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu3.data 0.831024 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::writebacks 0.889004 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.inst 231.790402 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0.data 54.207937 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.inst 51.556867 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1.data 6.123938 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu2.inst 1.773027 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu2.data 0.843763 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu3.inst 1.030296 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu3.data 0.831027 # Average occupied blocks per requestor
system.l2c.tags.occ_percent::writebacks 0.000014 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu0.inst 0.003537 # Average percentage of cache occupancy
system.l2c.tags.occ_percent::cpu0.data 0.000827 # Average percentage of cache occupancy
@@ -178,36 +186,36 @@ system.l2c.overall_misses::cpu3.data 16 # nu
system.l2c.overall_misses::total 592 # number of overall misses
system.l2c.ReadReq_miss_latency::cpu0.inst 14927000 # number of ReadReq miss cycles
system.l2c.ReadReq_miss_latency::cpu0.data 3451500 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu1.inst 3434500 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu1.inst 3434000 # number of ReadReq miss cycles
system.l2c.ReadReq_miss_latency::cpu1.data 418000 # number of ReadReq miss cycles
system.l2c.ReadReq_miss_latency::cpu2.inst 595000 # number of ReadReq miss cycles
system.l2c.ReadReq_miss_latency::cpu2.data 103000 # number of ReadReq miss cycles
system.l2c.ReadReq_miss_latency::cpu3.inst 465000 # number of ReadReq miss cycles
system.l2c.ReadReq_miss_latency::cpu3.data 104500 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::total 23498500 # number of ReadReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu0.data 5174000 # number of ReadExReq miss cycles
+system.l2c.ReadReq_miss_latency::total 23498000 # number of ReadReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu0.data 5172500 # number of ReadExReq miss cycles
system.l2c.ReadExReq_miss_latency::cpu1.data 800500 # number of ReadExReq miss cycles
system.l2c.ReadExReq_miss_latency::cpu2.data 746000 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu3.data 730000 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::total 7450500 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu3.data 730500 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::total 7449500 # number of ReadExReq miss cycles
system.l2c.demand_miss_latency::cpu0.inst 14927000 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu0.data 8625500 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu1.inst 3434500 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu0.data 8624000 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu1.inst 3434000 # number of demand (read+write) miss cycles
system.l2c.demand_miss_latency::cpu1.data 1218500 # number of demand (read+write) miss cycles
system.l2c.demand_miss_latency::cpu2.inst 595000 # number of demand (read+write) miss cycles
system.l2c.demand_miss_latency::cpu2.data 849000 # number of demand (read+write) miss cycles
system.l2c.demand_miss_latency::cpu3.inst 465000 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu3.data 834500 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::total 30949000 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu3.data 835000 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::total 30947500 # number of demand (read+write) miss cycles
system.l2c.overall_miss_latency::cpu0.inst 14927000 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu0.data 8625500 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu1.inst 3434500 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu0.data 8624000 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu1.inst 3434000 # number of overall miss cycles
system.l2c.overall_miss_latency::cpu1.data 1218500 # number of overall miss cycles
system.l2c.overall_miss_latency::cpu2.inst 595000 # number of overall miss cycles
system.l2c.overall_miss_latency::cpu2.data 849000 # number of overall miss cycles
system.l2c.overall_miss_latency::cpu3.inst 465000 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu3.data 834500 # number of overall miss cycles
-system.l2c.overall_miss_latency::total 30949000 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu3.data 835000 # number of overall miss cycles
+system.l2c.overall_miss_latency::total 30947500 # number of overall miss cycles
system.l2c.ReadReq_accesses::cpu0.inst 467 # number of ReadReq accesses(hits+misses)
system.l2c.ReadReq_accesses::cpu0.data 71 # number of ReadReq accesses(hits+misses)
system.l2c.ReadReq_accesses::cpu1.inst 366 # number of ReadReq accesses(hits+misses)
@@ -286,36 +294,36 @@ system.l2c.overall_miss_rate::cpu3.data 0.640000 # mi
system.l2c.overall_miss_rate::total 0.326711 # miss rate for overall accesses
system.l2c.ReadReq_avg_miss_latency::cpu0.inst 52375.438596 # average ReadReq miss latency
system.l2c.ReadReq_avg_miss_latency::cpu0.data 52295.454545 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu1.inst 52037.878788 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu1.inst 52030.303030 # average ReadReq miss latency
system.l2c.ReadReq_avg_miss_latency::cpu1.data 52250 # average ReadReq miss latency
system.l2c.ReadReq_avg_miss_latency::cpu2.inst 49583.333333 # average ReadReq miss latency
system.l2c.ReadReq_avg_miss_latency::cpu2.data 51500 # average ReadReq miss latency
system.l2c.ReadReq_avg_miss_latency::cpu3.inst 51666.666667 # average ReadReq miss latency
system.l2c.ReadReq_avg_miss_latency::cpu3.data 52250 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::total 52218.888889 # average ReadReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu0.data 52262.626263 # average ReadExReq miss latency
+system.l2c.ReadReq_avg_miss_latency::total 52217.777778 # average ReadReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu0.data 52247.474747 # average ReadExReq miss latency
system.l2c.ReadExReq_avg_miss_latency::cpu1.data 53366.666667 # average ReadExReq miss latency
system.l2c.ReadExReq_avg_miss_latency::cpu2.data 53285.714286 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu3.data 52142.857143 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::total 52468.309859 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu3.data 52178.571429 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::total 52461.267606 # average ReadExReq miss latency
system.l2c.demand_avg_miss_latency::cpu0.inst 52375.438596 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu0.data 52275.757576 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu1.inst 52037.878788 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu0.data 52266.666667 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu1.inst 52030.303030 # average overall miss latency
system.l2c.demand_avg_miss_latency::cpu1.data 52978.260870 # average overall miss latency
system.l2c.demand_avg_miss_latency::cpu2.inst 49583.333333 # average overall miss latency
system.l2c.demand_avg_miss_latency::cpu2.data 53062.500000 # average overall miss latency
system.l2c.demand_avg_miss_latency::cpu3.inst 51666.666667 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu3.data 52156.250000 # average overall miss latency
-system.l2c.demand_avg_miss_latency::total 52278.716216 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu3.data 52187.500000 # average overall miss latency
+system.l2c.demand_avg_miss_latency::total 52276.182432 # average overall miss latency
system.l2c.overall_avg_miss_latency::cpu0.inst 52375.438596 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu0.data 52275.757576 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu1.inst 52037.878788 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu0.data 52266.666667 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu1.inst 52030.303030 # average overall miss latency
system.l2c.overall_avg_miss_latency::cpu1.data 52978.260870 # average overall miss latency
system.l2c.overall_avg_miss_latency::cpu2.inst 49583.333333 # average overall miss latency
system.l2c.overall_avg_miss_latency::cpu2.data 53062.500000 # average overall miss latency
system.l2c.overall_avg_miss_latency::cpu3.inst 51666.666667 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu3.data 52156.250000 # average overall miss latency
-system.l2c.overall_avg_miss_latency::total 52278.716216 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu3.data 52187.500000 # average overall miss latency
+system.l2c.overall_avg_miss_latency::total 52276.182432 # average overall miss latency
system.l2c.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.l2c.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.l2c.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -393,29 +401,29 @@ system.l2c.UpgradeReq_mshr_miss_latency::cpu1.data 600499
system.l2c.UpgradeReq_mshr_miss_latency::cpu2.data 600000 # number of UpgradeReq MSHR miss cycles
system.l2c.UpgradeReq_mshr_miss_latency::cpu3.data 763992 # number of UpgradeReq MSHR miss cycles
system.l2c.UpgradeReq_mshr_miss_latency::total 3084491 # number of UpgradeReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::cpu0.data 3964000 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::cpu0.data 3962500 # number of ReadExReq MSHR miss cycles
system.l2c.ReadExReq_mshr_miss_latency::cpu1.data 617000 # number of ReadExReq MSHR miss cycles
system.l2c.ReadExReq_mshr_miss_latency::cpu2.data 576500 # number of ReadExReq MSHR miss cycles
system.l2c.ReadExReq_mshr_miss_latency::cpu3.data 560000 # number of ReadExReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::total 5717500 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::total 5716000 # number of ReadExReq MSHR miss cycles
system.l2c.demand_mshr_miss_latency::cpu0.inst 11414500 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu0.data 6604000 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu0.data 6602500 # number of demand (read+write) MSHR miss cycles
system.l2c.demand_mshr_miss_latency::cpu1.inst 2368500 # number of demand (read+write) MSHR miss cycles
system.l2c.demand_mshr_miss_latency::cpu1.data 897000 # number of demand (read+write) MSHR miss cycles
system.l2c.demand_mshr_miss_latency::cpu2.inst 80000 # number of demand (read+write) MSHR miss cycles
system.l2c.demand_mshr_miss_latency::cpu2.data 616500 # number of demand (read+write) MSHR miss cycles
system.l2c.demand_mshr_miss_latency::cpu3.inst 320000 # number of demand (read+write) MSHR miss cycles
system.l2c.demand_mshr_miss_latency::cpu3.data 640000 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::total 22940500 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::total 22939000 # number of demand (read+write) MSHR miss cycles
system.l2c.overall_mshr_miss_latency::cpu0.inst 11414500 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu0.data 6604000 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu0.data 6602500 # number of overall MSHR miss cycles
system.l2c.overall_mshr_miss_latency::cpu1.inst 2368500 # number of overall MSHR miss cycles
system.l2c.overall_mshr_miss_latency::cpu1.data 897000 # number of overall MSHR miss cycles
system.l2c.overall_mshr_miss_latency::cpu2.inst 80000 # number of overall MSHR miss cycles
system.l2c.overall_mshr_miss_latency::cpu2.data 616500 # number of overall MSHR miss cycles
system.l2c.overall_mshr_miss_latency::cpu3.inst 320000 # number of overall MSHR miss cycles
system.l2c.overall_mshr_miss_latency::cpu3.data 640000 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::total 22940500 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::total 22939000 # number of overall MSHR miss cycles
system.l2c.ReadReq_mshr_miss_rate::cpu0.inst 0.610278 # mshr miss rate for ReadReq accesses
system.l2c.ReadReq_mshr_miss_rate::cpu0.data 0.929577 # mshr miss rate for ReadReq accesses
system.l2c.ReadReq_mshr_miss_rate::cpu1.inst 0.161202 # mshr miss rate for ReadReq accesses
@@ -467,31 +475,30 @@ system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu1.data 40033.266667
system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu2.data 40000 # average UpgradeReq mshr miss latency
system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu3.data 40210.105263 # average UpgradeReq mshr miss latency
system.l2c.UpgradeReq_avg_mshr_miss_latency::total 40058.324675 # average UpgradeReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::cpu0.data 40040.404040 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::cpu0.data 40025.252525 # average ReadExReq mshr miss latency
system.l2c.ReadExReq_avg_mshr_miss_latency::cpu1.data 41133.333333 # average ReadExReq mshr miss latency
system.l2c.ReadExReq_avg_mshr_miss_latency::cpu2.data 41178.571429 # average ReadExReq mshr miss latency
system.l2c.ReadExReq_avg_mshr_miss_latency::cpu3.data 40000 # average ReadExReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::total 40264.084507 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::total 40253.521127 # average ReadExReq mshr miss latency
system.l2c.demand_avg_mshr_miss_latency::cpu0.inst 40050.877193 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu0.data 40024.242424 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu0.data 40015.151515 # average overall mshr miss latency
system.l2c.demand_avg_mshr_miss_latency::cpu1.inst 40144.067797 # average overall mshr miss latency
system.l2c.demand_avg_mshr_miss_latency::cpu1.data 40772.727273 # average overall mshr miss latency
system.l2c.demand_avg_mshr_miss_latency::cpu2.inst 40000 # average overall mshr miss latency
system.l2c.demand_avg_mshr_miss_latency::cpu2.data 41100 # average overall mshr miss latency
system.l2c.demand_avg_mshr_miss_latency::cpu3.inst 40000 # average overall mshr miss latency
system.l2c.demand_avg_mshr_miss_latency::cpu3.data 40000 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::total 40105.769231 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::total 40103.146853 # average overall mshr miss latency
system.l2c.overall_avg_mshr_miss_latency::cpu0.inst 40050.877193 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu0.data 40024.242424 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu0.data 40015.151515 # average overall mshr miss latency
system.l2c.overall_avg_mshr_miss_latency::cpu1.inst 40144.067797 # average overall mshr miss latency
system.l2c.overall_avg_mshr_miss_latency::cpu1.data 40772.727273 # average overall mshr miss latency
system.l2c.overall_avg_mshr_miss_latency::cpu2.inst 40000 # average overall mshr miss latency
system.l2c.overall_avg_mshr_miss_latency::cpu2.data 41100 # average overall mshr miss latency
system.l2c.overall_avg_mshr_miss_latency::cpu3.inst 40000 # average overall mshr miss latency
system.l2c.overall_avg_mshr_miss_latency::cpu3.data 40000 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::total 40105.769231 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::total 40103.146853 # average overall mshr miss latency
system.l2c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.toL2Bus.throughput 646588875 # Throughput (bytes/s)
system.toL2Bus.trans_dist::ReadReq 2225 # Transaction distribution
system.toL2Bus.trans_dist::ReadResp 2225 # Transaction distribution
system.toL2Bus.trans_dist::Writeback 1 # Transaction distribution
@@ -508,17 +515,33 @@ system.toL2Bus.pkt_count_system.cpu2.dcache.mem_side::system.l2c.cpu_side
system.toL2Bus.pkt_count_system.cpu3.icache.mem_side::system.l2c.cpu_side 734 # Packet count per connected master and slave (bytes)
system.toL2Bus.pkt_count_system.cpu3.dcache.mem_side::system.l2c.cpu_side 401 # Packet count per connected master and slave (bytes)
system.toL2Bus.pkt_count::total 4820 # Packet count per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu0.icache.mem_side::system.l2c.cpu_side 29888 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu0.dcache.mem_side::system.l2c.cpu_side 10944 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu1.icache.mem_side::system.l2c.cpu_side 23424 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu1.dcache.mem_side::system.l2c.cpu_side 1664 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu2.icache.mem_side::system.l2c.cpu_side 23424 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu2.dcache.mem_side::system.l2c.cpu_side 1600 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu3.icache.mem_side::system.l2c.cpu_side 23488 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu3.dcache.mem_side::system.l2c.cpu_side 1600 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size::total 116032 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.data_through_bus 116032 # Total data (bytes)
-system.toL2Bus.snoop_data_through_bus 53888 # Total snoop data (bytes)
+system.toL2Bus.pkt_size_system.cpu0.icache.mem_side::system.l2c.cpu_side 29888 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.dcache.mem_side::system.l2c.cpu_side 10944 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.icache.mem_side::system.l2c.cpu_side 23424 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.dcache.mem_side::system.l2c.cpu_side 1664 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu2.icache.mem_side::system.l2c.cpu_side 23424 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu2.dcache.mem_side::system.l2c.cpu_side 1600 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu3.icache.mem_side::system.l2c.cpu_side 23488 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu3.dcache.mem_side::system.l2c.cpu_side 1600 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size::total 116032 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.snoops 1037 # Total snoops (count)
+system.toL2Bus.snoop_fanout::samples 2929 # Request fanout histogram
+system.toL2Bus.snoop_fanout::mean 7 # Request fanout histogram
+system.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::5 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::6 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::7 2929 100.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::8 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::min_value 7 # Request fanout histogram
+system.toL2Bus.snoop_fanout::max_value 7 # Request fanout histogram
+system.toL2Bus.snoop_fanout::total 2929 # Request fanout histogram
system.toL2Bus.reqLayer0.occupancy 1473490 # Layer occupancy (ticks)
system.toL2Bus.reqLayer0.utilization 0.6 # Layer utilization (%)
system.toL2Bus.respLayer0.occupancy 2101500 # Layer occupancy (ticks)
@@ -538,7 +561,7 @@ system.toL2Bus.respLayer6.utilization 0.6 # La
system.toL2Bus.respLayer7.occupancy 1327473 # Layer occupancy (ticks)
system.toL2Bus.respLayer7.utilization 0.5 # Layer utilization (%)
system.cpu0.workload.num_syscalls 89 # Number of system calls
-system.cpu0.numCycles 525589 # number of cpu cycles simulated
+system.cpu0.numCycles 525587 # number of cpu cycles simulated
system.cpu0.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu0.numWorkItemsCompleted 0 # number of work items this cpu completed
system.cpu0.committedInsts 158574 # Number of instructions committed
@@ -557,7 +580,7 @@ system.cpu0.num_mem_refs 74021 # nu
system.cpu0.num_load_insts 49007 # Number of load instructions
system.cpu0.num_store_insts 25014 # Number of store instructions
system.cpu0.num_idle_cycles 0 # Number of idle cycles
-system.cpu0.num_busy_cycles 525589 # Number of busy cycles
+system.cpu0.num_busy_cycles 525587 # Number of busy cycles
system.cpu0.not_idle_fraction 1 # Percentage of non-idle cycles
system.cpu0.idle_fraction 0 # Percentage of idle cycles
system.cpu0.Branches 26897 # Number of branches fetched
@@ -597,12 +620,12 @@ system.cpu0.op_class::IprAccess 0 0.00% 100.00% # Cl
system.cpu0.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
system.cpu0.op_class::total 158636 # Class of executed instruction
system.cpu0.icache.tags.replacements 215 # number of replacements
-system.cpu0.icache.tags.tagsinuse 212.401822 # Cycle average of tags in use
+system.cpu0.icache.tags.tagsinuse 212.401858 # Cycle average of tags in use
system.cpu0.icache.tags.total_refs 158170 # Total number of references to valid blocks.
system.cpu0.icache.tags.sampled_refs 467 # Sample count of references to valid blocks.
system.cpu0.icache.tags.avg_refs 338.693790 # Average number of references to valid blocks.
system.cpu0.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu0.icache.tags.occ_blocks::cpu0.inst 212.401822 # Average occupied blocks per requestor
+system.cpu0.icache.tags.occ_blocks::cpu0.inst 212.401858 # Average occupied blocks per requestor
system.cpu0.icache.tags.occ_percent::cpu0.inst 0.414847 # Average percentage of cache occupancy
system.cpu0.icache.tags.occ_percent::total 0.414847 # Average percentage of cache occupancy
system.cpu0.icache.tags.occ_task_id_blocks::1024 252 # Occupied blocks per task id
@@ -681,12 +704,12 @@ system.cpu0.icache.overall_avg_mshr_miss_latency::cpu0.inst 36860.813704
system.cpu0.icache.overall_avg_mshr_miss_latency::total 36860.813704 # average overall mshr miss latency
system.cpu0.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu0.dcache.tags.replacements 2 # number of replacements
-system.cpu0.dcache.tags.tagsinuse 145.571924 # Cycle average of tags in use
+system.cpu0.dcache.tags.tagsinuse 145.571907 # Cycle average of tags in use
system.cpu0.dcache.tags.total_refs 73489 # Total number of references to valid blocks.
system.cpu0.dcache.tags.sampled_refs 167 # Sample count of references to valid blocks.
system.cpu0.dcache.tags.avg_refs 440.053892 # Average number of references to valid blocks.
system.cpu0.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu0.dcache.tags.occ_blocks::cpu0.data 145.571924 # Average occupied blocks per requestor
+system.cpu0.dcache.tags.occ_blocks::cpu0.data 145.571907 # Average occupied blocks per requestor
system.cpu0.dcache.tags.occ_percent::cpu0.data 0.284320 # Average percentage of cache occupancy
system.cpu0.dcache.tags.occ_percent::total 0.284320 # Average percentage of cache occupancy
system.cpu0.dcache.tags.occ_task_id_blocks::1024 165 # Occupied blocks per task id
@@ -717,14 +740,14 @@ system.cpu0.dcache.overall_misses::cpu0.data 353
system.cpu0.dcache.overall_misses::total 353 # number of overall misses
system.cpu0.dcache.ReadReq_miss_latency::cpu0.data 4586981 # number of ReadReq miss cycles
system.cpu0.dcache.ReadReq_miss_latency::total 4586981 # number of ReadReq miss cycles
-system.cpu0.dcache.WriteReq_miss_latency::cpu0.data 6974000 # number of WriteReq miss cycles
-system.cpu0.dcache.WriteReq_miss_latency::total 6974000 # number of WriteReq miss cycles
+system.cpu0.dcache.WriteReq_miss_latency::cpu0.data 6973000 # number of WriteReq miss cycles
+system.cpu0.dcache.WriteReq_miss_latency::total 6973000 # number of WriteReq miss cycles
system.cpu0.dcache.SwapReq_miss_latency::cpu0.data 360500 # number of SwapReq miss cycles
system.cpu0.dcache.SwapReq_miss_latency::total 360500 # number of SwapReq miss cycles
-system.cpu0.dcache.demand_miss_latency::cpu0.data 11560981 # number of demand (read+write) miss cycles
-system.cpu0.dcache.demand_miss_latency::total 11560981 # number of demand (read+write) miss cycles
-system.cpu0.dcache.overall_miss_latency::cpu0.data 11560981 # number of overall miss cycles
-system.cpu0.dcache.overall_miss_latency::total 11560981 # number of overall miss cycles
+system.cpu0.dcache.demand_miss_latency::cpu0.data 11559981 # number of demand (read+write) miss cycles
+system.cpu0.dcache.demand_miss_latency::total 11559981 # number of demand (read+write) miss cycles
+system.cpu0.dcache.overall_miss_latency::cpu0.data 11559981 # number of overall miss cycles
+system.cpu0.dcache.overall_miss_latency::total 11559981 # number of overall miss cycles
system.cpu0.dcache.ReadReq_accesses::cpu0.data 48997 # number of ReadReq accesses(hits+misses)
system.cpu0.dcache.ReadReq_accesses::total 48997 # number of ReadReq accesses(hits+misses)
system.cpu0.dcache.WriteReq_accesses::cpu0.data 24963 # number of WriteReq accesses(hits+misses)
@@ -747,14 +770,14 @@ system.cpu0.dcache.overall_miss_rate::cpu0.data 0.004773
system.cpu0.dcache.overall_miss_rate::total 0.004773 # miss rate for overall accesses
system.cpu0.dcache.ReadReq_avg_miss_latency::cpu0.data 26982.241176 # average ReadReq miss latency
system.cpu0.dcache.ReadReq_avg_miss_latency::total 26982.241176 # average ReadReq miss latency
-system.cpu0.dcache.WriteReq_avg_miss_latency::cpu0.data 38109.289617 # average WriteReq miss latency
-system.cpu0.dcache.WriteReq_avg_miss_latency::total 38109.289617 # average WriteReq miss latency
+system.cpu0.dcache.WriteReq_avg_miss_latency::cpu0.data 38103.825137 # average WriteReq miss latency
+system.cpu0.dcache.WriteReq_avg_miss_latency::total 38103.825137 # average WriteReq miss latency
system.cpu0.dcache.SwapReq_avg_miss_latency::cpu0.data 13865.384615 # average SwapReq miss latency
system.cpu0.dcache.SwapReq_avg_miss_latency::total 13865.384615 # average SwapReq miss latency
-system.cpu0.dcache.demand_avg_miss_latency::cpu0.data 32750.654391 # average overall miss latency
-system.cpu0.dcache.demand_avg_miss_latency::total 32750.654391 # average overall miss latency
-system.cpu0.dcache.overall_avg_miss_latency::cpu0.data 32750.654391 # average overall miss latency
-system.cpu0.dcache.overall_avg_miss_latency::total 32750.654391 # average overall miss latency
+system.cpu0.dcache.demand_avg_miss_latency::cpu0.data 32747.821530 # average overall miss latency
+system.cpu0.dcache.demand_avg_miss_latency::total 32747.821530 # average overall miss latency
+system.cpu0.dcache.overall_avg_miss_latency::cpu0.data 32747.821530 # average overall miss latency
+system.cpu0.dcache.overall_avg_miss_latency::total 32747.821530 # average overall miss latency
system.cpu0.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu0.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu0.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -777,14 +800,14 @@ system.cpu0.dcache.overall_mshr_misses::cpu0.data 353
system.cpu0.dcache.overall_mshr_misses::total 353 # number of overall MSHR misses
system.cpu0.dcache.ReadReq_mshr_miss_latency::cpu0.data 4237019 # number of ReadReq MSHR miss cycles
system.cpu0.dcache.ReadReq_mshr_miss_latency::total 4237019 # number of ReadReq MSHR miss cycles
-system.cpu0.dcache.WriteReq_mshr_miss_latency::cpu0.data 6608000 # number of WriteReq MSHR miss cycles
-system.cpu0.dcache.WriteReq_mshr_miss_latency::total 6608000 # number of WriteReq MSHR miss cycles
+system.cpu0.dcache.WriteReq_mshr_miss_latency::cpu0.data 6607000 # number of WriteReq MSHR miss cycles
+system.cpu0.dcache.WriteReq_mshr_miss_latency::total 6607000 # number of WriteReq MSHR miss cycles
system.cpu0.dcache.SwapReq_mshr_miss_latency::cpu0.data 308500 # number of SwapReq MSHR miss cycles
system.cpu0.dcache.SwapReq_mshr_miss_latency::total 308500 # number of SwapReq MSHR miss cycles
-system.cpu0.dcache.demand_mshr_miss_latency::cpu0.data 10845019 # number of demand (read+write) MSHR miss cycles
-system.cpu0.dcache.demand_mshr_miss_latency::total 10845019 # number of demand (read+write) MSHR miss cycles
-system.cpu0.dcache.overall_mshr_miss_latency::cpu0.data 10845019 # number of overall MSHR miss cycles
-system.cpu0.dcache.overall_mshr_miss_latency::total 10845019 # number of overall MSHR miss cycles
+system.cpu0.dcache.demand_mshr_miss_latency::cpu0.data 10844019 # number of demand (read+write) MSHR miss cycles
+system.cpu0.dcache.demand_mshr_miss_latency::total 10844019 # number of demand (read+write) MSHR miss cycles
+system.cpu0.dcache.overall_mshr_miss_latency::cpu0.data 10844019 # number of overall MSHR miss cycles
+system.cpu0.dcache.overall_mshr_miss_latency::total 10844019 # number of overall MSHR miss cycles
system.cpu0.dcache.ReadReq_mshr_miss_rate::cpu0.data 0.003470 # mshr miss rate for ReadReq accesses
system.cpu0.dcache.ReadReq_mshr_miss_rate::total 0.003470 # mshr miss rate for ReadReq accesses
system.cpu0.dcache.WriteReq_mshr_miss_rate::cpu0.data 0.007331 # mshr miss rate for WriteReq accesses
@@ -797,16 +820,16 @@ system.cpu0.dcache.overall_mshr_miss_rate::cpu0.data 0.004773
system.cpu0.dcache.overall_mshr_miss_rate::total 0.004773 # mshr miss rate for overall accesses
system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::cpu0.data 24923.641176 # average ReadReq mshr miss latency
system.cpu0.dcache.ReadReq_avg_mshr_miss_latency::total 24923.641176 # average ReadReq mshr miss latency
-system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::cpu0.data 36109.289617 # average WriteReq mshr miss latency
-system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::total 36109.289617 # average WriteReq mshr miss latency
+system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::cpu0.data 36103.825137 # average WriteReq mshr miss latency
+system.cpu0.dcache.WriteReq_avg_mshr_miss_latency::total 36103.825137 # average WriteReq mshr miss latency
system.cpu0.dcache.SwapReq_avg_mshr_miss_latency::cpu0.data 11865.384615 # average SwapReq mshr miss latency
system.cpu0.dcache.SwapReq_avg_mshr_miss_latency::total 11865.384615 # average SwapReq mshr miss latency
-system.cpu0.dcache.demand_avg_mshr_miss_latency::cpu0.data 30722.433428 # average overall mshr miss latency
-system.cpu0.dcache.demand_avg_mshr_miss_latency::total 30722.433428 # average overall mshr miss latency
-system.cpu0.dcache.overall_avg_mshr_miss_latency::cpu0.data 30722.433428 # average overall mshr miss latency
-system.cpu0.dcache.overall_avg_mshr_miss_latency::total 30722.433428 # average overall mshr miss latency
+system.cpu0.dcache.demand_avg_mshr_miss_latency::cpu0.data 30719.600567 # average overall mshr miss latency
+system.cpu0.dcache.demand_avg_mshr_miss_latency::total 30719.600567 # average overall mshr miss latency
+system.cpu0.dcache.overall_avg_mshr_miss_latency::cpu0.data 30719.600567 # average overall mshr miss latency
+system.cpu0.dcache.overall_avg_mshr_miss_latency::total 30719.600567 # average overall mshr miss latency
system.cpu0.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu1.numCycles 525588 # number of cpu cycles simulated
+system.cpu1.numCycles 525586 # number of cpu cycles simulated
system.cpu1.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu1.numWorkItemsCompleted 0 # number of work items this cpu completed
system.cpu1.committedInsts 163471 # Number of instructions committed
@@ -824,8 +847,8 @@ system.cpu1.num_fp_register_writes 0 # nu
system.cpu1.num_mem_refs 58020 # number of memory refs
system.cpu1.num_load_insts 41540 # Number of load instructions
system.cpu1.num_store_insts 16480 # Number of store instructions
-system.cpu1.num_idle_cycles 69346.869795 # Number of idle cycles
-system.cpu1.num_busy_cycles 456241.130205 # Number of busy cycles
+system.cpu1.num_idle_cycles 69346.869794 # Number of idle cycles
+system.cpu1.num_busy_cycles 456239.130206 # Number of busy cycles
system.cpu1.not_idle_fraction 0.868058 # Percentage of non-idle cycles
system.cpu1.idle_fraction 0.131942 # Percentage of idle cycles
system.cpu1.Branches 31528 # Number of branches fetched
@@ -865,12 +888,12 @@ system.cpu1.op_class::IprAccess 0 0.00% 100.00% # Cl
system.cpu1.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
system.cpu1.op_class::total 163503 # Class of executed instruction
system.cpu1.icache.tags.replacements 280 # number of replacements
-system.cpu1.icache.tags.tagsinuse 70.017504 # Cycle average of tags in use
+system.cpu1.icache.tags.tagsinuse 70.017769 # Cycle average of tags in use
system.cpu1.icache.tags.total_refs 163138 # Total number of references to valid blocks.
system.cpu1.icache.tags.sampled_refs 366 # Sample count of references to valid blocks.
system.cpu1.icache.tags.avg_refs 445.732240 # Average number of references to valid blocks.
system.cpu1.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu1.icache.tags.occ_blocks::cpu1.inst 70.017504 # Average occupied blocks per requestor
+system.cpu1.icache.tags.occ_blocks::cpu1.inst 70.017769 # Average occupied blocks per requestor
system.cpu1.icache.tags.occ_percent::cpu1.inst 0.136753 # Average percentage of cache occupancy
system.cpu1.icache.tags.occ_percent::total 0.136753 # Average percentage of cache occupancy
system.cpu1.icache.tags.occ_task_id_blocks::1024 86 # Occupied blocks per task id
@@ -892,12 +915,12 @@ system.cpu1.icache.demand_misses::cpu1.inst 366 #
system.cpu1.icache.demand_misses::total 366 # number of demand (read+write) misses
system.cpu1.icache.overall_misses::cpu1.inst 366 # number of overall misses
system.cpu1.icache.overall_misses::total 366 # number of overall misses
-system.cpu1.icache.ReadReq_miss_latency::cpu1.inst 7544988 # number of ReadReq miss cycles
-system.cpu1.icache.ReadReq_miss_latency::total 7544988 # number of ReadReq miss cycles
-system.cpu1.icache.demand_miss_latency::cpu1.inst 7544988 # number of demand (read+write) miss cycles
-system.cpu1.icache.demand_miss_latency::total 7544988 # number of demand (read+write) miss cycles
-system.cpu1.icache.overall_miss_latency::cpu1.inst 7544988 # number of overall miss cycles
-system.cpu1.icache.overall_miss_latency::total 7544988 # number of overall miss cycles
+system.cpu1.icache.ReadReq_miss_latency::cpu1.inst 7544488 # number of ReadReq miss cycles
+system.cpu1.icache.ReadReq_miss_latency::total 7544488 # number of ReadReq miss cycles
+system.cpu1.icache.demand_miss_latency::cpu1.inst 7544488 # number of demand (read+write) miss cycles
+system.cpu1.icache.demand_miss_latency::total 7544488 # number of demand (read+write) miss cycles
+system.cpu1.icache.overall_miss_latency::cpu1.inst 7544488 # number of overall miss cycles
+system.cpu1.icache.overall_miss_latency::total 7544488 # number of overall miss cycles
system.cpu1.icache.ReadReq_accesses::cpu1.inst 163504 # number of ReadReq accesses(hits+misses)
system.cpu1.icache.ReadReq_accesses::total 163504 # number of ReadReq accesses(hits+misses)
system.cpu1.icache.demand_accesses::cpu1.inst 163504 # number of demand (read+write) accesses
@@ -910,12 +933,12 @@ system.cpu1.icache.demand_miss_rate::cpu1.inst 0.002238
system.cpu1.icache.demand_miss_rate::total 0.002238 # miss rate for demand accesses
system.cpu1.icache.overall_miss_rate::cpu1.inst 0.002238 # miss rate for overall accesses
system.cpu1.icache.overall_miss_rate::total 0.002238 # miss rate for overall accesses
-system.cpu1.icache.ReadReq_avg_miss_latency::cpu1.inst 20614.721311 # average ReadReq miss latency
-system.cpu1.icache.ReadReq_avg_miss_latency::total 20614.721311 # average ReadReq miss latency
-system.cpu1.icache.demand_avg_miss_latency::cpu1.inst 20614.721311 # average overall miss latency
-system.cpu1.icache.demand_avg_miss_latency::total 20614.721311 # average overall miss latency
-system.cpu1.icache.overall_avg_miss_latency::cpu1.inst 20614.721311 # average overall miss latency
-system.cpu1.icache.overall_avg_miss_latency::total 20614.721311 # average overall miss latency
+system.cpu1.icache.ReadReq_avg_miss_latency::cpu1.inst 20613.355191 # average ReadReq miss latency
+system.cpu1.icache.ReadReq_avg_miss_latency::total 20613.355191 # average ReadReq miss latency
+system.cpu1.icache.demand_avg_miss_latency::cpu1.inst 20613.355191 # average overall miss latency
+system.cpu1.icache.demand_avg_miss_latency::total 20613.355191 # average overall miss latency
+system.cpu1.icache.overall_avg_miss_latency::cpu1.inst 20613.355191 # average overall miss latency
+system.cpu1.icache.overall_avg_miss_latency::total 20613.355191 # average overall miss latency
system.cpu1.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu1.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu1.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -930,32 +953,32 @@ system.cpu1.icache.demand_mshr_misses::cpu1.inst 366
system.cpu1.icache.demand_mshr_misses::total 366 # number of demand (read+write) MSHR misses
system.cpu1.icache.overall_mshr_misses::cpu1.inst 366 # number of overall MSHR misses
system.cpu1.icache.overall_mshr_misses::total 366 # number of overall MSHR misses
-system.cpu1.icache.ReadReq_mshr_miss_latency::cpu1.inst 6806012 # number of ReadReq MSHR miss cycles
-system.cpu1.icache.ReadReq_mshr_miss_latency::total 6806012 # number of ReadReq MSHR miss cycles
-system.cpu1.icache.demand_mshr_miss_latency::cpu1.inst 6806012 # number of demand (read+write) MSHR miss cycles
-system.cpu1.icache.demand_mshr_miss_latency::total 6806012 # number of demand (read+write) MSHR miss cycles
-system.cpu1.icache.overall_mshr_miss_latency::cpu1.inst 6806012 # number of overall MSHR miss cycles
-system.cpu1.icache.overall_mshr_miss_latency::total 6806012 # number of overall MSHR miss cycles
+system.cpu1.icache.ReadReq_mshr_miss_latency::cpu1.inst 6805512 # number of ReadReq MSHR miss cycles
+system.cpu1.icache.ReadReq_mshr_miss_latency::total 6805512 # number of ReadReq MSHR miss cycles
+system.cpu1.icache.demand_mshr_miss_latency::cpu1.inst 6805512 # number of demand (read+write) MSHR miss cycles
+system.cpu1.icache.demand_mshr_miss_latency::total 6805512 # number of demand (read+write) MSHR miss cycles
+system.cpu1.icache.overall_mshr_miss_latency::cpu1.inst 6805512 # number of overall MSHR miss cycles
+system.cpu1.icache.overall_mshr_miss_latency::total 6805512 # number of overall MSHR miss cycles
system.cpu1.icache.ReadReq_mshr_miss_rate::cpu1.inst 0.002238 # mshr miss rate for ReadReq accesses
system.cpu1.icache.ReadReq_mshr_miss_rate::total 0.002238 # mshr miss rate for ReadReq accesses
system.cpu1.icache.demand_mshr_miss_rate::cpu1.inst 0.002238 # mshr miss rate for demand accesses
system.cpu1.icache.demand_mshr_miss_rate::total 0.002238 # mshr miss rate for demand accesses
system.cpu1.icache.overall_mshr_miss_rate::cpu1.inst 0.002238 # mshr miss rate for overall accesses
system.cpu1.icache.overall_mshr_miss_rate::total 0.002238 # mshr miss rate for overall accesses
-system.cpu1.icache.ReadReq_avg_mshr_miss_latency::cpu1.inst 18595.661202 # average ReadReq mshr miss latency
-system.cpu1.icache.ReadReq_avg_mshr_miss_latency::total 18595.661202 # average ReadReq mshr miss latency
-system.cpu1.icache.demand_avg_mshr_miss_latency::cpu1.inst 18595.661202 # average overall mshr miss latency
-system.cpu1.icache.demand_avg_mshr_miss_latency::total 18595.661202 # average overall mshr miss latency
-system.cpu1.icache.overall_avg_mshr_miss_latency::cpu1.inst 18595.661202 # average overall mshr miss latency
-system.cpu1.icache.overall_avg_mshr_miss_latency::total 18595.661202 # average overall mshr miss latency
+system.cpu1.icache.ReadReq_avg_mshr_miss_latency::cpu1.inst 18594.295082 # average ReadReq mshr miss latency
+system.cpu1.icache.ReadReq_avg_mshr_miss_latency::total 18594.295082 # average ReadReq mshr miss latency
+system.cpu1.icache.demand_avg_mshr_miss_latency::cpu1.inst 18594.295082 # average overall mshr miss latency
+system.cpu1.icache.demand_avg_mshr_miss_latency::total 18594.295082 # average overall mshr miss latency
+system.cpu1.icache.overall_avg_mshr_miss_latency::cpu1.inst 18594.295082 # average overall mshr miss latency
+system.cpu1.icache.overall_avg_mshr_miss_latency::total 18594.295082 # average overall mshr miss latency
system.cpu1.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu1.dcache.tags.replacements 0 # number of replacements
-system.cpu1.dcache.tags.tagsinuse 27.720196 # Cycle average of tags in use
+system.cpu1.dcache.tags.tagsinuse 27.720301 # Cycle average of tags in use
system.cpu1.dcache.tags.total_refs 35348 # Total number of references to valid blocks.
system.cpu1.dcache.tags.sampled_refs 30 # Sample count of references to valid blocks.
system.cpu1.dcache.tags.avg_refs 1178.266667 # Average number of references to valid blocks.
system.cpu1.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu1.dcache.tags.occ_blocks::cpu1.data 27.720196 # Average occupied blocks per requestor
+system.cpu1.dcache.tags.occ_blocks::cpu1.data 27.720301 # Average occupied blocks per requestor
system.cpu1.dcache.tags.occ_percent::cpu1.data 0.054141 # Average percentage of cache occupancy
system.cpu1.dcache.tags.occ_percent::total 0.054141 # Average percentage of cache occupancy
system.cpu1.dcache.tags.occ_task_id_blocks::1024 30 # Occupied blocks per task id
@@ -1073,7 +1096,7 @@ system.cpu1.dcache.demand_avg_mshr_miss_latency::total 14948.733840
system.cpu1.dcache.overall_avg_mshr_miss_latency::cpu1.data 14948.733840 # average overall mshr miss latency
system.cpu1.dcache.overall_avg_mshr_miss_latency::total 14948.733840 # average overall mshr miss latency
system.cpu1.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu2.numCycles 525588 # number of cpu cycles simulated
+system.cpu2.numCycles 525586 # number of cpu cycles simulated
system.cpu2.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu2.numWorkItemsCompleted 0 # number of work items this cpu completed
system.cpu2.committedInsts 164866 # Number of instructions committed
@@ -1091,10 +1114,10 @@ system.cpu2.num_fp_register_writes 0 # nu
system.cpu2.num_mem_refs 59208 # number of memory refs
system.cpu2.num_load_insts 42171 # Number of load instructions
system.cpu2.num_store_insts 17037 # Number of store instructions
-system.cpu2.num_idle_cycles 69603.869305 # Number of idle cycles
-system.cpu2.num_busy_cycles 455984.130695 # Number of busy cycles
-system.cpu2.not_idle_fraction 0.867570 # Percentage of non-idle cycles
-system.cpu2.idle_fraction 0.132430 # Percentage of idle cycles
+system.cpu2.num_idle_cycles 69603.869304 # Number of idle cycles
+system.cpu2.num_busy_cycles 455982.130696 # Number of busy cycles
+system.cpu2.not_idle_fraction 0.867569 # Percentage of non-idle cycles
+system.cpu2.idle_fraction 0.132431 # Percentage of idle cycles
system.cpu2.Branches 31596 # Number of branches fetched
system.cpu2.op_class::No_OpClass 22386 13.58% 13.58% # Class of executed instruction
system.cpu2.op_class::IntAlu 75723 45.92% 59.50% # Class of executed instruction
@@ -1132,12 +1155,12 @@ system.cpu2.op_class::IprAccess 0 0.00% 100.00% # Cl
system.cpu2.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
system.cpu2.op_class::total 164898 # Class of executed instruction
system.cpu2.icache.tags.replacements 280 # number of replacements
-system.cpu2.icache.tags.tagsinuse 67.624960 # Cycle average of tags in use
+system.cpu2.icache.tags.tagsinuse 67.625211 # Cycle average of tags in use
system.cpu2.icache.tags.total_refs 164533 # Total number of references to valid blocks.
system.cpu2.icache.tags.sampled_refs 366 # Sample count of references to valid blocks.
system.cpu2.icache.tags.avg_refs 449.543716 # Average number of references to valid blocks.
system.cpu2.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu2.icache.tags.occ_blocks::cpu2.inst 67.624960 # Average occupied blocks per requestor
+system.cpu2.icache.tags.occ_blocks::cpu2.inst 67.625211 # Average occupied blocks per requestor
system.cpu2.icache.tags.occ_percent::cpu2.inst 0.132080 # Average percentage of cache occupancy
system.cpu2.icache.tags.occ_percent::total 0.132080 # Average percentage of cache occupancy
system.cpu2.icache.tags.occ_task_id_blocks::1024 86 # Occupied blocks per task id
@@ -1159,12 +1182,12 @@ system.cpu2.icache.demand_misses::cpu2.inst 366 #
system.cpu2.icache.demand_misses::total 366 # number of demand (read+write) misses
system.cpu2.icache.overall_misses::cpu2.inst 366 # number of overall misses
system.cpu2.icache.overall_misses::total 366 # number of overall misses
-system.cpu2.icache.ReadReq_miss_latency::cpu2.inst 5252488 # number of ReadReq miss cycles
-system.cpu2.icache.ReadReq_miss_latency::total 5252488 # number of ReadReq miss cycles
-system.cpu2.icache.demand_miss_latency::cpu2.inst 5252488 # number of demand (read+write) miss cycles
-system.cpu2.icache.demand_miss_latency::total 5252488 # number of demand (read+write) miss cycles
-system.cpu2.icache.overall_miss_latency::cpu2.inst 5252488 # number of overall miss cycles
-system.cpu2.icache.overall_miss_latency::total 5252488 # number of overall miss cycles
+system.cpu2.icache.ReadReq_miss_latency::cpu2.inst 5251988 # number of ReadReq miss cycles
+system.cpu2.icache.ReadReq_miss_latency::total 5251988 # number of ReadReq miss cycles
+system.cpu2.icache.demand_miss_latency::cpu2.inst 5251988 # number of demand (read+write) miss cycles
+system.cpu2.icache.demand_miss_latency::total 5251988 # number of demand (read+write) miss cycles
+system.cpu2.icache.overall_miss_latency::cpu2.inst 5251988 # number of overall miss cycles
+system.cpu2.icache.overall_miss_latency::total 5251988 # number of overall miss cycles
system.cpu2.icache.ReadReq_accesses::cpu2.inst 164899 # number of ReadReq accesses(hits+misses)
system.cpu2.icache.ReadReq_accesses::total 164899 # number of ReadReq accesses(hits+misses)
system.cpu2.icache.demand_accesses::cpu2.inst 164899 # number of demand (read+write) accesses
@@ -1177,12 +1200,12 @@ system.cpu2.icache.demand_miss_rate::cpu2.inst 0.002220
system.cpu2.icache.demand_miss_rate::total 0.002220 # miss rate for demand accesses
system.cpu2.icache.overall_miss_rate::cpu2.inst 0.002220 # miss rate for overall accesses
system.cpu2.icache.overall_miss_rate::total 0.002220 # miss rate for overall accesses
-system.cpu2.icache.ReadReq_avg_miss_latency::cpu2.inst 14351.060109 # average ReadReq miss latency
-system.cpu2.icache.ReadReq_avg_miss_latency::total 14351.060109 # average ReadReq miss latency
-system.cpu2.icache.demand_avg_miss_latency::cpu2.inst 14351.060109 # average overall miss latency
-system.cpu2.icache.demand_avg_miss_latency::total 14351.060109 # average overall miss latency
-system.cpu2.icache.overall_avg_miss_latency::cpu2.inst 14351.060109 # average overall miss latency
-system.cpu2.icache.overall_avg_miss_latency::total 14351.060109 # average overall miss latency
+system.cpu2.icache.ReadReq_avg_miss_latency::cpu2.inst 14349.693989 # average ReadReq miss latency
+system.cpu2.icache.ReadReq_avg_miss_latency::total 14349.693989 # average ReadReq miss latency
+system.cpu2.icache.demand_avg_miss_latency::cpu2.inst 14349.693989 # average overall miss latency
+system.cpu2.icache.demand_avg_miss_latency::total 14349.693989 # average overall miss latency
+system.cpu2.icache.overall_avg_miss_latency::cpu2.inst 14349.693989 # average overall miss latency
+system.cpu2.icache.overall_avg_miss_latency::total 14349.693989 # average overall miss latency
system.cpu2.icache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu2.icache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu2.icache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -1197,32 +1220,32 @@ system.cpu2.icache.demand_mshr_misses::cpu2.inst 366
system.cpu2.icache.demand_mshr_misses::total 366 # number of demand (read+write) MSHR misses
system.cpu2.icache.overall_mshr_misses::cpu2.inst 366 # number of overall MSHR misses
system.cpu2.icache.overall_mshr_misses::total 366 # number of overall MSHR misses
-system.cpu2.icache.ReadReq_mshr_miss_latency::cpu2.inst 4510512 # number of ReadReq MSHR miss cycles
-system.cpu2.icache.ReadReq_mshr_miss_latency::total 4510512 # number of ReadReq MSHR miss cycles
-system.cpu2.icache.demand_mshr_miss_latency::cpu2.inst 4510512 # number of demand (read+write) MSHR miss cycles
-system.cpu2.icache.demand_mshr_miss_latency::total 4510512 # number of demand (read+write) MSHR miss cycles
-system.cpu2.icache.overall_mshr_miss_latency::cpu2.inst 4510512 # number of overall MSHR miss cycles
-system.cpu2.icache.overall_mshr_miss_latency::total 4510512 # number of overall MSHR miss cycles
+system.cpu2.icache.ReadReq_mshr_miss_latency::cpu2.inst 4510012 # number of ReadReq MSHR miss cycles
+system.cpu2.icache.ReadReq_mshr_miss_latency::total 4510012 # number of ReadReq MSHR miss cycles
+system.cpu2.icache.demand_mshr_miss_latency::cpu2.inst 4510012 # number of demand (read+write) MSHR miss cycles
+system.cpu2.icache.demand_mshr_miss_latency::total 4510012 # number of demand (read+write) MSHR miss cycles
+system.cpu2.icache.overall_mshr_miss_latency::cpu2.inst 4510012 # number of overall MSHR miss cycles
+system.cpu2.icache.overall_mshr_miss_latency::total 4510012 # number of overall MSHR miss cycles
system.cpu2.icache.ReadReq_mshr_miss_rate::cpu2.inst 0.002220 # mshr miss rate for ReadReq accesses
system.cpu2.icache.ReadReq_mshr_miss_rate::total 0.002220 # mshr miss rate for ReadReq accesses
system.cpu2.icache.demand_mshr_miss_rate::cpu2.inst 0.002220 # mshr miss rate for demand accesses
system.cpu2.icache.demand_mshr_miss_rate::total 0.002220 # mshr miss rate for demand accesses
system.cpu2.icache.overall_mshr_miss_rate::cpu2.inst 0.002220 # mshr miss rate for overall accesses
system.cpu2.icache.overall_mshr_miss_rate::total 0.002220 # mshr miss rate for overall accesses
-system.cpu2.icache.ReadReq_avg_mshr_miss_latency::cpu2.inst 12323.803279 # average ReadReq mshr miss latency
-system.cpu2.icache.ReadReq_avg_mshr_miss_latency::total 12323.803279 # average ReadReq mshr miss latency
-system.cpu2.icache.demand_avg_mshr_miss_latency::cpu2.inst 12323.803279 # average overall mshr miss latency
-system.cpu2.icache.demand_avg_mshr_miss_latency::total 12323.803279 # average overall mshr miss latency
-system.cpu2.icache.overall_avg_mshr_miss_latency::cpu2.inst 12323.803279 # average overall mshr miss latency
-system.cpu2.icache.overall_avg_mshr_miss_latency::total 12323.803279 # average overall mshr miss latency
+system.cpu2.icache.ReadReq_avg_mshr_miss_latency::cpu2.inst 12322.437158 # average ReadReq mshr miss latency
+system.cpu2.icache.ReadReq_avg_mshr_miss_latency::total 12322.437158 # average ReadReq mshr miss latency
+system.cpu2.icache.demand_avg_mshr_miss_latency::cpu2.inst 12322.437158 # average overall mshr miss latency
+system.cpu2.icache.demand_avg_mshr_miss_latency::total 12322.437158 # average overall mshr miss latency
+system.cpu2.icache.overall_avg_mshr_miss_latency::cpu2.inst 12322.437158 # average overall mshr miss latency
+system.cpu2.icache.overall_avg_mshr_miss_latency::total 12322.437158 # average overall mshr miss latency
system.cpu2.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu2.dcache.tags.replacements 0 # number of replacements
-system.cpu2.dcache.tags.tagsinuse 26.763890 # Cycle average of tags in use
+system.cpu2.dcache.tags.tagsinuse 26.763988 # Cycle average of tags in use
system.cpu2.dcache.tags.total_refs 36347 # Total number of references to valid blocks.
system.cpu2.dcache.tags.sampled_refs 29 # Sample count of references to valid blocks.
system.cpu2.dcache.tags.avg_refs 1253.344828 # Average number of references to valid blocks.
system.cpu2.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu2.dcache.tags.occ_blocks::cpu2.data 26.763890 # Average occupied blocks per requestor
+system.cpu2.dcache.tags.occ_blocks::cpu2.data 26.763988 # Average occupied blocks per requestor
system.cpu2.dcache.tags.occ_percent::cpu2.data 0.052273 # Average percentage of cache occupancy
system.cpu2.dcache.tags.occ_percent::total 0.052273 # Average percentage of cache occupancy
system.cpu2.dcache.tags.occ_task_id_blocks::1024 29 # Occupied blocks per task id
@@ -1340,7 +1363,7 @@ system.cpu2.dcache.demand_avg_mshr_miss_latency::total 13429.461832
system.cpu2.dcache.overall_avg_mshr_miss_latency::cpu2.data 13429.461832 # average overall mshr miss latency
system.cpu2.dcache.overall_avg_mshr_miss_latency::total 13429.461832 # average overall mshr miss latency
system.cpu2.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu3.numCycles 525588 # number of cpu cycles simulated
+system.cpu3.numCycles 525586 # number of cpu cycles simulated
system.cpu3.numWorkItemsStarted 0 # number of work items this cpu started
system.cpu3.numWorkItemsCompleted 0 # number of work items this cpu completed
system.cpu3.committedInsts 176656 # Number of instructions committed
@@ -1358,10 +1381,10 @@ system.cpu3.num_fp_register_writes 0 # nu
system.cpu3.num_mem_refs 46164 # number of memory refs
system.cpu3.num_load_insts 39753 # Number of load instructions
system.cpu3.num_store_insts 6411 # Number of store instructions
-system.cpu3.num_idle_cycles 69869.868798 # Number of idle cycles
-system.cpu3.num_busy_cycles 455718.131202 # Number of busy cycles
-system.cpu3.not_idle_fraction 0.867063 # Percentage of non-idle cycles
-system.cpu3.idle_fraction 0.132937 # Percentage of idle cycles
+system.cpu3.num_idle_cycles 69867.868801 # Number of idle cycles
+system.cpu3.num_busy_cycles 455718.131199 # Number of busy cycles
+system.cpu3.not_idle_fraction 0.867067 # Percentage of non-idle cycles
+system.cpu3.idle_fraction 0.132933 # Percentage of idle cycles
system.cpu3.Branches 39890 # Number of branches fetched
system.cpu3.op_class::No_OpClass 30652 17.35% 17.35% # Class of executed instruction
system.cpu3.op_class::IntAlu 73353 41.52% 58.86% # Class of executed instruction
@@ -1399,12 +1422,12 @@ system.cpu3.op_class::IprAccess 0 0.00% 100.00% # Cl
system.cpu3.op_class::InstPrefetch 0 0.00% 100.00% # Class of executed instruction
system.cpu3.op_class::total 176688 # Class of executed instruction
system.cpu3.icache.tags.replacements 281 # number of replacements
-system.cpu3.icache.tags.tagsinuse 65.598437 # Cycle average of tags in use
+system.cpu3.icache.tags.tagsinuse 65.598702 # Cycle average of tags in use
system.cpu3.icache.tags.total_refs 176322 # Total number of references to valid blocks.
system.cpu3.icache.tags.sampled_refs 367 # Sample count of references to valid blocks.
system.cpu3.icache.tags.avg_refs 480.441417 # Average number of references to valid blocks.
system.cpu3.icache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu3.icache.tags.occ_blocks::cpu3.inst 65.598437 # Average occupied blocks per requestor
+system.cpu3.icache.tags.occ_blocks::cpu3.inst 65.598702 # Average occupied blocks per requestor
system.cpu3.icache.tags.occ_percent::cpu3.inst 0.128122 # Average percentage of cache occupancy
system.cpu3.icache.tags.occ_percent::total 0.128122 # Average percentage of cache occupancy
system.cpu3.icache.tags.occ_task_id_blocks::1024 86 # Occupied blocks per task id
@@ -1484,14 +1507,14 @@ system.cpu3.icache.overall_avg_mshr_miss_latency::cpu3.inst 12023.163488
system.cpu3.icache.overall_avg_mshr_miss_latency::total 12023.163488 # average overall mshr miss latency
system.cpu3.icache.no_allocate_misses 0 # Number of misses that were no-allocate
system.cpu3.dcache.tags.replacements 0 # number of replacements
-system.cpu3.dcache.tags.tagsinuse 25.915086 # Cycle average of tags in use
+system.cpu3.dcache.tags.tagsinuse 25.915188 # Cycle average of tags in use
system.cpu3.dcache.tags.total_refs 15020 # Total number of references to valid blocks.
system.cpu3.dcache.tags.sampled_refs 29 # Sample count of references to valid blocks.
system.cpu3.dcache.tags.avg_refs 517.931034 # Average number of references to valid blocks.
system.cpu3.dcache.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu3.dcache.tags.occ_blocks::cpu3.data 25.915086 # Average occupied blocks per requestor
-system.cpu3.dcache.tags.occ_percent::cpu3.data 0.050615 # Average percentage of cache occupancy
-system.cpu3.dcache.tags.occ_percent::total 0.050615 # Average percentage of cache occupancy
+system.cpu3.dcache.tags.occ_blocks::cpu3.data 25.915188 # Average occupied blocks per requestor
+system.cpu3.dcache.tags.occ_percent::cpu3.data 0.050616 # Average percentage of cache occupancy
+system.cpu3.dcache.tags.occ_percent::total 0.050616 # Average percentage of cache occupancy
system.cpu3.dcache.tags.occ_task_id_blocks::1024 29 # Occupied blocks per task id
system.cpu3.dcache.tags.age_task_id_blocks_1024::0 3 # Occupied blocks per task id
system.cpu3.dcache.tags.age_task_id_blocks_1024::2 26 # Occupied blocks per task id
@@ -1520,14 +1543,14 @@ system.cpu3.dcache.overall_misses::cpu3.data 288
system.cpu3.dcache.overall_misses::total 288 # number of overall misses
system.cpu3.dcache.ReadReq_miss_latency::cpu3.data 3156473 # number of ReadReq miss cycles
system.cpu3.dcache.ReadReq_miss_latency::total 3156473 # number of ReadReq miss cycles
-system.cpu3.dcache.WriteReq_miss_latency::cpu3.data 2098500 # number of WriteReq miss cycles
-system.cpu3.dcache.WriteReq_miss_latency::total 2098500 # number of WriteReq miss cycles
+system.cpu3.dcache.WriteReq_miss_latency::cpu3.data 2099000 # number of WriteReq miss cycles
+system.cpu3.dcache.WriteReq_miss_latency::total 2099000 # number of WriteReq miss cycles
system.cpu3.dcache.SwapReq_miss_latency::cpu3.data 280000 # number of SwapReq miss cycles
system.cpu3.dcache.SwapReq_miss_latency::total 280000 # number of SwapReq miss cycles
-system.cpu3.dcache.demand_miss_latency::cpu3.data 5254973 # number of demand (read+write) miss cycles
-system.cpu3.dcache.demand_miss_latency::total 5254973 # number of demand (read+write) miss cycles
-system.cpu3.dcache.overall_miss_latency::cpu3.data 5254973 # number of overall miss cycles
-system.cpu3.dcache.overall_miss_latency::total 5254973 # number of overall miss cycles
+system.cpu3.dcache.demand_miss_latency::cpu3.data 5255473 # number of demand (read+write) miss cycles
+system.cpu3.dcache.demand_miss_latency::total 5255473 # number of demand (read+write) miss cycles
+system.cpu3.dcache.overall_miss_latency::cpu3.data 5255473 # number of overall miss cycles
+system.cpu3.dcache.overall_miss_latency::total 5255473 # number of overall miss cycles
system.cpu3.dcache.ReadReq_accesses::cpu3.data 39746 # number of ReadReq accesses(hits+misses)
system.cpu3.dcache.ReadReq_accesses::total 39746 # number of ReadReq accesses(hits+misses)
system.cpu3.dcache.WriteReq_accesses::cpu3.data 6321 # number of WriteReq accesses(hits+misses)
@@ -1550,14 +1573,14 @@ system.cpu3.dcache.overall_miss_rate::cpu3.data 0.006252
system.cpu3.dcache.overall_miss_rate::total 0.006252 # miss rate for overall accesses
system.cpu3.dcache.ReadReq_avg_miss_latency::cpu3.data 17248.486339 # average ReadReq miss latency
system.cpu3.dcache.ReadReq_avg_miss_latency::total 17248.486339 # average ReadReq miss latency
-system.cpu3.dcache.WriteReq_avg_miss_latency::cpu3.data 19985.714286 # average WriteReq miss latency
-system.cpu3.dcache.WriteReq_avg_miss_latency::total 19985.714286 # average WriteReq miss latency
+system.cpu3.dcache.WriteReq_avg_miss_latency::cpu3.data 19990.476190 # average WriteReq miss latency
+system.cpu3.dcache.WriteReq_avg_miss_latency::total 19990.476190 # average WriteReq miss latency
system.cpu3.dcache.SwapReq_avg_miss_latency::cpu3.data 4057.971014 # average SwapReq miss latency
system.cpu3.dcache.SwapReq_avg_miss_latency::total 4057.971014 # average SwapReq miss latency
-system.cpu3.dcache.demand_avg_miss_latency::cpu3.data 18246.434028 # average overall miss latency
-system.cpu3.dcache.demand_avg_miss_latency::total 18246.434028 # average overall miss latency
-system.cpu3.dcache.overall_avg_miss_latency::cpu3.data 18246.434028 # average overall miss latency
-system.cpu3.dcache.overall_avg_miss_latency::total 18246.434028 # average overall miss latency
+system.cpu3.dcache.demand_avg_miss_latency::cpu3.data 18248.170139 # average overall miss latency
+system.cpu3.dcache.demand_avg_miss_latency::total 18248.170139 # average overall miss latency
+system.cpu3.dcache.overall_avg_miss_latency::cpu3.data 18248.170139 # average overall miss latency
+system.cpu3.dcache.overall_avg_miss_latency::total 18248.170139 # average overall miss latency
system.cpu3.dcache.blocked_cycles::no_mshrs 0 # number of cycles access was blocked
system.cpu3.dcache.blocked_cycles::no_targets 0 # number of cycles access was blocked
system.cpu3.dcache.blocked::no_mshrs 0 # number of cycles access was blocked
@@ -1578,14 +1601,14 @@ system.cpu3.dcache.overall_mshr_misses::cpu3.data 288
system.cpu3.dcache.overall_mshr_misses::total 288 # number of overall MSHR misses
system.cpu3.dcache.ReadReq_mshr_miss_latency::cpu3.data 2772527 # number of ReadReq MSHR miss cycles
system.cpu3.dcache.ReadReq_mshr_miss_latency::total 2772527 # number of ReadReq MSHR miss cycles
-system.cpu3.dcache.WriteReq_mshr_miss_latency::cpu3.data 1888500 # number of WriteReq MSHR miss cycles
-system.cpu3.dcache.WriteReq_mshr_miss_latency::total 1888500 # number of WriteReq MSHR miss cycles
+system.cpu3.dcache.WriteReq_mshr_miss_latency::cpu3.data 1889000 # number of WriteReq MSHR miss cycles
+system.cpu3.dcache.WriteReq_mshr_miss_latency::total 1889000 # number of WriteReq MSHR miss cycles
system.cpu3.dcache.SwapReq_mshr_miss_latency::cpu3.data 142000 # number of SwapReq MSHR miss cycles
system.cpu3.dcache.SwapReq_mshr_miss_latency::total 142000 # number of SwapReq MSHR miss cycles
-system.cpu3.dcache.demand_mshr_miss_latency::cpu3.data 4661027 # number of demand (read+write) MSHR miss cycles
-system.cpu3.dcache.demand_mshr_miss_latency::total 4661027 # number of demand (read+write) MSHR miss cycles
-system.cpu3.dcache.overall_mshr_miss_latency::cpu3.data 4661027 # number of overall MSHR miss cycles
-system.cpu3.dcache.overall_mshr_miss_latency::total 4661027 # number of overall MSHR miss cycles
+system.cpu3.dcache.demand_mshr_miss_latency::cpu3.data 4661527 # number of demand (read+write) MSHR miss cycles
+system.cpu3.dcache.demand_mshr_miss_latency::total 4661527 # number of demand (read+write) MSHR miss cycles
+system.cpu3.dcache.overall_mshr_miss_latency::cpu3.data 4661527 # number of overall MSHR miss cycles
+system.cpu3.dcache.overall_mshr_miss_latency::total 4661527 # number of overall MSHR miss cycles
system.cpu3.dcache.ReadReq_mshr_miss_rate::cpu3.data 0.004604 # mshr miss rate for ReadReq accesses
system.cpu3.dcache.ReadReq_mshr_miss_rate::total 0.004604 # mshr miss rate for ReadReq accesses
system.cpu3.dcache.WriteReq_mshr_miss_rate::cpu3.data 0.016611 # mshr miss rate for WriteReq accesses
@@ -1598,14 +1621,14 @@ system.cpu3.dcache.overall_mshr_miss_rate::cpu3.data 0.006252
system.cpu3.dcache.overall_mshr_miss_rate::total 0.006252 # mshr miss rate for overall accesses
system.cpu3.dcache.ReadReq_avg_mshr_miss_latency::cpu3.data 15150.420765 # average ReadReq mshr miss latency
system.cpu3.dcache.ReadReq_avg_mshr_miss_latency::total 15150.420765 # average ReadReq mshr miss latency
-system.cpu3.dcache.WriteReq_avg_mshr_miss_latency::cpu3.data 17985.714286 # average WriteReq mshr miss latency
-system.cpu3.dcache.WriteReq_avg_mshr_miss_latency::total 17985.714286 # average WriteReq mshr miss latency
+system.cpu3.dcache.WriteReq_avg_mshr_miss_latency::cpu3.data 17990.476190 # average WriteReq mshr miss latency
+system.cpu3.dcache.WriteReq_avg_mshr_miss_latency::total 17990.476190 # average WriteReq mshr miss latency
system.cpu3.dcache.SwapReq_avg_mshr_miss_latency::cpu3.data 2057.971014 # average SwapReq mshr miss latency
system.cpu3.dcache.SwapReq_avg_mshr_miss_latency::total 2057.971014 # average SwapReq mshr miss latency
-system.cpu3.dcache.demand_avg_mshr_miss_latency::cpu3.data 16184.121528 # average overall mshr miss latency
-system.cpu3.dcache.demand_avg_mshr_miss_latency::total 16184.121528 # average overall mshr miss latency
-system.cpu3.dcache.overall_avg_mshr_miss_latency::cpu3.data 16184.121528 # average overall mshr miss latency
-system.cpu3.dcache.overall_avg_mshr_miss_latency::total 16184.121528 # average overall mshr miss latency
+system.cpu3.dcache.demand_avg_mshr_miss_latency::cpu3.data 16185.857639 # average overall mshr miss latency
+system.cpu3.dcache.demand_avg_mshr_miss_latency::total 16185.857639 # average overall mshr miss latency
+system.cpu3.dcache.overall_avg_mshr_miss_latency::cpu3.data 16185.857639 # average overall mshr miss latency
+system.cpu3.dcache.overall_avg_mshr_miss_latency::total 16185.857639 # average overall mshr miss latency
system.cpu3.dcache.no_allocate_misses 0 # Number of misses that were no-allocate
---------- End Simulation Statistics ----------
diff --git a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_Two_Level/stats.txt b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_Two_Level/stats.txt
index 61ee516ee..63e8b7d3a 100644
--- a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_Two_Level/stats.txt
+++ b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MESI_Two_Level/stats.txt
@@ -4,9 +4,9 @@ sim_seconds 0.007430 # Nu
sim_ticks 7430292 # Number of ticks simulated
final_tick 7430292 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000 # Frequency of simulated ticks
-host_tick_rate 111636 # Simulator tick rate (ticks/s)
-host_mem_usage 267664 # Number of bytes of host memory used
-host_seconds 66.56 # Real time elapsed on the host
+host_tick_rate 73095 # Simulator tick rate (ticks/s)
+host_mem_usage 318168 # Number of bytes of host memory used
+host_seconds 101.65 # Real time elapsed on the host
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1 # Clock period in ticks
system.ruby.clk_domain.clock 1 # Clock period in ticks
@@ -380,8 +380,6 @@ system.ruby.network.msg_byte.Response_Data 315144288
system.ruby.network.msg_byte.Response_Control 51426416
system.ruby.network.msg_byte.Writeback_Data 111484296
system.ruby.network.msg_byte.Writeback_Control 4869144
-system.funcbus.throughput 0 # Throughput (bytes/s)
-system.funcbus.data_through_bus 0 # Total data (bytes)
system.cpu0.num_reads 99258 # number of read accesses completed
system.cpu0.num_writes 54534 # number of write accesses completed
system.cpu0.num_copies 0 # number of copy accesses completed
diff --git a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/stats.txt b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/stats.txt
index 9c9c7aca3..e0f3b655e 100644
--- a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/stats.txt
+++ b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_directory/stats.txt
@@ -4,9 +4,9 @@ sim_seconds 0.007646 # Nu
sim_ticks 7645897 # Number of ticks simulated
final_tick 7645897 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000 # Frequency of simulated ticks
-host_tick_rate 53519 # Simulator tick rate (ticks/s)
-host_mem_usage 294536 # Number of bytes of host memory used
-host_seconds 142.86 # Real time elapsed on the host
+host_tick_rate 46164 # Simulator tick rate (ticks/s)
+host_mem_usage 322852 # Number of bytes of host memory used
+host_seconds 165.63 # Real time elapsed on the host
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1 # Clock period in ticks
system.ruby.clk_domain.clock 1 # Clock period in ticks
@@ -47,6 +47,7 @@ system.ruby.l1_cntrl4.L1Dcache.demand_accesses 79152
system.ruby.l1_cntrl4.L1Icache.demand_hits 0 # Number of cache demand hits
system.ruby.l1_cntrl4.L1Icache.demand_misses 0 # Number of cache demand misses
system.ruby.l1_cntrl4.L1Icache.demand_accesses 0 # Number of cache demand accesses
+system.cpu_clk_domain.clock 1 # Clock period in ticks
system.ruby.l1_cntrl5.L1Dcache.demand_hits 27 # Number of cache demand hits
system.ruby.l1_cntrl5.L1Dcache.demand_misses 78996 # Number of cache demand misses
system.ruby.l1_cntrl5.L1Dcache.demand_accesses 79023 # Number of cache demand accesses
@@ -71,27 +72,6 @@ system.ruby.l1_cntrl0.L1Dcache.demand_accesses 79099
system.ruby.l1_cntrl0.L1Icache.demand_hits 0 # Number of cache demand hits
system.ruby.l1_cntrl0.L1Icache.demand_misses 0 # Number of cache demand misses
system.ruby.l1_cntrl0.L1Icache.demand_accesses 0 # Number of cache demand accesses
-system.ruby.l1_cntrl1.L1Dcache.demand_hits 9 # Number of cache demand hits
-system.ruby.l1_cntrl1.L1Dcache.demand_misses 78940 # Number of cache demand misses
-system.ruby.l1_cntrl1.L1Dcache.demand_accesses 78949 # Number of cache demand accesses
-system.ruby.l1_cntrl1.L1Icache.demand_hits 0 # Number of cache demand hits
-system.ruby.l1_cntrl1.L1Icache.demand_misses 0 # Number of cache demand misses
-system.ruby.l1_cntrl1.L1Icache.demand_accesses 0 # Number of cache demand accesses
-system.ruby.l1_cntrl2.L1Dcache.demand_hits 26 # Number of cache demand hits
-system.ruby.l1_cntrl2.L1Dcache.demand_misses 79113 # Number of cache demand misses
-system.ruby.l1_cntrl2.L1Dcache.demand_accesses 79139 # Number of cache demand accesses
-system.ruby.l1_cntrl2.L1Icache.demand_hits 0 # Number of cache demand hits
-system.ruby.l1_cntrl2.L1Icache.demand_misses 0 # Number of cache demand misses
-system.ruby.l1_cntrl2.L1Icache.demand_accesses 0 # Number of cache demand accesses
-system.ruby.l1_cntrl3.L1Dcache.demand_hits 24 # Number of cache demand hits
-system.ruby.l1_cntrl3.L1Dcache.demand_misses 78765 # Number of cache demand misses
-system.ruby.l1_cntrl3.L1Dcache.demand_accesses 78789 # Number of cache demand accesses
-system.ruby.l1_cntrl3.L1Icache.demand_hits 0 # Number of cache demand hits
-system.ruby.l1_cntrl3.L1Icache.demand_misses 0 # Number of cache demand misses
-system.ruby.l1_cntrl3.L1Icache.demand_accesses 0 # Number of cache demand accesses
-system.ruby.l2_cntrl0.L2cache.demand_hits 6149 # Number of cache demand hits
-system.ruby.l2_cntrl0.L2cache.demand_misses 625832 # Number of cache demand misses
-system.ruby.l2_cntrl0.L2cache.demand_accesses 631981 # Number of cache demand accesses
system.ruby.network.routers00.percent_links_utilized 5.698442
system.ruby.network.routers00.msg_count.Request_Control::0 79077
system.ruby.network.routers00.msg_count.Response_Data::2 77245
@@ -113,6 +93,12 @@ system.ruby.network.routers00.msg_bytes.Writeback_Control::0 1264976
system.ruby.network.routers00.msg_bytes.Forwarded_Control::0 8736
system.ruby.network.routers00.msg_bytes.Invalidate_Control::0 24
system.ruby.network.routers00.msg_bytes.Unblock_Control::2 640168
+system.ruby.l1_cntrl1.L1Dcache.demand_hits 9 # Number of cache demand hits
+system.ruby.l1_cntrl1.L1Dcache.demand_misses 78940 # Number of cache demand misses
+system.ruby.l1_cntrl1.L1Dcache.demand_accesses 78949 # Number of cache demand accesses
+system.ruby.l1_cntrl1.L1Icache.demand_hits 0 # Number of cache demand hits
+system.ruby.l1_cntrl1.L1Icache.demand_misses 0 # Number of cache demand misses
+system.ruby.l1_cntrl1.L1Icache.demand_accesses 0 # Number of cache demand accesses
system.ruby.network.routers01.percent_links_utilized 5.685628
system.ruby.network.routers01.msg_count.Request_Control::0 78939
system.ruby.network.routers01.msg_count.Response_Data::2 77083
@@ -134,6 +120,12 @@ system.ruby.network.routers01.msg_bytes.Writeback_Control::0 1262528
system.ruby.network.routers01.msg_bytes.Forwarded_Control::0 8496
system.ruby.network.routers01.msg_bytes.Invalidate_Control::0 8
system.ruby.network.routers01.msg_bytes.Unblock_Control::2 639464
+system.ruby.l1_cntrl2.L1Dcache.demand_hits 26 # Number of cache demand hits
+system.ruby.l1_cntrl2.L1Dcache.demand_misses 79113 # Number of cache demand misses
+system.ruby.l1_cntrl2.L1Dcache.demand_accesses 79139 # Number of cache demand accesses
+system.ruby.l1_cntrl2.L1Icache.demand_hits 0 # Number of cache demand hits
+system.ruby.l1_cntrl2.L1Icache.demand_misses 0 # Number of cache demand misses
+system.ruby.l1_cntrl2.L1Icache.demand_accesses 0 # Number of cache demand accesses
system.ruby.network.routers02.percent_links_utilized 5.700427
system.ruby.network.routers02.msg_count.Request_Control::0 79113
system.ruby.network.routers02.msg_count.Response_Data::2 77289
@@ -155,6 +147,12 @@ system.ruby.network.routers02.msg_bytes.Writeback_Control::0 1265408
system.ruby.network.routers02.msg_bytes.Forwarded_Control::0 8720
system.ruby.network.routers02.msg_bytes.Invalidate_Control::0 8
system.ruby.network.routers02.msg_bytes.Unblock_Control::2 640512
+system.ruby.l1_cntrl3.L1Dcache.demand_hits 24 # Number of cache demand hits
+system.ruby.l1_cntrl3.L1Dcache.demand_misses 78765 # Number of cache demand misses
+system.ruby.l1_cntrl3.L1Dcache.demand_accesses 78789 # Number of cache demand accesses
+system.ruby.l1_cntrl3.L1Icache.demand_hits 0 # Number of cache demand hits
+system.ruby.l1_cntrl3.L1Icache.demand_misses 0 # Number of cache demand misses
+system.ruby.l1_cntrl3.L1Icache.demand_accesses 0 # Number of cache demand accesses
system.ruby.network.routers03.percent_links_utilized 5.674514
system.ruby.network.routers03.msg_count.Request_Control::0 78765
system.ruby.network.routers03.msg_count.Response_Data::2 76945
@@ -258,6 +256,9 @@ system.ruby.network.routers07.msg_bytes.Writeback_Data::2 5593464
system.ruby.network.routers07.msg_bytes.Writeback_Control::0 1259488
system.ruby.network.routers07.msg_bytes.Forwarded_Control::0 8512
system.ruby.network.routers07.msg_bytes.Unblock_Control::2 637968
+system.ruby.l2_cntrl0.L2cache.demand_hits 6149 # Number of cache demand hits
+system.ruby.l2_cntrl0.L2cache.demand_misses 625832 # Number of cache demand misses
+system.ruby.l2_cntrl0.L2cache.demand_accesses 631981 # Number of cache demand accesses
system.ruby.network.routers08.percent_links_utilized 79.115289
system.ruby.network.routers08.msg_count.Request_Control::0 631981
system.ruby.network.routers08.msg_count.Request_Control::1 617280
@@ -361,9 +362,6 @@ system.ruby.network.msg_byte.Writeback_Control 69377984
system.ruby.network.msg_byte.Forwarded_Control 205248
system.ruby.network.msg_byte.Invalidate_Control 264
system.ruby.network.msg_byte.Unblock_Control 30168336
-system.funcbus.throughput 0 # Throughput (bytes/s)
-system.funcbus.data_through_bus 0 # Total data (bytes)
-system.cpu_clk_domain.clock 1 # Clock period in ticks
system.cpu0.num_reads 99316 # number of read accesses completed
system.cpu0.num_writes 55500 # number of write accesses completed
system.cpu0.num_copies 0 # number of copy accesses completed
diff --git a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/stats.txt b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/stats.txt
index 607213fd3..f3dea5b38 100644
--- a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/stats.txt
+++ b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_CMP_token/stats.txt
@@ -4,9 +4,9 @@ sim_seconds 0.006285 # Nu
sim_ticks 6284915 # Number of ticks simulated
final_tick 6284915 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000 # Frequency of simulated ticks
-host_tick_rate 64396 # Simulator tick rate (ticks/s)
-host_mem_usage 293488 # Number of bytes of host memory used
-host_seconds 97.60 # Real time elapsed on the host
+host_tick_rate 51541 # Simulator tick rate (ticks/s)
+host_mem_usage 318988 # Number of bytes of host memory used
+host_seconds 121.94 # Real time elapsed on the host
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1 # Clock period in ticks
system.ruby.clk_domain.clock 1 # Clock period in ticks
@@ -50,6 +50,7 @@ system.ruby.l1_cntrl4.L1Dcache.demand_accesses 78769
system.ruby.l1_cntrl4.L1Icache.demand_hits 0 # Number of cache demand hits
system.ruby.l1_cntrl4.L1Icache.demand_misses 0 # Number of cache demand misses
system.ruby.l1_cntrl4.L1Icache.demand_accesses 0 # Number of cache demand accesses
+system.cpu_clk_domain.clock 1 # Clock period in ticks
system.ruby.l1_cntrl5.L1Dcache.demand_hits 26 # Number of cache demand hits
system.ruby.l1_cntrl5.L1Dcache.demand_misses 78593 # Number of cache demand misses
system.ruby.l1_cntrl5.L1Dcache.demand_accesses 78619 # Number of cache demand accesses
@@ -74,27 +75,6 @@ system.ruby.l1_cntrl0.L1Dcache.demand_accesses 78396
system.ruby.l1_cntrl0.L1Icache.demand_hits 0 # Number of cache demand hits
system.ruby.l1_cntrl0.L1Icache.demand_misses 0 # Number of cache demand misses
system.ruby.l1_cntrl0.L1Icache.demand_accesses 0 # Number of cache demand accesses
-system.ruby.l1_cntrl1.L1Dcache.demand_hits 27 # Number of cache demand hits
-system.ruby.l1_cntrl1.L1Dcache.demand_misses 78895 # Number of cache demand misses
-system.ruby.l1_cntrl1.L1Dcache.demand_accesses 78922 # Number of cache demand accesses
-system.ruby.l1_cntrl1.L1Icache.demand_hits 0 # Number of cache demand hits
-system.ruby.l1_cntrl1.L1Icache.demand_misses 0 # Number of cache demand misses
-system.ruby.l1_cntrl1.L1Icache.demand_accesses 0 # Number of cache demand accesses
-system.ruby.l1_cntrl2.L1Dcache.demand_hits 23 # Number of cache demand hits
-system.ruby.l1_cntrl2.L1Dcache.demand_misses 78341 # Number of cache demand misses
-system.ruby.l1_cntrl2.L1Dcache.demand_accesses 78364 # Number of cache demand accesses
-system.ruby.l1_cntrl2.L1Icache.demand_hits 0 # Number of cache demand hits
-system.ruby.l1_cntrl2.L1Icache.demand_misses 0 # Number of cache demand misses
-system.ruby.l1_cntrl2.L1Icache.demand_accesses 0 # Number of cache demand accesses
-system.ruby.l1_cntrl3.L1Dcache.demand_hits 22 # Number of cache demand hits
-system.ruby.l1_cntrl3.L1Dcache.demand_misses 78389 # Number of cache demand misses
-system.ruby.l1_cntrl3.L1Dcache.demand_accesses 78411 # Number of cache demand accesses
-system.ruby.l1_cntrl3.L1Icache.demand_hits 0 # Number of cache demand hits
-system.ruby.l1_cntrl3.L1Icache.demand_misses 0 # Number of cache demand misses
-system.ruby.l1_cntrl3.L1Icache.demand_accesses 0 # Number of cache demand accesses
-system.ruby.l2_cntrl0.L2cache.demand_hits 1540 # Number of cache demand hits
-system.ruby.l2_cntrl0.L2cache.demand_misses 626511 # Number of cache demand misses
-system.ruby.l2_cntrl0.L2cache.demand_accesses 628051 # Number of cache demand accesses
system.ruby.network.routers00.percent_links_utilized 9.881597
system.ruby.network.routers00.msg_count.Request_Control::1 78371
system.ruby.network.routers00.msg_count.Response_Data::4 78092
@@ -112,6 +92,12 @@ system.ruby.network.routers00.msg_bytes.Response_Control::4 1272
system.ruby.network.routers00.msg_bytes.Writeback_Data::4 6183216
system.ruby.network.routers00.msg_bytes.Broadcast_Control::1 5024408
system.ruby.network.routers00.msg_bytes.Persistent_Control::3 2375752
+system.ruby.l1_cntrl1.L1Dcache.demand_hits 27 # Number of cache demand hits
+system.ruby.l1_cntrl1.L1Dcache.demand_misses 78895 # Number of cache demand misses
+system.ruby.l1_cntrl1.L1Dcache.demand_accesses 78922 # Number of cache demand accesses
+system.ruby.l1_cntrl1.L1Icache.demand_hits 0 # Number of cache demand hits
+system.ruby.l1_cntrl1.L1Icache.demand_misses 0 # Number of cache demand misses
+system.ruby.l1_cntrl1.L1Icache.demand_accesses 0 # Number of cache demand accesses
system.ruby.network.routers01.percent_links_utilized 9.921833
system.ruby.network.routers01.msg_count.Request_Control::1 78895
system.ruby.network.routers01.msg_count.Response_Data::4 78610
@@ -131,6 +117,12 @@ system.ruby.network.routers01.msg_bytes.Writeback_Data::4 6220944
system.ruby.network.routers01.msg_bytes.Writeback_Control::4 16
system.ruby.network.routers01.msg_bytes.Broadcast_Control::1 5024408
system.ruby.network.routers01.msg_bytes.Persistent_Control::3 2378952
+system.ruby.l1_cntrl2.L1Dcache.demand_hits 23 # Number of cache demand hits
+system.ruby.l1_cntrl2.L1Dcache.demand_misses 78341 # Number of cache demand misses
+system.ruby.l1_cntrl2.L1Dcache.demand_accesses 78364 # Number of cache demand accesses
+system.ruby.l1_cntrl2.L1Icache.demand_hits 0 # Number of cache demand hits
+system.ruby.l1_cntrl2.L1Icache.demand_misses 0 # Number of cache demand misses
+system.ruby.l1_cntrl2.L1Icache.demand_accesses 0 # Number of cache demand accesses
system.ruby.network.routers02.percent_links_utilized 9.878833
system.ruby.network.routers02.msg_count.Request_Control::1 78341
system.ruby.network.routers02.msg_count.Response_Data::4 77980
@@ -148,6 +140,12 @@ system.ruby.network.routers02.msg_bytes.Response_Control::4 1360
system.ruby.network.routers02.msg_bytes.Writeback_Data::4 6178320
system.ruby.network.routers02.msg_bytes.Broadcast_Control::1 5024408
system.ruby.network.routers02.msg_bytes.Persistent_Control::3 2378600
+system.ruby.l1_cntrl3.L1Dcache.demand_hits 22 # Number of cache demand hits
+system.ruby.l1_cntrl3.L1Dcache.demand_misses 78389 # Number of cache demand misses
+system.ruby.l1_cntrl3.L1Dcache.demand_accesses 78411 # Number of cache demand accesses
+system.ruby.l1_cntrl3.L1Icache.demand_hits 0 # Number of cache demand hits
+system.ruby.l1_cntrl3.L1Icache.demand_misses 0 # Number of cache demand misses
+system.ruby.l1_cntrl3.L1Icache.demand_accesses 0 # Number of cache demand accesses
system.ruby.network.routers03.percent_links_utilized 9.881140
system.ruby.network.routers03.msg_count.Request_Control::1 78389
system.ruby.network.routers03.msg_count.Response_Data::4 78013
@@ -237,6 +235,9 @@ system.ruby.network.routers07.msg_bytes.Response_Control::4 1600
system.ruby.network.routers07.msg_bytes.Writeback_Data::4 6183144
system.ruby.network.routers07.msg_bytes.Broadcast_Control::1 5024408
system.ruby.network.routers07.msg_bytes.Persistent_Control::3 2376760
+system.ruby.l2_cntrl0.L2cache.demand_hits 1540 # Number of cache demand hits
+system.ruby.l2_cntrl0.L2cache.demand_misses 626511 # Number of cache demand misses
+system.ruby.l2_cntrl0.L2cache.demand_accesses 628051 # Number of cache demand accesses
system.ruby.network.routers08.percent_links_utilized 38.794550
system.ruby.network.routers08.msg_count.Request_Control::1 628051
system.ruby.network.routers08.msg_count.Request_Control::2 626511
@@ -326,9 +327,6 @@ system.ruby.network.msg_byte.Writeback_Data 194435640
system.ruby.network.msg_byte.Writeback_Control 9144888
system.ruby.network.msg_byte.Broadcast_Control 75366120
system.ruby.network.msg_byte.Persistent_Control 42271680
-system.funcbus.throughput 0 # Throughput (bytes/s)
-system.funcbus.data_through_bus 0 # Total data (bytes)
-system.cpu_clk_domain.clock 1 # Clock period in ticks
system.cpu0.num_reads 100000 # number of read accesses completed
system.cpu0.num_writes 55570 # number of write accesses completed
system.cpu0.num_copies 0 # number of copy accesses completed
diff --git a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/stats.txt b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/stats.txt
index 2a34715b3..fa10b335e 100644
--- a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/stats.txt
+++ b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby-MOESI_hammer/stats.txt
@@ -4,9 +4,9 @@ sim_seconds 0.005921 # Nu
sim_ticks 5920895 # Number of ticks simulated
final_tick 5920895 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000 # Frequency of simulated ticks
-host_tick_rate 58624 # Simulator tick rate (ticks/s)
-host_mem_usage 293400 # Number of bytes of host memory used
-host_seconds 101.00 # Real time elapsed on the host
+host_tick_rate 46540 # Simulator tick rate (ticks/s)
+host_mem_usage 317888 # Number of bytes of host memory used
+host_seconds 127.22 # Real time elapsed on the host
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1 # Clock period in ticks
system.ruby.clk_domain.clock 1 # Clock period in ticks
@@ -52,6 +52,7 @@ system.ruby.l1_cntrl4.L1Icache.demand_accesses 0
system.ruby.l1_cntrl4.L2cache.demand_hits 77 # Number of cache demand hits
system.ruby.l1_cntrl4.L2cache.demand_misses 78569 # Number of cache demand misses
system.ruby.l1_cntrl4.L2cache.demand_accesses 78646 # Number of cache demand accesses
+system.cpu_clk_domain.clock 1 # Clock period in ticks
system.ruby.l1_cntrl5.L1Dcache.demand_hits 19 # Number of cache demand hits
system.ruby.l1_cntrl5.L1Dcache.demand_misses 78666 # Number of cache demand misses
system.ruby.l1_cntrl5.L1Dcache.demand_accesses 78685 # Number of cache demand accesses
@@ -88,33 +89,6 @@ system.ruby.l1_cntrl0.L1Icache.demand_accesses 0
system.ruby.l1_cntrl0.L2cache.demand_hits 80 # Number of cache demand hits
system.ruby.l1_cntrl0.L2cache.demand_misses 78315 # Number of cache demand misses
system.ruby.l1_cntrl0.L2cache.demand_accesses 78395 # Number of cache demand accesses
-system.ruby.l1_cntrl1.L1Dcache.demand_hits 15 # Number of cache demand hits
-system.ruby.l1_cntrl1.L1Dcache.demand_misses 78908 # Number of cache demand misses
-system.ruby.l1_cntrl1.L1Dcache.demand_accesses 78923 # Number of cache demand accesses
-system.ruby.l1_cntrl1.L1Icache.demand_hits 0 # Number of cache demand hits
-system.ruby.l1_cntrl1.L1Icache.demand_misses 0 # Number of cache demand misses
-system.ruby.l1_cntrl1.L1Icache.demand_accesses 0 # Number of cache demand accesses
-system.ruby.l1_cntrl1.L2cache.demand_hits 80 # Number of cache demand hits
-system.ruby.l1_cntrl1.L2cache.demand_misses 78828 # Number of cache demand misses
-system.ruby.l1_cntrl1.L2cache.demand_accesses 78908 # Number of cache demand accesses
-system.ruby.l1_cntrl2.L1Dcache.demand_hits 15 # Number of cache demand hits
-system.ruby.l1_cntrl2.L1Dcache.demand_misses 78622 # Number of cache demand misses
-system.ruby.l1_cntrl2.L1Dcache.demand_accesses 78637 # Number of cache demand accesses
-system.ruby.l1_cntrl2.L1Icache.demand_hits 0 # Number of cache demand hits
-system.ruby.l1_cntrl2.L1Icache.demand_misses 0 # Number of cache demand misses
-system.ruby.l1_cntrl2.L1Icache.demand_accesses 0 # Number of cache demand accesses
-system.ruby.l1_cntrl2.L2cache.demand_hits 77 # Number of cache demand hits
-system.ruby.l1_cntrl2.L2cache.demand_misses 78545 # Number of cache demand misses
-system.ruby.l1_cntrl2.L2cache.demand_accesses 78622 # Number of cache demand accesses
-system.ruby.l1_cntrl3.L1Dcache.demand_hits 17 # Number of cache demand hits
-system.ruby.l1_cntrl3.L1Dcache.demand_misses 78711 # Number of cache demand misses
-system.ruby.l1_cntrl3.L1Dcache.demand_accesses 78728 # Number of cache demand accesses
-system.ruby.l1_cntrl3.L1Icache.demand_hits 0 # Number of cache demand hits
-system.ruby.l1_cntrl3.L1Icache.demand_misses 0 # Number of cache demand misses
-system.ruby.l1_cntrl3.L1Icache.demand_accesses 0 # Number of cache demand accesses
-system.ruby.l1_cntrl3.L2cache.demand_hits 72 # Number of cache demand hits
-system.ruby.l1_cntrl3.L2cache.demand_misses 78639 # Number of cache demand misses
-system.ruby.l1_cntrl3.L2cache.demand_accesses 78711 # Number of cache demand accesses
system.ruby.network.routers0.percent_links_utilized 12.539882
system.ruby.network.routers0.msg_count.Request_Control::2 78315
system.ruby.network.routers0.msg_count.Request_Control::3 59
@@ -136,6 +110,15 @@ system.ruby.network.routers0.msg_bytes.Writeback_Control::3 591720
system.ruby.network.routers0.msg_bytes.Writeback_Control::5 370520
system.ruby.network.routers0.msg_bytes.Broadcast_Control::3 4397184
system.ruby.network.routers0.msg_bytes.Unblock_Control::5 626624
+system.ruby.l1_cntrl1.L1Dcache.demand_hits 15 # Number of cache demand hits
+system.ruby.l1_cntrl1.L1Dcache.demand_misses 78908 # Number of cache demand misses
+system.ruby.l1_cntrl1.L1Dcache.demand_accesses 78923 # Number of cache demand accesses
+system.ruby.l1_cntrl1.L1Icache.demand_hits 0 # Number of cache demand hits
+system.ruby.l1_cntrl1.L1Icache.demand_misses 0 # Number of cache demand misses
+system.ruby.l1_cntrl1.L1Icache.demand_accesses 0 # Number of cache demand accesses
+system.ruby.l1_cntrl1.L2cache.demand_hits 80 # Number of cache demand hits
+system.ruby.l1_cntrl1.L2cache.demand_misses 78828 # Number of cache demand misses
+system.ruby.l1_cntrl1.L2cache.demand_accesses 78908 # Number of cache demand accesses
system.ruby.network.routers1.percent_links_utilized 12.590850
system.ruby.network.routers1.msg_count.Request_Control::2 78828
system.ruby.network.routers1.msg_count.Request_Control::3 53
@@ -157,6 +140,15 @@ system.ruby.network.routers1.msg_bytes.Writeback_Control::3 594800
system.ruby.network.routers1.msg_bytes.Writeback_Control::5 370728
system.ruby.network.routers1.msg_bytes.Broadcast_Control::3 4392992
system.ruby.network.routers1.msg_bytes.Unblock_Control::5 630808
+system.ruby.l1_cntrl2.L1Dcache.demand_hits 15 # Number of cache demand hits
+system.ruby.l1_cntrl2.L1Dcache.demand_misses 78622 # Number of cache demand misses
+system.ruby.l1_cntrl2.L1Dcache.demand_accesses 78637 # Number of cache demand accesses
+system.ruby.l1_cntrl2.L1Icache.demand_hits 0 # Number of cache demand hits
+system.ruby.l1_cntrl2.L1Icache.demand_misses 0 # Number of cache demand misses
+system.ruby.l1_cntrl2.L1Icache.demand_accesses 0 # Number of cache demand accesses
+system.ruby.l1_cntrl2.L2cache.demand_hits 77 # Number of cache demand hits
+system.ruby.l1_cntrl2.L2cache.demand_misses 78545 # Number of cache demand misses
+system.ruby.l1_cntrl2.L2cache.demand_accesses 78622 # Number of cache demand accesses
system.ruby.network.routers2.percent_links_utilized 12.557548
system.ruby.network.routers2.msg_count.Request_Control::2 78545
system.ruby.network.routers2.msg_count.Request_Control::3 51
@@ -178,6 +170,15 @@ system.ruby.network.routers2.msg_bytes.Writeback_Control::3 593024
system.ruby.network.routers2.msg_bytes.Writeback_Control::5 371048
system.ruby.network.routers2.msg_bytes.Broadcast_Control::3 4395248
system.ruby.network.routers2.msg_bytes.Unblock_Control::5 628504
+system.ruby.l1_cntrl3.L1Dcache.demand_hits 17 # Number of cache demand hits
+system.ruby.l1_cntrl3.L1Dcache.demand_misses 78711 # Number of cache demand misses
+system.ruby.l1_cntrl3.L1Dcache.demand_accesses 78728 # Number of cache demand accesses
+system.ruby.l1_cntrl3.L1Icache.demand_hits 0 # Number of cache demand hits
+system.ruby.l1_cntrl3.L1Icache.demand_misses 0 # Number of cache demand misses
+system.ruby.l1_cntrl3.L1Icache.demand_accesses 0 # Number of cache demand accesses
+system.ruby.l1_cntrl3.L2cache.demand_hits 72 # Number of cache demand hits
+system.ruby.l1_cntrl3.L2cache.demand_misses 78639 # Number of cache demand misses
+system.ruby.l1_cntrl3.L2cache.demand_accesses 78711 # Number of cache demand accesses
system.ruby.network.routers3.percent_links_utilized 12.569726
system.ruby.network.routers3.msg_count.Request_Control::2 78639
system.ruby.network.routers3.msg_count.Request_Control::3 54
@@ -358,9 +359,6 @@ system.ruby.network.msg_byte.Writeback_Data 47865816
system.ruby.network.msg_byte.Writeback_Control 37367352
system.ruby.network.msg_byte.Broadcast_Control 75348720
system.ruby.network.msg_byte.Unblock_Control 15084048
-system.funcbus.throughput 0 # Throughput (bytes/s)
-system.funcbus.data_through_bus 0 # Total data (bytes)
-system.cpu_clk_domain.clock 1 # Clock period in ticks
system.cpu0.num_reads 99506 # number of read accesses completed
system.cpu0.num_writes 55459 # number of write accesses completed
system.cpu0.num_copies 0 # number of copy accesses completed
diff --git a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/stats.txt b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/stats.txt
index f296585bd..befc7837c 100644
--- a/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/stats.txt
+++ b/tests/quick/se/50.memtest/ref/alpha/linux/memtest-ruby/stats.txt
@@ -4,9 +4,9 @@ sim_seconds 0.008851 # Nu
sim_ticks 8851106 # Number of ticks simulated
final_tick 8851106 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000 # Frequency of simulated ticks
-host_tick_rate 251677 # Simulator tick rate (ticks/s)
-host_mem_usage 263028 # Number of bytes of host memory used
-host_seconds 35.17 # Real time elapsed on the host
+host_tick_rate 185635 # Simulator tick rate (ticks/s)
+host_mem_usage 317472 # Number of bytes of host memory used
+host_seconds 47.68 # Real time elapsed on the host
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1 # Clock period in ticks
system.ruby.clk_domain.clock 1 # Clock period in ticks
@@ -46,6 +46,7 @@ system.ruby.Directory.incomplete_times 622362
system.ruby.l1_cntrl4.cacheMemory.demand_hits 0 # Number of cache demand hits
system.ruby.l1_cntrl4.cacheMemory.demand_misses 78801 # Number of cache demand misses
system.ruby.l1_cntrl4.cacheMemory.demand_accesses 78801 # Number of cache demand accesses
+system.cpu_clk_domain.clock 1 # Clock period in ticks
system.ruby.l1_cntrl5.cacheMemory.demand_hits 0 # Number of cache demand hits
system.ruby.l1_cntrl5.cacheMemory.demand_misses 78712 # Number of cache demand misses
system.ruby.l1_cntrl5.cacheMemory.demand_accesses 78712 # Number of cache demand accesses
@@ -58,15 +59,6 @@ system.ruby.l1_cntrl7.cacheMemory.demand_accesses 79132
system.ruby.l1_cntrl0.cacheMemory.demand_hits 0 # Number of cache demand hits
system.ruby.l1_cntrl0.cacheMemory.demand_misses 78906 # Number of cache demand misses
system.ruby.l1_cntrl0.cacheMemory.demand_accesses 78906 # Number of cache demand accesses
-system.ruby.l1_cntrl1.cacheMemory.demand_hits 0 # Number of cache demand hits
-system.ruby.l1_cntrl1.cacheMemory.demand_misses 78862 # Number of cache demand misses
-system.ruby.l1_cntrl1.cacheMemory.demand_accesses 78862 # Number of cache demand accesses
-system.ruby.l1_cntrl2.cacheMemory.demand_hits 0 # Number of cache demand hits
-system.ruby.l1_cntrl2.cacheMemory.demand_misses 78717 # Number of cache demand misses
-system.ruby.l1_cntrl2.cacheMemory.demand_accesses 78717 # Number of cache demand accesses
-system.ruby.l1_cntrl3.cacheMemory.demand_hits 0 # Number of cache demand hits
-system.ruby.l1_cntrl3.cacheMemory.demand_misses 79057 # Number of cache demand misses
-system.ruby.l1_cntrl3.cacheMemory.demand_accesses 79057 # Number of cache demand accesses
system.ruby.network.routers0.percent_links_utilized 4.466411
system.ruby.network.routers0.msg_count.Control::2 78906
system.ruby.network.routers0.msg_count.Data::2 78209
@@ -76,6 +68,9 @@ system.ruby.network.routers0.msg_bytes.Control::2 631248
system.ruby.network.routers0.msg_bytes.Data::2 5631048
system.ruby.network.routers0.msg_bytes.Response_Data::4 5754384
system.ruby.network.routers0.msg_bytes.Writeback_Control::3 633776
+system.ruby.l1_cntrl1.cacheMemory.demand_hits 0 # Number of cache demand hits
+system.ruby.l1_cntrl1.cacheMemory.demand_misses 78862 # Number of cache demand misses
+system.ruby.l1_cntrl1.cacheMemory.demand_accesses 78862 # Number of cache demand accesses
system.ruby.network.routers1.percent_links_utilized 4.464072
system.ruby.network.routers1.msg_count.Control::2 78862
system.ruby.network.routers1.msg_count.Data::2 78116
@@ -85,6 +80,9 @@ system.ruby.network.routers1.msg_bytes.Control::2 630896
system.ruby.network.routers1.msg_bytes.Data::2 5624352
system.ruby.network.routers1.msg_bytes.Response_Data::4 5755104
system.ruby.network.routers1.msg_bytes.Writeback_Control::3 633480
+system.ruby.l1_cntrl2.cacheMemory.demand_hits 0 # Number of cache demand hits
+system.ruby.l1_cntrl2.cacheMemory.demand_misses 78717 # Number of cache demand misses
+system.ruby.l1_cntrl2.cacheMemory.demand_accesses 78717 # Number of cache demand accesses
system.ruby.network.routers2.percent_links_utilized 4.456923
system.ruby.network.routers2.msg_count.Control::2 78717
system.ruby.network.routers2.msg_count.Data::2 78011
@@ -94,6 +92,9 @@ system.ruby.network.routers2.msg_bytes.Control::2 629736
system.ruby.network.routers2.msg_bytes.Data::2 5616792
system.ruby.network.routers2.msg_bytes.Response_Data::4 5744448
system.ruby.network.routers2.msg_bytes.Writeback_Control::3 632608
+system.ruby.l1_cntrl3.cacheMemory.demand_hits 0 # Number of cache demand hits
+system.ruby.l1_cntrl3.cacheMemory.demand_misses 79057 # Number of cache demand misses
+system.ruby.l1_cntrl3.cacheMemory.demand_accesses 79057 # Number of cache demand accesses
system.ruby.network.routers3.percent_links_utilized 4.475147
system.ruby.network.routers3.msg_count.Control::2 79057
system.ruby.network.routers3.msg_count.Data::2 78335
@@ -183,9 +184,6 @@ system.ruby.network.msg_byte.Control 15140856
system.ruby.network.msg_byte.Data 135010584
system.ruby.network.msg_byte.Response_Data 136263888
system.ruby.network.msg_byte.Writeback_Control 15204144
-system.funcbus.throughput 0 # Throughput (bytes/s)
-system.funcbus.data_through_bus 0 # Total data (bytes)
-system.cpu_clk_domain.clock 1 # Clock period in ticks
system.cpu0.num_reads 99672 # number of read accesses completed
system.cpu0.num_writes 55456 # number of write accesses completed
system.cpu0.num_copies 0 # number of copy accesses completed
diff --git a/tests/quick/se/50.memtest/ref/null/none/memtest-filter/stats.txt b/tests/quick/se/50.memtest/ref/null/none/memtest-filter/stats.txt
index 03cf254c4..66986747e 100644
--- a/tests/quick/se/50.memtest/ref/null/none/memtest-filter/stats.txt
+++ b/tests/quick/se/50.memtest/ref/null/none/memtest-filter/stats.txt
@@ -1,678 +1,674 @@
---------- Begin Simulation Statistics ----------
-sim_seconds 0.001466 # Number of seconds simulated
-sim_ticks 1466014000 # Number of ticks simulated
-final_tick 1466014000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
+sim_seconds 0.001487 # Number of seconds simulated
+sim_ticks 1486654500 # Number of ticks simulated
+final_tick 1486654500 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_tick_rate 362824283 # Simulator tick rate (ticks/s)
-host_mem_usage 344492 # Number of bytes of host memory used
-host_seconds 4.04 # Real time elapsed on the host
+host_tick_rate 296727534 # Simulator tick rate (ticks/s)
+host_mem_usage 404724 # Number of bytes of host memory used
+host_seconds 5.01 # Real time elapsed on the host
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
-system.physmem.bytes_read::cpu0 81024 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu1 82440 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu2 87271 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu3 81468 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu4 83154 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu5 83511 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu6 83243 # Number of bytes read from this memory
-system.physmem.bytes_read::cpu7 81362 # Number of bytes read from this memory
-system.physmem.bytes_read::total 663473 # Number of bytes read from this memory
-system.physmem.bytes_written::writebacks 418112 # Number of bytes written to this memory
-system.physmem.bytes_written::cpu0 5482 # Number of bytes written to this memory
-system.physmem.bytes_written::cpu1 5323 # Number of bytes written to this memory
-system.physmem.bytes_written::cpu2 5338 # Number of bytes written to this memory
-system.physmem.bytes_written::cpu3 5333 # Number of bytes written to this memory
-system.physmem.bytes_written::cpu4 5428 # Number of bytes written to this memory
-system.physmem.bytes_written::cpu5 5332 # Number of bytes written to this memory
-system.physmem.bytes_written::cpu6 5280 # Number of bytes written to this memory
-system.physmem.bytes_written::cpu7 5327 # Number of bytes written to this memory
-system.physmem.bytes_written::total 460955 # Number of bytes written to this memory
-system.physmem.num_reads::cpu0 11094 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu1 11124 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu2 11230 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu3 10971 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu4 11082 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu5 11061 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu6 11171 # Number of read requests responded to by this memory
-system.physmem.num_reads::cpu7 11117 # Number of read requests responded to by this memory
-system.physmem.num_reads::total 88850 # Number of read requests responded to by this memory
-system.physmem.num_writes::writebacks 6533 # Number of write requests responded to by this memory
-system.physmem.num_writes::cpu0 5482 # Number of write requests responded to by this memory
-system.physmem.num_writes::cpu1 5323 # Number of write requests responded to by this memory
-system.physmem.num_writes::cpu2 5338 # Number of write requests responded to by this memory
-system.physmem.num_writes::cpu3 5333 # Number of write requests responded to by this memory
-system.physmem.num_writes::cpu4 5428 # Number of write requests responded to by this memory
-system.physmem.num_writes::cpu5 5332 # Number of write requests responded to by this memory
-system.physmem.num_writes::cpu6 5280 # Number of write requests responded to by this memory
-system.physmem.num_writes::cpu7 5327 # Number of write requests responded to by this memory
-system.physmem.num_writes::total 49376 # Number of write requests responded to by this memory
-system.physmem.bw_read::cpu0 55268231 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu1 56234115 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu2 59529445 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu3 55571093 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu4 56721150 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu5 56964667 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu6 56781859 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::cpu7 55498788 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_read::total 452569348 # Total read bandwidth from this memory (bytes/s)
-system.physmem.bw_write::writebacks 285203279 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu0 3739391 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu1 3630934 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu2 3641166 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu3 3637755 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu4 3702557 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu5 3637073 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu6 3601603 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::cpu7 3633662 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_write::total 314427420 # Write bandwidth from this memory (bytes/s)
-system.physmem.bw_total::writebacks 285203279 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu0 59007622 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu1 59865049 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu2 63170611 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu3 59208848 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu4 60423707 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu5 60601741 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu6 60383462 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::cpu7 59132450 # Total bandwidth to/from this memory (bytes/s)
-system.physmem.bw_total::total 766996768 # Total bandwidth to/from this memory (bytes/s)
-system.membus.snoop_filter.tot_requests 121068 # Total number of requests made to the snoop filter.
-system.membus.snoop_filter.hit_single_requests 119020 # Number of requests hitting in the snoop filter with a single holder of the requested data.
+system.physmem.bytes_read::cpu0 76776 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu1 78761 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu2 77348 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu3 78011 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu4 77583 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu5 76150 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu6 79121 # Number of bytes read from this memory
+system.physmem.bytes_read::cpu7 75007 # Number of bytes read from this memory
+system.physmem.bytes_read::total 618757 # Number of bytes read from this memory
+system.physmem.bytes_written::writebacks 383744 # Number of bytes written to this memory
+system.physmem.bytes_written::cpu0 5329 # Number of bytes written to this memory
+system.physmem.bytes_written::cpu1 5414 # Number of bytes written to this memory
+system.physmem.bytes_written::cpu2 5336 # Number of bytes written to this memory
+system.physmem.bytes_written::cpu3 5424 # Number of bytes written to this memory
+system.physmem.bytes_written::cpu4 5535 # Number of bytes written to this memory
+system.physmem.bytes_written::cpu5 5438 # Number of bytes written to this memory
+system.physmem.bytes_written::cpu6 5327 # Number of bytes written to this memory
+system.physmem.bytes_written::cpu7 5496 # Number of bytes written to this memory
+system.physmem.bytes_written::total 427043 # Number of bytes written to this memory
+system.physmem.num_reads::cpu0 11067 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu1 10847 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu2 10757 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu3 10790 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu4 11118 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu5 10756 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu6 10829 # Number of read requests responded to by this memory
+system.physmem.num_reads::cpu7 10873 # Number of read requests responded to by this memory
+system.physmem.num_reads::total 87037 # Number of read requests responded to by this memory
+system.physmem.num_writes::writebacks 5996 # Number of write requests responded to by this memory
+system.physmem.num_writes::cpu0 5329 # Number of write requests responded to by this memory
+system.physmem.num_writes::cpu1 5414 # Number of write requests responded to by this memory
+system.physmem.num_writes::cpu2 5336 # Number of write requests responded to by this memory
+system.physmem.num_writes::cpu3 5424 # Number of write requests responded to by this memory
+system.physmem.num_writes::cpu4 5535 # Number of write requests responded to by this memory
+system.physmem.num_writes::cpu5 5438 # Number of write requests responded to by this memory
+system.physmem.num_writes::cpu6 5327 # Number of write requests responded to by this memory
+system.physmem.num_writes::cpu7 5496 # Number of write requests responded to by this memory
+system.physmem.num_writes::total 49295 # Number of write requests responded to by this memory
+system.physmem.bw_read::cpu0 51643472 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu1 52978685 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu2 52028228 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu3 52474196 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu4 52186302 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu5 51222392 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu6 53220839 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::cpu7 50453552 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_read::total 416207666 # Total read bandwidth from this memory (bytes/s)
+system.physmem.bw_write::writebacks 258125879 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu0 3584558 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu1 3641734 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu2 3589267 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu3 3648460 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu4 3723125 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu5 3657877 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu6 3583213 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::cpu7 3696891 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_write::total 287251006 # Write bandwidth from this memory (bytes/s)
+system.physmem.bw_total::writebacks 258125879 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu0 55228030 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu1 56620419 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu2 55617496 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu3 56122657 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu4 55909426 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu5 54880270 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu6 56804052 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::cpu7 54150443 # Total bandwidth to/from this memory (bytes/s)
+system.physmem.bw_total::total 703458672 # Total bandwidth to/from this memory (bytes/s)
+system.membus.snoop_filter.tot_requests 122188 # Total number of requests made to the snoop filter.
+system.membus.snoop_filter.hit_single_requests 120140 # Number of requests hitting in the snoop filter with a single holder of the requested data.
system.membus.snoop_filter.hit_multi_requests 0 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
system.membus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
system.membus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
system.membus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.membus.throughput 766995404 # Throughput (bytes/s)
-system.membus.trans_dist::ReadReq 85646 # Transaction distribution
-system.membus.trans_dist::ReadResp 85644 # Transaction distribution
-system.membus.trans_dist::WriteReq 42843 # Transaction distribution
-system.membus.trans_dist::WriteResp 42842 # Transaction distribution
-system.membus.trans_dist::Writeback 6533 # Transaction distribution
-system.membus.trans_dist::UpgradeReq 57248 # Transaction distribution
-system.membus.trans_dist::UpgradeResp 46699 # Transaction distribution
-system.membus.trans_dist::ReadExReq 48957 # Transaction distribution
-system.membus.trans_dist::ReadExResp 3204 # Transaction distribution
-system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 419616 # Packet count per connected master and slave (bytes)
-system.membus.pkt_count::total 419616 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::system.physmem.port 1124426 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 1124426 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 1124426 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
-system.membus.snoops_through_bus 56301 # Total snoops (count)
-system.membus.snoop_fanout::samples 121068 # Request fanout histogram
+system.membus.trans_dist::ReadReq 84101 # Transaction distribution
+system.membus.trans_dist::ReadResp 84098 # Transaction distribution
+system.membus.trans_dist::WriteReq 43299 # Transaction distribution
+system.membus.trans_dist::WriteResp 43298 # Transaction distribution
+system.membus.trans_dist::Writeback 5996 # Transaction distribution
+system.membus.trans_dist::UpgradeReq 58155 # Transaction distribution
+system.membus.trans_dist::UpgradeResp 47311 # Transaction distribution
+system.membus.trans_dist::ReadExReq 50200 # Transaction distribution
+system.membus.trans_dist::ReadExResp 2936 # Transaction distribution
+system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 419394 # Packet count per connected master and slave (bytes)
+system.membus.pkt_count::total 419394 # Packet count per connected master and slave (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.physmem.port 1045797 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 1045797 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 58108 # Total snoops (count)
+system.membus.snoop_fanout::samples 122188 # Request fanout histogram
system.membus.snoop_fanout::mean 0 # Request fanout histogram
system.membus.snoop_fanout::stdev 0 # Request fanout histogram
system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.membus.snoop_fanout::0 121068 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::0 122188 100.00% 100.00% # Request fanout histogram
system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
system.membus.snoop_fanout::min_value 0 # Request fanout histogram
system.membus.snoop_fanout::max_value 0 # Request fanout histogram
-system.membus.snoop_fanout::total 121068 # Request fanout histogram
-system.membus.reqLayer0.occupancy 476149500 # Layer occupancy (ticks)
-system.membus.reqLayer0.utilization 32.5 # Layer utilization (%)
-system.membus.respLayer0.occupancy 322630500 # Layer occupancy (ticks)
-system.membus.respLayer0.utilization 22.0 # Layer utilization (%)
+system.membus.snoop_fanout::total 122188 # Request fanout histogram
+system.membus.reqLayer0.occupancy 471309000 # Layer occupancy (ticks)
+system.membus.reqLayer0.utilization 31.7 # Layer utilization (%)
+system.membus.respLayer0.occupancy 318465500 # Layer occupancy (ticks)
+system.membus.respLayer0.utilization 21.4 # Layer utilization (%)
system.cpu_clk_domain.clock 500 # Clock period in ticks
-system.l2c.tags.replacements 13552 # number of replacements
-system.l2c.tags.tagsinuse 786.290427 # Cycle average of tags in use
-system.l2c.tags.total_refs 149902 # Total number of references to valid blocks.
-system.l2c.tags.sampled_refs 14350 # Sample count of references to valid blocks.
-system.l2c.tags.avg_refs 10.446132 # Average number of references to valid blocks.
+system.l2c.tags.replacements 12651 # number of replacements
+system.l2c.tags.tagsinuse 779.272325 # Cycle average of tags in use
+system.l2c.tags.total_refs 149024 # Total number of references to valid blocks.
+system.l2c.tags.sampled_refs 13435 # Sample count of references to valid blocks.
+system.l2c.tags.avg_refs 11.092222 # Average number of references to valid blocks.
system.l2c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.l2c.tags.occ_blocks::writebacks 730.775276 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu0 6.840177 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu1 7.161871 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu2 6.892698 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu3 6.763865 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu4 6.714219 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu5 6.973391 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu6 7.262671 # Average occupied blocks per requestor
-system.l2c.tags.occ_blocks::cpu7 6.906259 # Average occupied blocks per requestor
-system.l2c.tags.occ_percent::writebacks 0.713648 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu0 0.006680 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu1 0.006994 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu2 0.006731 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu3 0.006605 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu4 0.006557 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu5 0.006810 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu6 0.007092 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::cpu7 0.006744 # Average percentage of cache occupancy
-system.l2c.tags.occ_percent::total 0.767862 # Average percentage of cache occupancy
-system.l2c.tags.occ_task_id_blocks::1024 798 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::0 368 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::1 428 # Occupied blocks per task id
-system.l2c.tags.age_task_id_blocks_1024::2 2 # Occupied blocks per task id
-system.l2c.tags.occ_task_id_percent::1024 0.779297 # Percentage of cache occupancy per task id
-system.l2c.tags.tag_accesses 1950254 # Number of tag accesses
-system.l2c.tags.data_accesses 1950254 # Number of data accesses
-system.l2c.ReadReq_hits::cpu0 10780 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu1 10796 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu2 10830 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu3 10794 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu4 10743 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu5 10804 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu6 10680 # number of ReadReq hits
-system.l2c.ReadReq_hits::cpu7 10909 # number of ReadReq hits
-system.l2c.ReadReq_hits::total 86336 # number of ReadReq hits
-system.l2c.Writeback_hits::writebacks 74514 # number of Writeback hits
-system.l2c.Writeback_hits::total 74514 # number of Writeback hits
-system.l2c.UpgradeReq_hits::cpu0 330 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::cpu1 332 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::cpu2 379 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::cpu3 363 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::cpu4 357 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::cpu5 362 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::cpu6 317 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::cpu7 363 # number of UpgradeReq hits
-system.l2c.UpgradeReq_hits::total 2803 # number of UpgradeReq hits
-system.l2c.ReadExReq_hits::cpu0 1848 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::cpu1 1871 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::cpu2 1840 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::cpu3 1858 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::cpu4 1858 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::cpu5 1893 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::cpu6 1894 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::cpu7 1826 # number of ReadExReq hits
-system.l2c.ReadExReq_hits::total 14888 # number of ReadExReq hits
-system.l2c.demand_hits::cpu0 12628 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu1 12667 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu2 12670 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu3 12652 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu4 12601 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu5 12697 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu6 12574 # number of demand (read+write) hits
-system.l2c.demand_hits::cpu7 12735 # number of demand (read+write) hits
-system.l2c.demand_hits::total 101224 # number of demand (read+write) hits
-system.l2c.overall_hits::cpu0 12628 # number of overall hits
-system.l2c.overall_hits::cpu1 12667 # number of overall hits
-system.l2c.overall_hits::cpu2 12670 # number of overall hits
-system.l2c.overall_hits::cpu3 12652 # number of overall hits
-system.l2c.overall_hits::cpu4 12601 # number of overall hits
-system.l2c.overall_hits::cpu5 12697 # number of overall hits
-system.l2c.overall_hits::cpu6 12574 # number of overall hits
-system.l2c.overall_hits::cpu7 12735 # number of overall hits
-system.l2c.overall_hits::total 101224 # number of overall hits
-system.l2c.ReadReq_misses::cpu0 745 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu1 743 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu2 781 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu3 728 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu4 729 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu5 753 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu6 747 # number of ReadReq misses
-system.l2c.ReadReq_misses::cpu7 720 # number of ReadReq misses
-system.l2c.ReadReq_misses::total 5946 # number of ReadReq misses
-system.l2c.UpgradeReq_misses::cpu0 1905 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu1 1885 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu2 1879 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu3 1905 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu4 1913 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu5 1875 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu6 1933 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::cpu7 1894 # number of UpgradeReq misses
-system.l2c.UpgradeReq_misses::total 15189 # number of UpgradeReq misses
-system.l2c.ReadExReq_misses::cpu0 4304 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::cpu1 4329 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::cpu2 4371 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::cpu3 4347 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::cpu4 4382 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::cpu5 4378 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::cpu6 4299 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::cpu7 4310 # number of ReadExReq misses
-system.l2c.ReadExReq_misses::total 34720 # number of ReadExReq misses
-system.l2c.demand_misses::cpu0 5049 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu1 5072 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu2 5152 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu3 5075 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu4 5111 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu5 5131 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu6 5046 # number of demand (read+write) misses
-system.l2c.demand_misses::cpu7 5030 # number of demand (read+write) misses
-system.l2c.demand_misses::total 40666 # number of demand (read+write) misses
-system.l2c.overall_misses::cpu0 5049 # number of overall misses
-system.l2c.overall_misses::cpu1 5072 # number of overall misses
-system.l2c.overall_misses::cpu2 5152 # number of overall misses
-system.l2c.overall_misses::cpu3 5075 # number of overall misses
-system.l2c.overall_misses::cpu4 5111 # number of overall misses
-system.l2c.overall_misses::cpu5 5131 # number of overall misses
-system.l2c.overall_misses::cpu6 5046 # number of overall misses
-system.l2c.overall_misses::cpu7 5030 # number of overall misses
-system.l2c.overall_misses::total 40666 # number of overall misses
-system.l2c.ReadReq_miss_latency::cpu0 43593500 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu1 43998500 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu2 45727000 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu3 43059000 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu4 43120000 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu5 43827500 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu6 44039000 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::cpu7 42172500 # number of ReadReq miss cycles
-system.l2c.ReadReq_miss_latency::total 349537000 # number of ReadReq miss cycles
-system.l2c.UpgradeReq_miss_latency::cpu0 55121000 # number of UpgradeReq miss cycles
-system.l2c.UpgradeReq_miss_latency::cpu1 55784000 # number of UpgradeReq miss cycles
-system.l2c.UpgradeReq_miss_latency::cpu2 54929500 # number of UpgradeReq miss cycles
-system.l2c.UpgradeReq_miss_latency::cpu3 55686500 # number of UpgradeReq miss cycles
-system.l2c.UpgradeReq_miss_latency::cpu4 54924000 # number of UpgradeReq miss cycles
-system.l2c.UpgradeReq_miss_latency::cpu5 54520000 # number of UpgradeReq miss cycles
-system.l2c.UpgradeReq_miss_latency::cpu6 53558500 # number of UpgradeReq miss cycles
-system.l2c.UpgradeReq_miss_latency::cpu7 55750500 # number of UpgradeReq miss cycles
-system.l2c.UpgradeReq_miss_latency::total 440274000 # number of UpgradeReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu0 230029000 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu1 231291500 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu2 233753500 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu3 232262000 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu4 233669000 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu5 234419500 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu6 229747500 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::cpu7 230546000 # number of ReadExReq miss cycles
-system.l2c.ReadExReq_miss_latency::total 1855718000 # number of ReadExReq miss cycles
-system.l2c.demand_miss_latency::cpu0 273622500 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu1 275290000 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu2 279480500 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu3 275321000 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu4 276789000 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu5 278247000 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu6 273786500 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::cpu7 272718500 # number of demand (read+write) miss cycles
-system.l2c.demand_miss_latency::total 2205255000 # number of demand (read+write) miss cycles
-system.l2c.overall_miss_latency::cpu0 273622500 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu1 275290000 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu2 279480500 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu3 275321000 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu4 276789000 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu5 278247000 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu6 273786500 # number of overall miss cycles
-system.l2c.overall_miss_latency::cpu7 272718500 # number of overall miss cycles
-system.l2c.overall_miss_latency::total 2205255000 # number of overall miss cycles
-system.l2c.ReadReq_accesses::cpu0 11525 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu1 11539 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu2 11611 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu3 11522 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu4 11472 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu5 11557 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu6 11427 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::cpu7 11629 # number of ReadReq accesses(hits+misses)
-system.l2c.ReadReq_accesses::total 92282 # number of ReadReq accesses(hits+misses)
-system.l2c.Writeback_accesses::writebacks 74514 # number of Writeback accesses(hits+misses)
-system.l2c.Writeback_accesses::total 74514 # number of Writeback accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu0 2235 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu1 2217 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu2 2258 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu3 2268 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu4 2270 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu5 2237 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu6 2250 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::cpu7 2257 # number of UpgradeReq accesses(hits+misses)
-system.l2c.UpgradeReq_accesses::total 17992 # number of UpgradeReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu0 6152 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu1 6200 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu2 6211 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu3 6205 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu4 6240 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu5 6271 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu6 6193 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::cpu7 6136 # number of ReadExReq accesses(hits+misses)
-system.l2c.ReadExReq_accesses::total 49608 # number of ReadExReq accesses(hits+misses)
-system.l2c.demand_accesses::cpu0 17677 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu1 17739 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu2 17822 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu3 17727 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu4 17712 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu5 17828 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu6 17620 # number of demand (read+write) accesses
-system.l2c.demand_accesses::cpu7 17765 # number of demand (read+write) accesses
-system.l2c.demand_accesses::total 141890 # number of demand (read+write) accesses
-system.l2c.overall_accesses::cpu0 17677 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu1 17739 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu2 17822 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu3 17727 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu4 17712 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu5 17828 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu6 17620 # number of overall (read+write) accesses
-system.l2c.overall_accesses::cpu7 17765 # number of overall (read+write) accesses
-system.l2c.overall_accesses::total 141890 # number of overall (read+write) accesses
-system.l2c.ReadReq_miss_rate::cpu0 0.064642 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu1 0.064390 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu2 0.067264 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu3 0.063183 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu4 0.063546 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu5 0.065155 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu6 0.065371 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::cpu7 0.061914 # miss rate for ReadReq accesses
-system.l2c.ReadReq_miss_rate::total 0.064433 # miss rate for ReadReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu0 0.852349 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu1 0.850248 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu2 0.832152 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu3 0.839947 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu4 0.842731 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu5 0.838176 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu6 0.859111 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::cpu7 0.839167 # miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_miss_rate::total 0.844209 # miss rate for UpgradeReq accesses
-system.l2c.ReadExReq_miss_rate::cpu0 0.699610 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::cpu1 0.698226 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::cpu2 0.703751 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::cpu3 0.700564 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::cpu4 0.702244 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::cpu5 0.698134 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::cpu6 0.694171 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::cpu7 0.702412 # miss rate for ReadExReq accesses
-system.l2c.ReadExReq_miss_rate::total 0.699887 # miss rate for ReadExReq accesses
-system.l2c.demand_miss_rate::cpu0 0.285625 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu1 0.285924 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu2 0.289081 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu3 0.286286 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu4 0.288561 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu5 0.287806 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu6 0.286379 # miss rate for demand accesses
-system.l2c.demand_miss_rate::cpu7 0.283141 # miss rate for demand accesses
-system.l2c.demand_miss_rate::total 0.286602 # miss rate for demand accesses
-system.l2c.overall_miss_rate::cpu0 0.285625 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu1 0.285924 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu2 0.289081 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu3 0.286286 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu4 0.288561 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu5 0.287806 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu6 0.286379 # miss rate for overall accesses
-system.l2c.overall_miss_rate::cpu7 0.283141 # miss rate for overall accesses
-system.l2c.overall_miss_rate::total 0.286602 # miss rate for overall accesses
-system.l2c.ReadReq_avg_miss_latency::cpu0 58514.765101 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu1 59217.362046 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu2 58549.295775 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu3 59146.978022 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu4 59149.519890 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu5 58203.851262 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu6 58954.484605 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::cpu7 58572.916667 # average ReadReq miss latency
-system.l2c.ReadReq_avg_miss_latency::total 58785.233771 # average ReadReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::cpu0 28934.908136 # average UpgradeReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::cpu1 29593.633952 # average UpgradeReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::cpu2 29233.368813 # average UpgradeReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::cpu3 29231.758530 # average UpgradeReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::cpu4 28710.925248 # average UpgradeReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::cpu5 29077.333333 # average UpgradeReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::cpu6 27707.449560 # average UpgradeReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::cpu7 29435.322070 # average UpgradeReq miss latency
-system.l2c.UpgradeReq_avg_miss_latency::total 28986.371716 # average UpgradeReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu0 53445.399628 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu1 53428.389928 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu2 53478.265843 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu3 53430.411778 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu4 53324.737563 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu5 53544.883508 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu6 53442.079553 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::cpu7 53490.951276 # average ReadExReq miss latency
-system.l2c.ReadExReq_avg_miss_latency::total 53448.099078 # average ReadExReq miss latency
-system.l2c.demand_avg_miss_latency::cpu0 54193.404635 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu1 54276.419558 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu2 54246.991460 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu3 54250.443350 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu4 54155.546860 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu5 54228.610407 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu6 54258.125248 # average overall miss latency
-system.l2c.demand_avg_miss_latency::cpu7 54218.389662 # average overall miss latency
-system.l2c.demand_avg_miss_latency::total 54228.470959 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu0 54193.404635 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu1 54276.419558 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu2 54246.991460 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu3 54250.443350 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu4 54155.546860 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu5 54228.610407 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu6 54258.125248 # average overall miss latency
-system.l2c.overall_avg_miss_latency::cpu7 54218.389662 # average overall miss latency
-system.l2c.overall_avg_miss_latency::total 54228.470959 # average overall miss latency
-system.l2c.blocked_cycles::no_mshrs 6449 # number of cycles access was blocked
+system.l2c.tags.occ_blocks::writebacks 728.440089 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu0 6.082080 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu1 6.684894 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu2 6.012810 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu3 6.146479 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu4 6.898409 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu5 6.253161 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu6 6.667903 # Average occupied blocks per requestor
+system.l2c.tags.occ_blocks::cpu7 6.086501 # Average occupied blocks per requestor
+system.l2c.tags.occ_percent::writebacks 0.711367 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu0 0.005940 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu1 0.006528 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu2 0.005872 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu3 0.006002 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu4 0.006737 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu5 0.006107 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu6 0.006512 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::cpu7 0.005944 # Average percentage of cache occupancy
+system.l2c.tags.occ_percent::total 0.761008 # Average percentage of cache occupancy
+system.l2c.tags.occ_task_id_blocks::1024 784 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::0 355 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::1 425 # Occupied blocks per task id
+system.l2c.tags.age_task_id_blocks_1024::2 4 # Occupied blocks per task id
+system.l2c.tags.occ_task_id_percent::1024 0.765625 # Percentage of cache occupancy per task id
+system.l2c.tags.tag_accesses 1945494 # Number of tag accesses
+system.l2c.tags.data_accesses 1945494 # Number of data accesses
+system.l2c.ReadReq_hits::cpu0 10666 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu1 10663 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu2 10584 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu3 10758 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu4 10608 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu5 10611 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu6 10587 # number of ReadReq hits
+system.l2c.ReadReq_hits::cpu7 10524 # number of ReadReq hits
+system.l2c.ReadReq_hits::total 85001 # number of ReadReq hits
+system.l2c.Writeback_hits::writebacks 74943 # number of Writeback hits
+system.l2c.Writeback_hits::total 74943 # number of Writeback hits
+system.l2c.UpgradeReq_hits::cpu0 353 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::cpu1 387 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::cpu2 371 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::cpu3 333 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::cpu4 313 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::cpu5 347 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::cpu6 354 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::cpu7 353 # number of UpgradeReq hits
+system.l2c.UpgradeReq_hits::total 2811 # number of UpgradeReq hits
+system.l2c.ReadExReq_hits::cpu0 1863 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::cpu1 1918 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::cpu2 1925 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::cpu3 2036 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::cpu4 1873 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::cpu5 1894 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::cpu6 1840 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::cpu7 1970 # number of ReadExReq hits
+system.l2c.ReadExReq_hits::total 15319 # number of ReadExReq hits
+system.l2c.demand_hits::cpu0 12529 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu1 12581 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu2 12509 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu3 12794 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu4 12481 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu5 12505 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu6 12427 # number of demand (read+write) hits
+system.l2c.demand_hits::cpu7 12494 # number of demand (read+write) hits
+system.l2c.demand_hits::total 100320 # number of demand (read+write) hits
+system.l2c.overall_hits::cpu0 12529 # number of overall hits
+system.l2c.overall_hits::cpu1 12581 # number of overall hits
+system.l2c.overall_hits::cpu2 12509 # number of overall hits
+system.l2c.overall_hits::cpu3 12794 # number of overall hits
+system.l2c.overall_hits::cpu4 12481 # number of overall hits
+system.l2c.overall_hits::cpu5 12505 # number of overall hits
+system.l2c.overall_hits::cpu6 12427 # number of overall hits
+system.l2c.overall_hits::cpu7 12494 # number of overall hits
+system.l2c.overall_hits::total 100320 # number of overall hits
+system.l2c.ReadReq_misses::cpu0 689 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu1 724 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu2 665 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu3 684 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu4 722 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu5 677 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu6 706 # number of ReadReq misses
+system.l2c.ReadReq_misses::cpu7 668 # number of ReadReq misses
+system.l2c.ReadReq_misses::total 5535 # number of ReadReq misses
+system.l2c.UpgradeReq_misses::cpu0 1935 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu1 1877 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu2 1961 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu3 1907 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu4 1994 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu5 1930 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu6 1982 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::cpu7 1923 # number of UpgradeReq misses
+system.l2c.UpgradeReq_misses::total 15509 # number of UpgradeReq misses
+system.l2c.ReadExReq_misses::cpu0 4321 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::cpu1 4396 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::cpu2 4310 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::cpu3 4356 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::cpu4 4311 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::cpu5 4311 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::cpu6 4402 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::cpu7 4337 # number of ReadExReq misses
+system.l2c.ReadExReq_misses::total 34744 # number of ReadExReq misses
+system.l2c.demand_misses::cpu0 5010 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu1 5120 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu2 4975 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu3 5040 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu4 5033 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu5 4988 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu6 5108 # number of demand (read+write) misses
+system.l2c.demand_misses::cpu7 5005 # number of demand (read+write) misses
+system.l2c.demand_misses::total 40279 # number of demand (read+write) misses
+system.l2c.overall_misses::cpu0 5010 # number of overall misses
+system.l2c.overall_misses::cpu1 5120 # number of overall misses
+system.l2c.overall_misses::cpu2 4975 # number of overall misses
+system.l2c.overall_misses::cpu3 5040 # number of overall misses
+system.l2c.overall_misses::cpu4 5033 # number of overall misses
+system.l2c.overall_misses::cpu5 4988 # number of overall misses
+system.l2c.overall_misses::cpu6 5108 # number of overall misses
+system.l2c.overall_misses::cpu7 5005 # number of overall misses
+system.l2c.overall_misses::total 40279 # number of overall misses
+system.l2c.ReadReq_miss_latency::cpu0 40501462 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu1 42600959 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu2 38646963 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu3 40375453 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu4 42613457 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu5 39385955 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu6 41558456 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::cpu7 39362459 # number of ReadReq miss cycles
+system.l2c.ReadReq_miss_latency::total 325045164 # number of ReadReq miss cycles
+system.l2c.UpgradeReq_miss_latency::cpu0 54709000 # number of UpgradeReq miss cycles
+system.l2c.UpgradeReq_miss_latency::cpu1 52877000 # number of UpgradeReq miss cycles
+system.l2c.UpgradeReq_miss_latency::cpu2 54939000 # number of UpgradeReq miss cycles
+system.l2c.UpgradeReq_miss_latency::cpu3 53407500 # number of UpgradeReq miss cycles
+system.l2c.UpgradeReq_miss_latency::cpu4 55477000 # number of UpgradeReq miss cycles
+system.l2c.UpgradeReq_miss_latency::cpu5 54482500 # number of UpgradeReq miss cycles
+system.l2c.UpgradeReq_miss_latency::cpu6 56188000 # number of UpgradeReq miss cycles
+system.l2c.UpgradeReq_miss_latency::cpu7 56111500 # number of UpgradeReq miss cycles
+system.l2c.UpgradeReq_miss_latency::total 438191500 # number of UpgradeReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu0 230273476 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu1 234927475 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu2 230453472 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu3 232391973 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu4 230081970 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu5 230389480 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu6 234880982 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::cpu7 230954980 # number of ReadExReq miss cycles
+system.l2c.ReadExReq_miss_latency::total 1854353808 # number of ReadExReq miss cycles
+system.l2c.demand_miss_latency::cpu0 270774938 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu1 277528434 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu2 269100435 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu3 272767426 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu4 272695427 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu5 269775435 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu6 276439438 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::cpu7 270317439 # number of demand (read+write) miss cycles
+system.l2c.demand_miss_latency::total 2179398972 # number of demand (read+write) miss cycles
+system.l2c.overall_miss_latency::cpu0 270774938 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu1 277528434 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu2 269100435 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu3 272767426 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu4 272695427 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu5 269775435 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu6 276439438 # number of overall miss cycles
+system.l2c.overall_miss_latency::cpu7 270317439 # number of overall miss cycles
+system.l2c.overall_miss_latency::total 2179398972 # number of overall miss cycles
+system.l2c.ReadReq_accesses::cpu0 11355 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu1 11387 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu2 11249 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu3 11442 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu4 11330 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu5 11288 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu6 11293 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::cpu7 11192 # number of ReadReq accesses(hits+misses)
+system.l2c.ReadReq_accesses::total 90536 # number of ReadReq accesses(hits+misses)
+system.l2c.Writeback_accesses::writebacks 74943 # number of Writeback accesses(hits+misses)
+system.l2c.Writeback_accesses::total 74943 # number of Writeback accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu0 2288 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu1 2264 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu2 2332 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu3 2240 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu4 2307 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu5 2277 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu6 2336 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::cpu7 2276 # number of UpgradeReq accesses(hits+misses)
+system.l2c.UpgradeReq_accesses::total 18320 # number of UpgradeReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu0 6184 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu1 6314 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu2 6235 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu3 6392 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu4 6184 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu5 6205 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu6 6242 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::cpu7 6307 # number of ReadExReq accesses(hits+misses)
+system.l2c.ReadExReq_accesses::total 50063 # number of ReadExReq accesses(hits+misses)
+system.l2c.demand_accesses::cpu0 17539 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu1 17701 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu2 17484 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu3 17834 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu4 17514 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu5 17493 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu6 17535 # number of demand (read+write) accesses
+system.l2c.demand_accesses::cpu7 17499 # number of demand (read+write) accesses
+system.l2c.demand_accesses::total 140599 # number of demand (read+write) accesses
+system.l2c.overall_accesses::cpu0 17539 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu1 17701 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu2 17484 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu3 17834 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu4 17514 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu5 17493 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu6 17535 # number of overall (read+write) accesses
+system.l2c.overall_accesses::cpu7 17499 # number of overall (read+write) accesses
+system.l2c.overall_accesses::total 140599 # number of overall (read+write) accesses
+system.l2c.ReadReq_miss_rate::cpu0 0.060678 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu1 0.063581 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu2 0.059116 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu3 0.059780 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu4 0.063725 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu5 0.059975 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu6 0.062517 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::cpu7 0.059685 # miss rate for ReadReq accesses
+system.l2c.ReadReq_miss_rate::total 0.061136 # miss rate for ReadReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu0 0.845717 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu1 0.829064 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu2 0.840909 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu3 0.851339 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu4 0.864326 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu5 0.847606 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu6 0.848459 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::cpu7 0.844903 # miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_miss_rate::total 0.846561 # miss rate for UpgradeReq accesses
+system.l2c.ReadExReq_miss_rate::cpu0 0.698739 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::cpu1 0.696231 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::cpu2 0.691259 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::cpu3 0.681477 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::cpu4 0.697122 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::cpu5 0.694762 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::cpu6 0.705223 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::cpu7 0.687649 # miss rate for ReadExReq accesses
+system.l2c.ReadExReq_miss_rate::total 0.694006 # miss rate for ReadExReq accesses
+system.l2c.demand_miss_rate::cpu0 0.285649 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu1 0.289249 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu2 0.284546 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu3 0.282606 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu4 0.287370 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu5 0.285143 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu6 0.291303 # miss rate for demand accesses
+system.l2c.demand_miss_rate::cpu7 0.286016 # miss rate for demand accesses
+system.l2c.demand_miss_rate::total 0.286481 # miss rate for demand accesses
+system.l2c.overall_miss_rate::cpu0 0.285649 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu1 0.289249 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu2 0.284546 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu3 0.282606 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu4 0.287370 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu5 0.285143 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu6 0.291303 # miss rate for overall accesses
+system.l2c.overall_miss_rate::cpu7 0.286016 # miss rate for overall accesses
+system.l2c.overall_miss_rate::total 0.286481 # miss rate for overall accesses
+system.l2c.ReadReq_avg_miss_latency::cpu0 58782.963716 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu1 58841.103591 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu2 58115.733835 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu3 59028.440058 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu4 59021.408587 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu5 58177.186115 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu6 58864.668555 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::cpu7 58925.836826 # average ReadReq miss latency
+system.l2c.ReadReq_avg_miss_latency::total 58725.413550 # average ReadReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::cpu0 28273.385013 # average UpgradeReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::cpu1 28171.017581 # average UpgradeReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::cpu2 28015.808261 # average UpgradeReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::cpu3 28006.030414 # average UpgradeReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::cpu4 27821.965898 # average UpgradeReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::cpu5 28229.274611 # average UpgradeReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::cpu6 28349.142281 # average UpgradeReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::cpu7 29179.147166 # average UpgradeReq miss latency
+system.l2c.UpgradeReq_avg_miss_latency::total 28254.013798 # average UpgradeReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu0 53291.709327 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu1 53441.190855 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu2 53469.483063 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu3 53349.856061 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu4 53370.904662 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu5 53442.236140 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu6 53357.787824 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::cpu7 53252.243486 # average ReadExReq miss latency
+system.l2c.ReadExReq_avg_miss_latency::total 53371.914805 # average ReadExReq miss latency
+system.l2c.demand_avg_miss_latency::cpu0 54046.893812 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu1 54204.772266 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu2 54090.539698 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu3 54120.521032 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu4 54181.487582 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu5 54084.890738 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu6 54118.918951 # average overall miss latency
+system.l2c.demand_avg_miss_latency::cpu7 54009.478322 # average overall miss latency
+system.l2c.demand_avg_miss_latency::total 54107.573972 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu0 54046.893812 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu1 54204.772266 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu2 54090.539698 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu3 54120.521032 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu4 54181.487582 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu5 54084.890738 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu6 54118.918951 # average overall miss latency
+system.l2c.overall_avg_miss_latency::cpu7 54009.478322 # average overall miss latency
+system.l2c.overall_avg_miss_latency::total 54107.573972 # average overall miss latency
+system.l2c.blocked_cycles::no_mshrs 6195 # number of cycles access was blocked
system.l2c.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.l2c.blocked::no_mshrs 908 # number of cycles access was blocked
+system.l2c.blocked::no_mshrs 845 # number of cycles access was blocked
system.l2c.blocked::no_targets 0 # number of cycles access was blocked
-system.l2c.avg_blocked_cycles::no_mshrs 7.102423 # average number of cycles each access was blocked
+system.l2c.avg_blocked_cycles::no_mshrs 7.331361 # average number of cycles each access was blocked
system.l2c.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.l2c.fast_writes 0 # number of fast writes performed
system.l2c.cache_copies 0 # number of cache copies performed
-system.l2c.writebacks::writebacks 6534 # number of writebacks
-system.l2c.writebacks::total 6534 # number of writebacks
-system.l2c.ReadReq_mshr_hits::cpu0 3 # number of ReadReq MSHR hits
-system.l2c.ReadReq_mshr_hits::cpu1 4 # number of ReadReq MSHR hits
-system.l2c.ReadReq_mshr_hits::cpu2 3 # number of ReadReq MSHR hits
-system.l2c.ReadReq_mshr_hits::cpu3 2 # number of ReadReq MSHR hits
-system.l2c.ReadReq_mshr_hits::cpu4 2 # number of ReadReq MSHR hits
-system.l2c.ReadReq_mshr_hits::cpu5 2 # number of ReadReq MSHR hits
+system.l2c.writebacks::writebacks 5996 # number of writebacks
+system.l2c.writebacks::total 5996 # number of writebacks
+system.l2c.ReadReq_mshr_hits::cpu0 5 # number of ReadReq MSHR hits
+system.l2c.ReadReq_mshr_hits::cpu1 5 # number of ReadReq MSHR hits
+system.l2c.ReadReq_mshr_hits::cpu2 2 # number of ReadReq MSHR hits
+system.l2c.ReadReq_mshr_hits::cpu3 4 # number of ReadReq MSHR hits
+system.l2c.ReadReq_mshr_hits::cpu4 3 # number of ReadReq MSHR hits
+system.l2c.ReadReq_mshr_hits::cpu5 4 # number of ReadReq MSHR hits
system.l2c.ReadReq_mshr_hits::cpu6 3 # number of ReadReq MSHR hits
system.l2c.ReadReq_mshr_hits::cpu7 4 # number of ReadReq MSHR hits
-system.l2c.ReadReq_mshr_hits::total 23 # number of ReadReq MSHR hits
-system.l2c.UpgradeReq_mshr_hits::cpu1 2 # number of UpgradeReq MSHR hits
-system.l2c.UpgradeReq_mshr_hits::cpu3 1 # number of UpgradeReq MSHR hits
-system.l2c.UpgradeReq_mshr_hits::total 3 # number of UpgradeReq MSHR hits
-system.l2c.ReadExReq_mshr_hits::cpu0 3 # number of ReadExReq MSHR hits
-system.l2c.ReadExReq_mshr_hits::cpu2 2 # number of ReadExReq MSHR hits
-system.l2c.ReadExReq_mshr_hits::cpu4 1 # number of ReadExReq MSHR hits
+system.l2c.ReadReq_mshr_hits::total 30 # number of ReadReq MSHR hits
+system.l2c.UpgradeReq_mshr_hits::cpu7 1 # number of UpgradeReq MSHR hits
+system.l2c.UpgradeReq_mshr_hits::total 1 # number of UpgradeReq MSHR hits
+system.l2c.ReadExReq_mshr_hits::cpu0 2 # number of ReadExReq MSHR hits
+system.l2c.ReadExReq_mshr_hits::cpu2 1 # number of ReadExReq MSHR hits
+system.l2c.ReadExReq_mshr_hits::cpu5 1 # number of ReadExReq MSHR hits
system.l2c.ReadExReq_mshr_hits::cpu6 1 # number of ReadExReq MSHR hits
system.l2c.ReadExReq_mshr_hits::cpu7 1 # number of ReadExReq MSHR hits
-system.l2c.ReadExReq_mshr_hits::total 8 # number of ReadExReq MSHR hits
-system.l2c.demand_mshr_hits::cpu0 6 # number of demand (read+write) MSHR hits
-system.l2c.demand_mshr_hits::cpu1 4 # number of demand (read+write) MSHR hits
-system.l2c.demand_mshr_hits::cpu2 5 # number of demand (read+write) MSHR hits
-system.l2c.demand_mshr_hits::cpu3 2 # number of demand (read+write) MSHR hits
+system.l2c.ReadExReq_mshr_hits::total 6 # number of ReadExReq MSHR hits
+system.l2c.demand_mshr_hits::cpu0 7 # number of demand (read+write) MSHR hits
+system.l2c.demand_mshr_hits::cpu1 5 # number of demand (read+write) MSHR hits
+system.l2c.demand_mshr_hits::cpu2 3 # number of demand (read+write) MSHR hits
+system.l2c.demand_mshr_hits::cpu3 4 # number of demand (read+write) MSHR hits
system.l2c.demand_mshr_hits::cpu4 3 # number of demand (read+write) MSHR hits
-system.l2c.demand_mshr_hits::cpu5 2 # number of demand (read+write) MSHR hits
+system.l2c.demand_mshr_hits::cpu5 5 # number of demand (read+write) MSHR hits
system.l2c.demand_mshr_hits::cpu6 4 # number of demand (read+write) MSHR hits
system.l2c.demand_mshr_hits::cpu7 5 # number of demand (read+write) MSHR hits
-system.l2c.demand_mshr_hits::total 31 # number of demand (read+write) MSHR hits
-system.l2c.overall_mshr_hits::cpu0 6 # number of overall MSHR hits
-system.l2c.overall_mshr_hits::cpu1 4 # number of overall MSHR hits
-system.l2c.overall_mshr_hits::cpu2 5 # number of overall MSHR hits
-system.l2c.overall_mshr_hits::cpu3 2 # number of overall MSHR hits
+system.l2c.demand_mshr_hits::total 36 # number of demand (read+write) MSHR hits
+system.l2c.overall_mshr_hits::cpu0 7 # number of overall MSHR hits
+system.l2c.overall_mshr_hits::cpu1 5 # number of overall MSHR hits
+system.l2c.overall_mshr_hits::cpu2 3 # number of overall MSHR hits
+system.l2c.overall_mshr_hits::cpu3 4 # number of overall MSHR hits
system.l2c.overall_mshr_hits::cpu4 3 # number of overall MSHR hits
-system.l2c.overall_mshr_hits::cpu5 2 # number of overall MSHR hits
+system.l2c.overall_mshr_hits::cpu5 5 # number of overall MSHR hits
system.l2c.overall_mshr_hits::cpu6 4 # number of overall MSHR hits
system.l2c.overall_mshr_hits::cpu7 5 # number of overall MSHR hits
-system.l2c.overall_mshr_hits::total 31 # number of overall MSHR hits
-system.l2c.ReadReq_mshr_misses::cpu0 742 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu1 739 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu2 778 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu3 726 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu4 727 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu5 751 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu6 744 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::cpu7 716 # number of ReadReq MSHR misses
-system.l2c.ReadReq_mshr_misses::total 5923 # number of ReadReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::cpu0 1905 # number of UpgradeReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::cpu1 1883 # number of UpgradeReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::cpu2 1879 # number of UpgradeReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::cpu3 1904 # number of UpgradeReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::cpu4 1913 # number of UpgradeReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::cpu5 1875 # number of UpgradeReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::cpu6 1933 # number of UpgradeReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::cpu7 1894 # number of UpgradeReq MSHR misses
-system.l2c.UpgradeReq_mshr_misses::total 15186 # number of UpgradeReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::cpu0 4301 # number of ReadExReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::cpu1 4329 # number of ReadExReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::cpu2 4369 # number of ReadExReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::cpu3 4347 # number of ReadExReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::cpu4 4381 # number of ReadExReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::cpu5 4378 # number of ReadExReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::cpu6 4298 # number of ReadExReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::cpu7 4309 # number of ReadExReq MSHR misses
-system.l2c.ReadExReq_mshr_misses::total 34712 # number of ReadExReq MSHR misses
-system.l2c.demand_mshr_misses::cpu0 5043 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu1 5068 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu2 5147 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu3 5073 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu4 5108 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu5 5129 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu6 5042 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::cpu7 5025 # number of demand (read+write) MSHR misses
-system.l2c.demand_mshr_misses::total 40635 # number of demand (read+write) MSHR misses
-system.l2c.overall_mshr_misses::cpu0 5043 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu1 5068 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu2 5147 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu3 5073 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu4 5108 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu5 5129 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu6 5042 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::cpu7 5025 # number of overall MSHR misses
-system.l2c.overall_mshr_misses::total 40635 # number of overall MSHR misses
-system.l2c.ReadReq_mshr_miss_latency::cpu0 34436500 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu1 34879500 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu2 36206000 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu3 34201000 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu4 34228500 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu5 34696500 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu6 34883500 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::cpu7 33321000 # number of ReadReq MSHR miss cycles
-system.l2c.ReadReq_mshr_miss_latency::total 276852500 # number of ReadReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::cpu0 77532500 # number of UpgradeReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::cpu1 76774000 # number of UpgradeReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::cpu2 76435500 # number of UpgradeReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::cpu3 77640000 # number of UpgradeReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::cpu4 77802000 # number of UpgradeReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::cpu5 76297000 # number of UpgradeReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::cpu6 78806000 # number of UpgradeReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::cpu7 77127500 # number of UpgradeReq MSHR miss cycles
-system.l2c.UpgradeReq_mshr_miss_latency::total 618414500 # number of UpgradeReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::cpu0 177611500 # number of ReadExReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::cpu1 178666500 # number of ReadExReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::cpu2 180593500 # number of ReadExReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::cpu3 179431000 # number of ReadExReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::cpu4 180375000 # number of ReadExReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::cpu5 181189000 # number of ReadExReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::cpu6 177424500 # number of ReadExReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::cpu7 178121500 # number of ReadExReq MSHR miss cycles
-system.l2c.ReadExReq_mshr_miss_latency::total 1433412500 # number of ReadExReq MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu0 212048000 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu1 213546000 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu2 216799500 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu3 213632000 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu4 214603500 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu5 215885500 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu6 212308000 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::cpu7 211442500 # number of demand (read+write) MSHR miss cycles
-system.l2c.demand_mshr_miss_latency::total 1710265000 # number of demand (read+write) MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu0 212048000 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu1 213546000 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu2 216799500 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu3 213632000 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu4 214603500 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu5 215885500 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu6 212308000 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::cpu7 211442500 # number of overall MSHR miss cycles
-system.l2c.overall_mshr_miss_latency::total 1710265000 # number of overall MSHR miss cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu0 408522500 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu1 408718000 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu2 409944000 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu3 402597000 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu4 406388000 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu5 405037500 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu6 409992000 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::cpu7 408869000 # number of ReadReq MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_uncacheable_latency::total 3260068000 # number of ReadReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::cpu0 227084000 # number of WriteReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::cpu1 222076000 # number of WriteReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::cpu2 221597500 # number of WriteReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::cpu3 223921500 # number of WriteReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::cpu4 226980000 # number of WriteReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::cpu5 223544500 # number of WriteReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::cpu6 219891000 # number of WriteReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::cpu7 221144500 # number of WriteReq MSHR uncacheable cycles
-system.l2c.WriteReq_mshr_uncacheable_latency::total 1786239000 # number of WriteReq MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu0 635606500 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu1 630794000 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu2 631541500 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu3 626518500 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu4 633368000 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu5 628582000 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu6 629883000 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::cpu7 630013500 # number of overall MSHR uncacheable cycles
-system.l2c.overall_mshr_uncacheable_latency::total 5046307000 # number of overall MSHR uncacheable cycles
-system.l2c.ReadReq_mshr_miss_rate::cpu0 0.064382 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu1 0.064044 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu2 0.067005 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu3 0.063010 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu4 0.063372 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu5 0.064982 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu6 0.065109 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::cpu7 0.061570 # mshr miss rate for ReadReq accesses
-system.l2c.ReadReq_mshr_miss_rate::total 0.064184 # mshr miss rate for ReadReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::cpu0 0.852349 # mshr miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::cpu1 0.849346 # mshr miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::cpu2 0.832152 # mshr miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::cpu3 0.839506 # mshr miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::cpu4 0.842731 # mshr miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::cpu5 0.838176 # mshr miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::cpu6 0.859111 # mshr miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::cpu7 0.839167 # mshr miss rate for UpgradeReq accesses
-system.l2c.UpgradeReq_mshr_miss_rate::total 0.844042 # mshr miss rate for UpgradeReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::cpu0 0.699122 # mshr miss rate for ReadExReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::cpu1 0.698226 # mshr miss rate for ReadExReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::cpu2 0.703429 # mshr miss rate for ReadExReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::cpu3 0.700564 # mshr miss rate for ReadExReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::cpu4 0.702083 # mshr miss rate for ReadExReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::cpu5 0.698134 # mshr miss rate for ReadExReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::cpu6 0.694009 # mshr miss rate for ReadExReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::cpu7 0.702249 # mshr miss rate for ReadExReq accesses
-system.l2c.ReadExReq_mshr_miss_rate::total 0.699726 # mshr miss rate for ReadExReq accesses
-system.l2c.demand_mshr_miss_rate::cpu0 0.285286 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu1 0.285698 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu2 0.288800 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu3 0.286174 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu4 0.288392 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu5 0.287694 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu6 0.286152 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::cpu7 0.282860 # mshr miss rate for demand accesses
-system.l2c.demand_mshr_miss_rate::total 0.286384 # mshr miss rate for demand accesses
-system.l2c.overall_mshr_miss_rate::cpu0 0.285286 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu1 0.285698 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu2 0.288800 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu3 0.286174 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu4 0.288392 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu5 0.287694 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu6 0.286152 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::cpu7 0.282860 # mshr miss rate for overall accesses
-system.l2c.overall_mshr_miss_rate::total 0.286384 # mshr miss rate for overall accesses
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu0 46410.377358 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu1 47198.240866 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu2 46537.275064 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu3 47108.815427 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu4 47081.843191 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu5 46200.399467 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu6 46886.424731 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::cpu7 46537.709497 # average ReadReq mshr miss latency
-system.l2c.ReadReq_avg_mshr_miss_latency::total 46741.938207 # average ReadReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu0 40699.475066 # average UpgradeReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu1 40772.172066 # average UpgradeReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu2 40678.818520 # average UpgradeReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu3 40777.310924 # average UpgradeReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu4 40670.151594 # average UpgradeReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu5 40691.733333 # average UpgradeReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu6 40768.753233 # average UpgradeReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu7 40722.016895 # average UpgradeReq mshr miss latency
-system.l2c.UpgradeReq_avg_mshr_miss_latency::total 40722.672198 # average UpgradeReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::cpu0 41295.396419 # average ReadExReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::cpu1 41272.002772 # average ReadExReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::cpu2 41335.202564 # average ReadExReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::cpu3 41276.972625 # average ReadExReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::cpu4 41172.106825 # average ReadExReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::cpu5 41386.249429 # average ReadExReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::cpu6 41280.711959 # average ReadExReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::cpu7 41337.085171 # average ReadExReq mshr miss latency
-system.l2c.ReadExReq_avg_mshr_miss_latency::total 41294.437082 # average ReadExReq mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu0 42047.987309 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu1 42136.148382 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu2 42121.527103 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu3 42111.571062 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu4 42013.214565 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu5 42091.148372 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu6 42107.893693 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::cpu7 42078.109453 # average overall mshr miss latency
-system.l2c.demand_avg_mshr_miss_latency::total 42088.470530 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu0 42047.987309 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu1 42136.148382 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu2 42121.527103 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu3 42111.571062 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu4 42013.214565 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu5 42091.148372 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu6 42107.893693 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::cpu7 42078.109453 # average overall mshr miss latency
-system.l2c.overall_avg_mshr_miss_latency::total 42088.470530 # average overall mshr miss latency
+system.l2c.overall_mshr_hits::total 36 # number of overall MSHR hits
+system.l2c.ReadReq_mshr_misses::cpu0 684 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu1 719 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu2 663 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu3 680 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu4 719 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu5 673 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu6 703 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::cpu7 664 # number of ReadReq MSHR misses
+system.l2c.ReadReq_mshr_misses::total 5505 # number of ReadReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::cpu0 1935 # number of UpgradeReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::cpu1 1877 # number of UpgradeReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::cpu2 1961 # number of UpgradeReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::cpu3 1907 # number of UpgradeReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::cpu4 1994 # number of UpgradeReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::cpu5 1930 # number of UpgradeReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::cpu6 1982 # number of UpgradeReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::cpu7 1922 # number of UpgradeReq MSHR misses
+system.l2c.UpgradeReq_mshr_misses::total 15508 # number of UpgradeReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::cpu0 4319 # number of ReadExReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::cpu1 4396 # number of ReadExReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::cpu2 4309 # number of ReadExReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::cpu3 4356 # number of ReadExReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::cpu4 4311 # number of ReadExReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::cpu5 4310 # number of ReadExReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::cpu6 4401 # number of ReadExReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::cpu7 4336 # number of ReadExReq MSHR misses
+system.l2c.ReadExReq_mshr_misses::total 34738 # number of ReadExReq MSHR misses
+system.l2c.demand_mshr_misses::cpu0 5003 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu1 5115 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu2 4972 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu3 5036 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu4 5030 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu5 4983 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu6 5104 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::cpu7 5000 # number of demand (read+write) MSHR misses
+system.l2c.demand_mshr_misses::total 40243 # number of demand (read+write) MSHR misses
+system.l2c.overall_mshr_misses::cpu0 5003 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu1 5115 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu2 4972 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu3 5036 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu4 5030 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu5 4983 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu6 5104 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::cpu7 5000 # number of overall MSHR misses
+system.l2c.overall_mshr_misses::total 40243 # number of overall MSHR misses
+system.l2c.ReadReq_mshr_miss_latency::cpu0 32025962 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu1 33726459 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu2 30538463 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu3 31944953 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu4 33774957 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu5 31159456 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu6 32933456 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::cpu7 31147460 # number of ReadReq MSHR miss cycles
+system.l2c.ReadReq_mshr_miss_latency::total 257251166 # number of ReadReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::cpu0 78877000 # number of UpgradeReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::cpu1 76396500 # number of UpgradeReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::cpu2 79743000 # number of UpgradeReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::cpu3 77708000 # number of UpgradeReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::cpu4 81134500 # number of UpgradeReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::cpu5 78512000 # number of UpgradeReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::cpu6 80594000 # number of UpgradeReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::cpu7 78369500 # number of UpgradeReq MSHR miss cycles
+system.l2c.UpgradeReq_mshr_miss_latency::total 631334500 # number of UpgradeReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::cpu0 177684976 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::cpu1 181467975 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::cpu2 178041472 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::cpu3 179439473 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::cpu4 177646470 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::cpu5 177921480 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::cpu6 181358982 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::cpu7 178204480 # number of ReadExReq MSHR miss cycles
+system.l2c.ReadExReq_mshr_miss_latency::total 1431765308 # number of ReadExReq MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu0 209710938 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu1 215194434 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu2 208579935 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu3 211384426 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu4 211421427 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu5 209080936 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu6 214292438 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::cpu7 209351940 # number of demand (read+write) MSHR miss cycles
+system.l2c.demand_mshr_miss_latency::total 1689016474 # number of demand (read+write) MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu0 209710938 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu1 215194434 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu2 208579935 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu3 211384426 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu4 211421427 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu5 209080936 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu6 214292438 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::cpu7 209351940 # number of overall MSHR miss cycles
+system.l2c.overall_mshr_miss_latency::total 1689016474 # number of overall MSHR miss cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu0 409314490 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu1 398776491 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu2 396170985 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu3 396900494 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu4 410851489 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu5 396740988 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu6 397751989 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::cpu7 402422989 # number of ReadReq MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_uncacheable_latency::total 3208929915 # number of ReadReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::cpu0 221607995 # number of WriteReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::cpu1 223708999 # number of WriteReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::cpu2 220357996 # number of WriteReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::cpu3 224036997 # number of WriteReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::cpu4 228210498 # number of WriteReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::cpu5 226077994 # number of WriteReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::cpu6 220622999 # number of WriteReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::cpu7 228291489 # number of WriteReq MSHR uncacheable cycles
+system.l2c.WriteReq_mshr_uncacheable_latency::total 1792914967 # number of WriteReq MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu0 630922485 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu1 622485490 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu2 616528981 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu3 620937491 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu4 639061987 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu5 622818982 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu6 618374988 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::cpu7 630714478 # number of overall MSHR uncacheable cycles
+system.l2c.overall_mshr_uncacheable_latency::total 5001844882 # number of overall MSHR uncacheable cycles
+system.l2c.ReadReq_mshr_miss_rate::cpu0 0.060238 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu1 0.063142 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu2 0.058939 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu3 0.059430 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu4 0.063460 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu5 0.059621 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu6 0.062251 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::cpu7 0.059328 # mshr miss rate for ReadReq accesses
+system.l2c.ReadReq_mshr_miss_rate::total 0.060805 # mshr miss rate for ReadReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::cpu0 0.845717 # mshr miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::cpu1 0.829064 # mshr miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::cpu2 0.840909 # mshr miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::cpu3 0.851339 # mshr miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::cpu4 0.864326 # mshr miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::cpu5 0.847606 # mshr miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::cpu6 0.848459 # mshr miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::cpu7 0.844464 # mshr miss rate for UpgradeReq accesses
+system.l2c.UpgradeReq_mshr_miss_rate::total 0.846507 # mshr miss rate for UpgradeReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::cpu0 0.698415 # mshr miss rate for ReadExReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::cpu1 0.696231 # mshr miss rate for ReadExReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::cpu2 0.691099 # mshr miss rate for ReadExReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::cpu3 0.681477 # mshr miss rate for ReadExReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::cpu4 0.697122 # mshr miss rate for ReadExReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::cpu5 0.694601 # mshr miss rate for ReadExReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::cpu6 0.705062 # mshr miss rate for ReadExReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::cpu7 0.687490 # mshr miss rate for ReadExReq accesses
+system.l2c.ReadExReq_mshr_miss_rate::total 0.693886 # mshr miss rate for ReadExReq accesses
+system.l2c.demand_mshr_miss_rate::cpu0 0.285250 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu1 0.288967 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu2 0.284374 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu3 0.282382 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu4 0.287199 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu5 0.284857 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu6 0.291075 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::cpu7 0.285731 # mshr miss rate for demand accesses
+system.l2c.demand_mshr_miss_rate::total 0.286225 # mshr miss rate for demand accesses
+system.l2c.overall_mshr_miss_rate::cpu0 0.285250 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu1 0.288967 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu2 0.284374 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu3 0.282382 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu4 0.287199 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu5 0.284857 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu6 0.291075 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::cpu7 0.285731 # mshr miss rate for overall accesses
+system.l2c.overall_mshr_miss_rate::total 0.286225 # mshr miss rate for overall accesses
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu0 46821.581871 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu1 46907.453408 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu2 46061.030166 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu3 46977.872059 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu4 46974.905424 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu5 46299.340267 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu6 46847.021337 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::cpu7 46908.825301 # average ReadReq mshr miss latency
+system.l2c.ReadReq_avg_mshr_miss_latency::total 46730.457039 # average ReadReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu0 40763.307494 # average UpgradeReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu1 40701.385189 # average UpgradeReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu2 40664.456910 # average UpgradeReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu3 40748.820136 # average UpgradeReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu4 40689.317954 # average UpgradeReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu5 40679.792746 # average UpgradeReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu6 40662.966700 # average UpgradeReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::cpu7 40774.973985 # average UpgradeReq mshr miss latency
+system.l2c.UpgradeReq_avg_mshr_miss_latency::total 40710.246324 # average UpgradeReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::cpu0 41140.304700 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::cpu1 41280.249090 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::cpu2 41318.512880 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::cpu3 41193.634757 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::cpu4 41207.717467 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::cpu5 41281.085847 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::cpu6 41208.584867 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::cpu7 41098.819188 # average ReadExReq mshr miss latency
+system.l2c.ReadExReq_avg_mshr_miss_latency::total 41216.112269 # average ReadExReq mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu0 41917.037378 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu1 42071.248094 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu2 41950.912108 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu3 41974.667593 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu4 42032.092843 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu5 41958.847281 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu6 41985.195533 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::cpu7 41870.388000 # average overall mshr miss latency
+system.l2c.demand_avg_mshr_miss_latency::total 41970.441418 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu0 41917.037378 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu1 42071.248094 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu2 41950.912108 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu3 41974.667593 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu4 42032.092843 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu5 41958.847281 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu6 41985.195533 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::cpu7 41870.388000 # average overall mshr miss latency
+system.l2c.overall_avg_mshr_miss_latency::total 41970.441418 # average overall mshr miss latency
system.l2c.ReadReq_avg_mshr_uncacheable_latency::cpu0 inf # average ReadReq mshr uncacheable latency
system.l2c.ReadReq_avg_mshr_uncacheable_latency::cpu1 inf # average ReadReq mshr uncacheable latency
system.l2c.ReadReq_avg_mshr_uncacheable_latency::cpu2 inf # average ReadReq mshr uncacheable latency
@@ -701,194 +697,189 @@ system.l2c.overall_avg_mshr_uncacheable_latency::cpu6 inf
system.l2c.overall_avg_mshr_uncacheable_latency::cpu7 inf # average overall mshr uncacheable latency
system.l2c.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.l2c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.funcbus.throughput 0 # Throughput (bytes/s)
-system.funcbus.data_through_bus 0 # Total data (bytes)
-system.toL2Bus.snoop_filter.tot_requests 548567 # Total number of requests made to the snoop filter.
-system.toL2Bus.snoop_filter.hit_single_requests 252509 # Number of requests hitting in the snoop filter with a single holder of the requested data.
-system.toL2Bus.snoop_filter.hit_multi_requests 294010 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
+system.toL2Bus.snoop_filter.tot_requests 556652 # Total number of requests made to the snoop filter.
+system.toL2Bus.snoop_filter.hit_single_requests 259205 # Number of requests hitting in the snoop filter with a single holder of the requested data.
+system.toL2Bus.snoop_filter.hit_multi_requests 295399 # Number of requests hitting in the snoop filter with multiple (>1) holders of the requested data.
system.toL2Bus.snoop_filter.tot_snoops 0 # Total number of snoops made to the snoop filter.
system.toL2Bus.snoop_filter.hit_single_snoops 0 # Number of snoops hitting in the snoop filter with a single holder of the requested data.
system.toL2Bus.snoop_filter.hit_multi_snoops 0 # Number of snoops hitting in the snoop filter with multiple (>1) holders of the requested data.
-system.toL2Bus.throughput 22759385654 # Throughput (bytes/s)
-system.toL2Bus.trans_dist::ReadReq 368934 # Transaction distribution
-system.toL2Bus.trans_dist::ReadResp 368931 # Transaction distribution
-system.toL2Bus.trans_dist::WriteReq 42843 # Transaction distribution
-system.toL2Bus.trans_dist::WriteResp 42841 # Transaction distribution
-system.toL2Bus.trans_dist::Writeback 74514 # Transaction distribution
-system.toL2Bus.trans_dist::UpgradeReq 28540 # Transaction distribution
-system.toL2Bus.trans_dist::UpgradeResp 28540 # Transaction distribution
-system.toL2Bus.trans_dist::ReadExReq 155707 # Transaction distribution
-system.toL2Bus.trans_dist::ReadExResp 155704 # Transaction distribution
-system.toL2Bus.pkt_count_system.cpu0.l1c.mem_side::system.l2c.cpu_side 118962 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu1.l1c.mem_side::system.l2c.cpu_side 119173 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu2.l1c.mem_side::system.l2c.cpu_side 119464 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu3.l1c.mem_side::system.l2c.cpu_side 119154 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu4.l1c.mem_side::system.l2c.cpu_side 118978 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu5.l1c.mem_side::system.l2c.cpu_side 119360 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu6.l1c.mem_side::system.l2c.cpu_side 119013 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count_system.cpu7.l1c.mem_side::system.l2c.cpu_side 118881 # Packet count per connected master and slave (bytes)
-system.toL2Bus.pkt_count::total 952985 # Packet count per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu0.l1c.mem_side::system.l2c.cpu_side 1734762 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu1.l1c.mem_side::system.l2c.cpu_side 1749843 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu2.l1c.mem_side::system.l2c.cpu_side 1754305 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu3.l1c.mem_side::system.l2c.cpu_side 1751569 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu4.l1c.mem_side::system.l2c.cpu_side 1739334 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu5.l1c.mem_side::system.l2c.cpu_side 1759819 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu6.l1c.mem_side::system.l2c.cpu_side 1742411 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu7.l1c.mem_side::system.l2c.cpu_side 1740191 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size::total 13972234 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.data_through_bus 13972234 # Total data (bytes)
-system.toL2Bus.snoop_data_through_bus 19393344 # Total snoop data (bytes)
-system.toL2Bus.snoops_through_bus 313569 # Total snoops (count)
-system.toL2Bus.snoop_fanout::samples 548567 # Request fanout histogram
-system.toL2Bus.snoop_fanout::mean 1.700997 # Request fanout histogram
-system.toL2Bus.snoop_fanout::stdev 1.184770 # Request fanout histogram
+system.toL2Bus.trans_dist::ReadReq 369106 # Transaction distribution
+system.toL2Bus.trans_dist::ReadResp 369100 # Transaction distribution
+system.toL2Bus.trans_dist::WriteReq 43299 # Transaction distribution
+system.toL2Bus.trans_dist::WriteResp 43298 # Transaction distribution
+system.toL2Bus.trans_dist::Writeback 74943 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeReq 29164 # Transaction distribution
+system.toL2Bus.trans_dist::UpgradeResp 29164 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExReq 161428 # Transaction distribution
+system.toL2Bus.trans_dist::ReadExResp 161427 # Transaction distribution
+system.toL2Bus.pkt_count_system.cpu0.l1c.mem_side::system.l2c.cpu_side 120001 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu1.l1c.mem_side::system.l2c.cpu_side 119693 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu2.l1c.mem_side::system.l2c.cpu_side 119622 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu3.l1c.mem_side::system.l2c.cpu_side 120026 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu4.l1c.mem_side::system.l2c.cpu_side 120574 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu5.l1c.mem_side::system.l2c.cpu_side 119580 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu6.l1c.mem_side::system.l2c.cpu_side 119572 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count_system.cpu7.l1c.mem_side::system.l2c.cpu_side 119681 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_count::total 958749 # Packet count per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu0.l1c.mem_side::system.l2c.cpu_side 1736824 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.l1c.mem_side::system.l2c.cpu_side 1745103 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu2.l1c.mem_side::system.l2c.cpu_side 1743099 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu3.l1c.mem_side::system.l2c.cpu_side 1764010 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu4.l1c.mem_side::system.l2c.cpu_side 1727854 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu5.l1c.mem_side::system.l2c.cpu_side 1727156 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu6.l1c.mem_side::system.l2c.cpu_side 1741472 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu7.l1c.mem_side::system.l2c.cpu_side 1730998 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size::total 13916516 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.snoops 322180 # Total snoops (count)
+system.toL2Bus.snoop_fanout::samples 556652 # Request fanout histogram
+system.toL2Bus.snoop_fanout::mean 1.686233 # Request fanout histogram
+system.toL2Bus.snoop_fanout::stdev 1.173674 # Request fanout histogram
system.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
-system.toL2Bus.snoop_fanout::0 51420 9.37% 9.37% # Request fanout histogram
-system.toL2Bus.snoop_fanout::1 243442 44.38% 53.75% # Request fanout histogram
-system.toL2Bus.snoop_fanout::2 138727 25.29% 79.04% # Request fanout histogram
-system.toL2Bus.snoop_fanout::3 68606 12.51% 91.55% # Request fanout histogram
-system.toL2Bus.snoop_fanout::4 30441 5.55% 97.10% # Request fanout histogram
-system.toL2Bus.snoop_fanout::5 11622 2.12% 99.21% # Request fanout histogram
-system.toL2Bus.snoop_fanout::6 3640 0.66% 99.88% # Request fanout histogram
-system.toL2Bus.snoop_fanout::7 669 0.12% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::0 52178 9.37% 9.37% # Request fanout histogram
+system.toL2Bus.snoop_fanout::1 250105 44.93% 54.30% # Request fanout histogram
+system.toL2Bus.snoop_fanout::2 140101 25.17% 79.47% # Request fanout histogram
+system.toL2Bus.snoop_fanout::3 69083 12.41% 91.88% # Request fanout histogram
+system.toL2Bus.snoop_fanout::4 29746 5.34% 97.23% # Request fanout histogram
+system.toL2Bus.snoop_fanout::5 11224 2.02% 99.24% # Request fanout histogram
+system.toL2Bus.snoop_fanout::6 3520 0.63% 99.88% # Request fanout histogram
+system.toL2Bus.snoop_fanout::7 695 0.12% 100.00% # Request fanout histogram
system.toL2Bus.snoop_fanout::8 0 0.00% 100.00% # Request fanout histogram
system.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
system.toL2Bus.snoop_fanout::min_value 0 # Request fanout histogram
system.toL2Bus.snoop_fanout::max_value 7 # Request fanout histogram
-system.toL2Bus.snoop_fanout::total 548567 # Request fanout histogram
-system.toL2Bus.reqLayer0.occupancy 1466016000 # Layer occupancy (ticks)
-system.toL2Bus.reqLayer0.utilization 100.0 # Layer utilization (%)
-system.toL2Bus.respLayer0.occupancy 156116317 # Layer occupancy (ticks)
-system.toL2Bus.respLayer0.utilization 10.6 # Layer utilization (%)
-system.toL2Bus.respLayer1.occupancy 156768205 # Layer occupancy (ticks)
+system.toL2Bus.snoop_fanout::total 556652 # Request fanout histogram
+system.toL2Bus.reqLayer0.occupancy 1484170768 # Layer occupancy (ticks)
+system.toL2Bus.reqLayer0.utilization 99.8 # Layer utilization (%)
+system.toL2Bus.respLayer0.occupancy 158821901 # Layer occupancy (ticks)
+system.toL2Bus.respLayer0.utilization 10.7 # Layer utilization (%)
+system.toL2Bus.respLayer1.occupancy 158474081 # Layer occupancy (ticks)
system.toL2Bus.respLayer1.utilization 10.7 # Layer utilization (%)
-system.toL2Bus.respLayer2.occupancy 156913339 # Layer occupancy (ticks)
+system.toL2Bus.respLayer2.occupancy 158663989 # Layer occupancy (ticks)
system.toL2Bus.respLayer2.utilization 10.7 # Layer utilization (%)
-system.toL2Bus.respLayer3.occupancy 156965244 # Layer occupancy (ticks)
+system.toL2Bus.respLayer3.occupancy 158858134 # Layer occupancy (ticks)
system.toL2Bus.respLayer3.utilization 10.7 # Layer utilization (%)
-system.toL2Bus.respLayer4.occupancy 156103724 # Layer occupancy (ticks)
-system.toL2Bus.respLayer4.utilization 10.6 # Layer utilization (%)
-system.toL2Bus.respLayer5.occupancy 156986709 # Layer occupancy (ticks)
+system.toL2Bus.respLayer4.occupancy 159553082 # Layer occupancy (ticks)
+system.toL2Bus.respLayer4.utilization 10.7 # Layer utilization (%)
+system.toL2Bus.respLayer5.occupancy 158926155 # Layer occupancy (ticks)
system.toL2Bus.respLayer5.utilization 10.7 # Layer utilization (%)
-system.toL2Bus.respLayer6.occupancy 156696078 # Layer occupancy (ticks)
+system.toL2Bus.respLayer6.occupancy 158642094 # Layer occupancy (ticks)
system.toL2Bus.respLayer6.utilization 10.7 # Layer utilization (%)
-system.toL2Bus.respLayer7.occupancy 156271715 # Layer occupancy (ticks)
-system.toL2Bus.respLayer7.utilization 10.7 # Layer utilization (%)
-system.cpu0.num_reads 99418 # number of read accesses completed
-system.cpu0.num_writes 53245 # number of write accesses completed
+system.toL2Bus.respLayer7.occupancy 158326604 # Layer occupancy (ticks)
+system.toL2Bus.respLayer7.utilization 10.6 # Layer utilization (%)
+system.cpu0.num_reads 99884 # number of read accesses completed
+system.cpu0.num_writes 54722 # number of write accesses completed
system.cpu0.num_copies 0 # number of copy accesses completed
-system.cpu0.l1c.tags.replacements 22099 # number of replacements
-system.cpu0.l1c.tags.tagsinuse 397.065512 # Cycle average of tags in use
-system.cpu0.l1c.tags.total_refs 13209 # Total number of references to valid blocks.
-system.cpu0.l1c.tags.sampled_refs 22488 # Sample count of references to valid blocks.
-system.cpu0.l1c.tags.avg_refs 0.587380 # Average number of references to valid blocks.
+system.cpu0.l1c.tags.replacements 22159 # number of replacements
+system.cpu0.l1c.tags.tagsinuse 396.508288 # Cycle average of tags in use
+system.cpu0.l1c.tags.total_refs 13572 # Total number of references to valid blocks.
+system.cpu0.l1c.tags.sampled_refs 22560 # Sample count of references to valid blocks.
+system.cpu0.l1c.tags.avg_refs 0.601596 # Average number of references to valid blocks.
system.cpu0.l1c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu0.l1c.tags.occ_blocks::cpu0 397.065512 # Average occupied blocks per requestor
-system.cpu0.l1c.tags.occ_percent::cpu0 0.775519 # Average percentage of cache occupancy
-system.cpu0.l1c.tags.occ_percent::total 0.775519 # Average percentage of cache occupancy
-system.cpu0.l1c.tags.occ_task_id_blocks::1024 389 # Occupied blocks per task id
-system.cpu0.l1c.tags.age_task_id_blocks_1024::0 259 # Occupied blocks per task id
-system.cpu0.l1c.tags.age_task_id_blocks_1024::1 130 # Occupied blocks per task id
-system.cpu0.l1c.tags.occ_task_id_percent::1024 0.759766 # Percentage of cache occupancy per task id
-system.cpu0.l1c.tags.tag_accesses 330123 # Number of tag accesses
-system.cpu0.l1c.tags.data_accesses 330123 # Number of data accesses
-system.cpu0.l1c.ReadReq_hits::cpu0 8700 # number of ReadReq hits
-system.cpu0.l1c.ReadReq_hits::total 8700 # number of ReadReq hits
-system.cpu0.l1c.WriteReq_hits::cpu0 1042 # number of WriteReq hits
-system.cpu0.l1c.WriteReq_hits::total 1042 # number of WriteReq hits
-system.cpu0.l1c.demand_hits::cpu0 9742 # number of demand (read+write) hits
-system.cpu0.l1c.demand_hits::total 9742 # number of demand (read+write) hits
-system.cpu0.l1c.overall_hits::cpu0 9742 # number of overall hits
-system.cpu0.l1c.overall_hits::total 9742 # number of overall hits
-system.cpu0.l1c.ReadReq_misses::cpu0 35979 # number of ReadReq misses
-system.cpu0.l1c.ReadReq_misses::total 35979 # number of ReadReq misses
-system.cpu0.l1c.WriteReq_misses::cpu0 22956 # number of WriteReq misses
-system.cpu0.l1c.WriteReq_misses::total 22956 # number of WriteReq misses
-system.cpu0.l1c.demand_misses::cpu0 58935 # number of demand (read+write) misses
-system.cpu0.l1c.demand_misses::total 58935 # number of demand (read+write) misses
-system.cpu0.l1c.overall_misses::cpu0 58935 # number of overall misses
-system.cpu0.l1c.overall_misses::total 58935 # number of overall misses
-system.cpu0.l1c.ReadReq_miss_latency::cpu0 2431275055 # number of ReadReq miss cycles
-system.cpu0.l1c.ReadReq_miss_latency::total 2431275055 # number of ReadReq miss cycles
-system.cpu0.l1c.WriteReq_miss_latency::cpu0 1798190271 # number of WriteReq miss cycles
-system.cpu0.l1c.WriteReq_miss_latency::total 1798190271 # number of WriteReq miss cycles
-system.cpu0.l1c.demand_miss_latency::cpu0 4229465326 # number of demand (read+write) miss cycles
-system.cpu0.l1c.demand_miss_latency::total 4229465326 # number of demand (read+write) miss cycles
-system.cpu0.l1c.overall_miss_latency::cpu0 4229465326 # number of overall miss cycles
-system.cpu0.l1c.overall_miss_latency::total 4229465326 # number of overall miss cycles
-system.cpu0.l1c.ReadReq_accesses::cpu0 44679 # number of ReadReq accesses(hits+misses)
-system.cpu0.l1c.ReadReq_accesses::total 44679 # number of ReadReq accesses(hits+misses)
-system.cpu0.l1c.WriteReq_accesses::cpu0 23998 # number of WriteReq accesses(hits+misses)
-system.cpu0.l1c.WriteReq_accesses::total 23998 # number of WriteReq accesses(hits+misses)
-system.cpu0.l1c.demand_accesses::cpu0 68677 # number of demand (read+write) accesses
-system.cpu0.l1c.demand_accesses::total 68677 # number of demand (read+write) accesses
-system.cpu0.l1c.overall_accesses::cpu0 68677 # number of overall (read+write) accesses
-system.cpu0.l1c.overall_accesses::total 68677 # number of overall (read+write) accesses
-system.cpu0.l1c.ReadReq_miss_rate::cpu0 0.805278 # miss rate for ReadReq accesses
-system.cpu0.l1c.ReadReq_miss_rate::total 0.805278 # miss rate for ReadReq accesses
-system.cpu0.l1c.WriteReq_miss_rate::cpu0 0.956580 # miss rate for WriteReq accesses
-system.cpu0.l1c.WriteReq_miss_rate::total 0.956580 # miss rate for WriteReq accesses
-system.cpu0.l1c.demand_miss_rate::cpu0 0.858148 # miss rate for demand accesses
-system.cpu0.l1c.demand_miss_rate::total 0.858148 # miss rate for demand accesses
-system.cpu0.l1c.overall_miss_rate::cpu0 0.858148 # miss rate for overall accesses
-system.cpu0.l1c.overall_miss_rate::total 0.858148 # miss rate for overall accesses
-system.cpu0.l1c.ReadReq_avg_miss_latency::cpu0 67574.836849 # average ReadReq miss latency
-system.cpu0.l1c.ReadReq_avg_miss_latency::total 67574.836849 # average ReadReq miss latency
-system.cpu0.l1c.WriteReq_avg_miss_latency::cpu0 78332.038291 # average WriteReq miss latency
-system.cpu0.l1c.WriteReq_avg_miss_latency::total 78332.038291 # average WriteReq miss latency
-system.cpu0.l1c.demand_avg_miss_latency::cpu0 71764.916026 # average overall miss latency
-system.cpu0.l1c.demand_avg_miss_latency::total 71764.916026 # average overall miss latency
-system.cpu0.l1c.overall_avg_miss_latency::cpu0 71764.916026 # average overall miss latency
-system.cpu0.l1c.overall_avg_miss_latency::total 71764.916026 # average overall miss latency
-system.cpu0.l1c.blocked_cycles::no_mshrs 2152877 # number of cycles access was blocked
+system.cpu0.l1c.tags.occ_blocks::cpu0 396.508288 # Average occupied blocks per requestor
+system.cpu0.l1c.tags.occ_percent::cpu0 0.774430 # Average percentage of cache occupancy
+system.cpu0.l1c.tags.occ_percent::total 0.774430 # Average percentage of cache occupancy
+system.cpu0.l1c.tags.occ_task_id_blocks::1024 401 # Occupied blocks per task id
+system.cpu0.l1c.tags.age_task_id_blocks_1024::0 266 # Occupied blocks per task id
+system.cpu0.l1c.tags.age_task_id_blocks_1024::1 135 # Occupied blocks per task id
+system.cpu0.l1c.tags.occ_task_id_percent::1024 0.783203 # Percentage of cache occupancy per task id
+system.cpu0.l1c.tags.tag_accesses 336605 # Number of tag accesses
+system.cpu0.l1c.tags.data_accesses 336605 # Number of data accesses
+system.cpu0.l1c.ReadReq_hits::cpu0 8851 # number of ReadReq hits
+system.cpu0.l1c.ReadReq_hits::total 8851 # number of ReadReq hits
+system.cpu0.l1c.WriteReq_hits::cpu0 1088 # number of WriteReq hits
+system.cpu0.l1c.WriteReq_hits::total 1088 # number of WriteReq hits
+system.cpu0.l1c.demand_hits::cpu0 9939 # number of demand (read+write) hits
+system.cpu0.l1c.demand_hits::total 9939 # number of demand (read+write) hits
+system.cpu0.l1c.overall_hits::cpu0 9939 # number of overall hits
+system.cpu0.l1c.overall_hits::total 9939 # number of overall hits
+system.cpu0.l1c.ReadReq_misses::cpu0 36351 # number of ReadReq misses
+system.cpu0.l1c.ReadReq_misses::total 36351 # number of ReadReq misses
+system.cpu0.l1c.WriteReq_misses::cpu0 23761 # number of WriteReq misses
+system.cpu0.l1c.WriteReq_misses::total 23761 # number of WriteReq misses
+system.cpu0.l1c.demand_misses::cpu0 60112 # number of demand (read+write) misses
+system.cpu0.l1c.demand_misses::total 60112 # number of demand (read+write) misses
+system.cpu0.l1c.overall_misses::cpu0 60112 # number of overall misses
+system.cpu0.l1c.overall_misses::total 60112 # number of overall misses
+system.cpu0.l1c.ReadReq_miss_latency::cpu0 2463515507 # number of ReadReq miss cycles
+system.cpu0.l1c.ReadReq_miss_latency::total 2463515507 # number of ReadReq miss cycles
+system.cpu0.l1c.WriteReq_miss_latency::cpu0 1861288603 # number of WriteReq miss cycles
+system.cpu0.l1c.WriteReq_miss_latency::total 1861288603 # number of WriteReq miss cycles
+system.cpu0.l1c.demand_miss_latency::cpu0 4324804110 # number of demand (read+write) miss cycles
+system.cpu0.l1c.demand_miss_latency::total 4324804110 # number of demand (read+write) miss cycles
+system.cpu0.l1c.overall_miss_latency::cpu0 4324804110 # number of overall miss cycles
+system.cpu0.l1c.overall_miss_latency::total 4324804110 # number of overall miss cycles
+system.cpu0.l1c.ReadReq_accesses::cpu0 45202 # number of ReadReq accesses(hits+misses)
+system.cpu0.l1c.ReadReq_accesses::total 45202 # number of ReadReq accesses(hits+misses)
+system.cpu0.l1c.WriteReq_accesses::cpu0 24849 # number of WriteReq accesses(hits+misses)
+system.cpu0.l1c.WriteReq_accesses::total 24849 # number of WriteReq accesses(hits+misses)
+system.cpu0.l1c.demand_accesses::cpu0 70051 # number of demand (read+write) accesses
+system.cpu0.l1c.demand_accesses::total 70051 # number of demand (read+write) accesses
+system.cpu0.l1c.overall_accesses::cpu0 70051 # number of overall (read+write) accesses
+system.cpu0.l1c.overall_accesses::total 70051 # number of overall (read+write) accesses
+system.cpu0.l1c.ReadReq_miss_rate::cpu0 0.804190 # miss rate for ReadReq accesses
+system.cpu0.l1c.ReadReq_miss_rate::total 0.804190 # miss rate for ReadReq accesses
+system.cpu0.l1c.WriteReq_miss_rate::cpu0 0.956216 # miss rate for WriteReq accesses
+system.cpu0.l1c.WriteReq_miss_rate::total 0.956216 # miss rate for WriteReq accesses
+system.cpu0.l1c.demand_miss_rate::cpu0 0.858118 # miss rate for demand accesses
+system.cpu0.l1c.demand_miss_rate::total 0.858118 # miss rate for demand accesses
+system.cpu0.l1c.overall_miss_rate::cpu0 0.858118 # miss rate for overall accesses
+system.cpu0.l1c.overall_miss_rate::total 0.858118 # miss rate for overall accesses
+system.cpu0.l1c.ReadReq_avg_miss_latency::cpu0 67770.226596 # average ReadReq miss latency
+system.cpu0.l1c.ReadReq_avg_miss_latency::total 67770.226596 # average ReadReq miss latency
+system.cpu0.l1c.WriteReq_avg_miss_latency::cpu0 78333.765540 # average WriteReq miss latency
+system.cpu0.l1c.WriteReq_avg_miss_latency::total 78333.765540 # average WriteReq miss latency
+system.cpu0.l1c.demand_avg_miss_latency::cpu0 71945.769730 # average overall miss latency
+system.cpu0.l1c.demand_avg_miss_latency::total 71945.769730 # average overall miss latency
+system.cpu0.l1c.overall_avg_miss_latency::cpu0 71945.769730 # average overall miss latency
+system.cpu0.l1c.overall_avg_miss_latency::total 71945.769730 # average overall miss latency
+system.cpu0.l1c.blocked_cycles::no_mshrs 2211784 # number of cycles access was blocked
system.cpu0.l1c.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu0.l1c.blocked::no_mshrs 58943 # number of cycles access was blocked
+system.cpu0.l1c.blocked::no_mshrs 60234 # number of cycles access was blocked
system.cpu0.l1c.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu0.l1c.avg_blocked_cycles::no_mshrs 36.524727 # average number of cycles each access was blocked
+system.cpu0.l1c.avg_blocked_cycles::no_mshrs 36.719859 # average number of cycles each access was blocked
system.cpu0.l1c.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu0.l1c.fast_writes 0 # number of fast writes performed
system.cpu0.l1c.cache_copies 0 # number of cache copies performed
-system.cpu0.l1c.writebacks::writebacks 9551 # number of writebacks
-system.cpu0.l1c.writebacks::total 9551 # number of writebacks
-system.cpu0.l1c.ReadReq_mshr_misses::cpu0 35979 # number of ReadReq MSHR misses
-system.cpu0.l1c.ReadReq_mshr_misses::total 35979 # number of ReadReq MSHR misses
-system.cpu0.l1c.WriteReq_mshr_misses::cpu0 22956 # number of WriteReq MSHR misses
-system.cpu0.l1c.WriteReq_mshr_misses::total 22956 # number of WriteReq MSHR misses
-system.cpu0.l1c.demand_mshr_misses::cpu0 58935 # number of demand (read+write) MSHR misses
-system.cpu0.l1c.demand_mshr_misses::total 58935 # number of demand (read+write) MSHR misses
-system.cpu0.l1c.overall_mshr_misses::cpu0 58935 # number of overall MSHR misses
-system.cpu0.l1c.overall_mshr_misses::total 58935 # number of overall MSHR misses
-system.cpu0.l1c.ReadReq_mshr_miss_latency::cpu0 2355407447 # number of ReadReq MSHR miss cycles
-system.cpu0.l1c.ReadReq_mshr_miss_latency::total 2355407447 # number of ReadReq MSHR miss cycles
-system.cpu0.l1c.WriteReq_mshr_miss_latency::cpu0 1750135961 # number of WriteReq MSHR miss cycles
-system.cpu0.l1c.WriteReq_mshr_miss_latency::total 1750135961 # number of WriteReq MSHR miss cycles
-system.cpu0.l1c.demand_mshr_miss_latency::cpu0 4105543408 # number of demand (read+write) MSHR miss cycles
-system.cpu0.l1c.demand_mshr_miss_latency::total 4105543408 # number of demand (read+write) MSHR miss cycles
-system.cpu0.l1c.overall_mshr_miss_latency::cpu0 4105543408 # number of overall MSHR miss cycles
-system.cpu0.l1c.overall_mshr_miss_latency::total 4105543408 # number of overall MSHR miss cycles
-system.cpu0.l1c.ReadReq_mshr_uncacheable_latency::cpu0 1091154570 # number of ReadReq MSHR uncacheable cycles
-system.cpu0.l1c.ReadReq_mshr_uncacheable_latency::total 1091154570 # number of ReadReq MSHR uncacheable cycles
-system.cpu0.l1c.WriteReq_mshr_uncacheable_latency::cpu0 4041529643 # number of WriteReq MSHR uncacheable cycles
-system.cpu0.l1c.WriteReq_mshr_uncacheable_latency::total 4041529643 # number of WriteReq MSHR uncacheable cycles
-system.cpu0.l1c.overall_mshr_uncacheable_latency::cpu0 5132684213 # number of overall MSHR uncacheable cycles
-system.cpu0.l1c.overall_mshr_uncacheable_latency::total 5132684213 # number of overall MSHR uncacheable cycles
-system.cpu0.l1c.ReadReq_mshr_miss_rate::cpu0 0.805278 # mshr miss rate for ReadReq accesses
-system.cpu0.l1c.ReadReq_mshr_miss_rate::total 0.805278 # mshr miss rate for ReadReq accesses
-system.cpu0.l1c.WriteReq_mshr_miss_rate::cpu0 0.956580 # mshr miss rate for WriteReq accesses
-system.cpu0.l1c.WriteReq_mshr_miss_rate::total 0.956580 # mshr miss rate for WriteReq accesses
-system.cpu0.l1c.demand_mshr_miss_rate::cpu0 0.858148 # mshr miss rate for demand accesses
-system.cpu0.l1c.demand_mshr_miss_rate::total 0.858148 # mshr miss rate for demand accesses
-system.cpu0.l1c.overall_mshr_miss_rate::cpu0 0.858148 # mshr miss rate for overall accesses
-system.cpu0.l1c.overall_mshr_miss_rate::total 0.858148 # mshr miss rate for overall accesses
-system.cpu0.l1c.ReadReq_avg_mshr_miss_latency::cpu0 65466.173240 # average ReadReq mshr miss latency
-system.cpu0.l1c.ReadReq_avg_mshr_miss_latency::total 65466.173240 # average ReadReq mshr miss latency
-system.cpu0.l1c.WriteReq_avg_mshr_miss_latency::cpu0 76238.715848 # average WriteReq mshr miss latency
-system.cpu0.l1c.WriteReq_avg_mshr_miss_latency::total 76238.715848 # average WriteReq mshr miss latency
-system.cpu0.l1c.demand_avg_mshr_miss_latency::cpu0 69662.228014 # average overall mshr miss latency
-system.cpu0.l1c.demand_avg_mshr_miss_latency::total 69662.228014 # average overall mshr miss latency
-system.cpu0.l1c.overall_avg_mshr_miss_latency::cpu0 69662.228014 # average overall mshr miss latency
-system.cpu0.l1c.overall_avg_mshr_miss_latency::total 69662.228014 # average overall mshr miss latency
+system.cpu0.l1c.writebacks::writebacks 9764 # number of writebacks
+system.cpu0.l1c.writebacks::total 9764 # number of writebacks
+system.cpu0.l1c.ReadReq_mshr_misses::cpu0 36351 # number of ReadReq MSHR misses
+system.cpu0.l1c.ReadReq_mshr_misses::total 36351 # number of ReadReq MSHR misses
+system.cpu0.l1c.WriteReq_mshr_misses::cpu0 23761 # number of WriteReq MSHR misses
+system.cpu0.l1c.WriteReq_mshr_misses::total 23761 # number of WriteReq MSHR misses
+system.cpu0.l1c.demand_mshr_misses::cpu0 60112 # number of demand (read+write) MSHR misses
+system.cpu0.l1c.demand_mshr_misses::total 60112 # number of demand (read+write) MSHR misses
+system.cpu0.l1c.overall_mshr_misses::cpu0 60112 # number of overall MSHR misses
+system.cpu0.l1c.overall_mshr_misses::total 60112 # number of overall MSHR misses
+system.cpu0.l1c.ReadReq_mshr_miss_latency::cpu0 2386706389 # number of ReadReq MSHR miss cycles
+system.cpu0.l1c.ReadReq_mshr_miss_latency::total 2386706389 # number of ReadReq MSHR miss cycles
+system.cpu0.l1c.WriteReq_mshr_miss_latency::cpu0 1811452635 # number of WriteReq MSHR miss cycles
+system.cpu0.l1c.WriteReq_mshr_miss_latency::total 1811452635 # number of WriteReq MSHR miss cycles
+system.cpu0.l1c.demand_mshr_miss_latency::cpu0 4198159024 # number of demand (read+write) MSHR miss cycles
+system.cpu0.l1c.demand_mshr_miss_latency::total 4198159024 # number of demand (read+write) MSHR miss cycles
+system.cpu0.l1c.overall_mshr_miss_latency::cpu0 4198159024 # number of overall MSHR miss cycles
+system.cpu0.l1c.overall_mshr_miss_latency::total 4198159024 # number of overall MSHR miss cycles
+system.cpu0.l1c.ReadReq_mshr_uncacheable_latency::cpu0 1102233368 # number of ReadReq MSHR uncacheable cycles
+system.cpu0.l1c.ReadReq_mshr_uncacheable_latency::total 1102233368 # number of ReadReq MSHR uncacheable cycles
+system.cpu0.l1c.WriteReq_mshr_uncacheable_latency::cpu0 3973496829 # number of WriteReq MSHR uncacheable cycles
+system.cpu0.l1c.WriteReq_mshr_uncacheable_latency::total 3973496829 # number of WriteReq MSHR uncacheable cycles
+system.cpu0.l1c.overall_mshr_uncacheable_latency::cpu0 5075730197 # number of overall MSHR uncacheable cycles
+system.cpu0.l1c.overall_mshr_uncacheable_latency::total 5075730197 # number of overall MSHR uncacheable cycles
+system.cpu0.l1c.ReadReq_mshr_miss_rate::cpu0 0.804190 # mshr miss rate for ReadReq accesses
+system.cpu0.l1c.ReadReq_mshr_miss_rate::total 0.804190 # mshr miss rate for ReadReq accesses
+system.cpu0.l1c.WriteReq_mshr_miss_rate::cpu0 0.956216 # mshr miss rate for WriteReq accesses
+system.cpu0.l1c.WriteReq_mshr_miss_rate::total 0.956216 # mshr miss rate for WriteReq accesses
+system.cpu0.l1c.demand_mshr_miss_rate::cpu0 0.858118 # mshr miss rate for demand accesses
+system.cpu0.l1c.demand_mshr_miss_rate::total 0.858118 # mshr miss rate for demand accesses
+system.cpu0.l1c.overall_mshr_miss_rate::cpu0 0.858118 # mshr miss rate for overall accesses
+system.cpu0.l1c.overall_mshr_miss_rate::total 0.858118 # mshr miss rate for overall accesses
+system.cpu0.l1c.ReadReq_avg_mshr_miss_latency::cpu0 65657.241589 # average ReadReq mshr miss latency
+system.cpu0.l1c.ReadReq_avg_mshr_miss_latency::total 65657.241589 # average ReadReq mshr miss latency
+system.cpu0.l1c.WriteReq_avg_mshr_miss_latency::cpu0 76236.380413 # average WriteReq mshr miss latency
+system.cpu0.l1c.WriteReq_avg_mshr_miss_latency::total 76236.380413 # average WriteReq mshr miss latency
+system.cpu0.l1c.demand_avg_mshr_miss_latency::cpu0 69838.951025 # average overall mshr miss latency
+system.cpu0.l1c.demand_avg_mshr_miss_latency::total 69838.951025 # average overall mshr miss latency
+system.cpu0.l1c.overall_avg_mshr_miss_latency::cpu0 69838.951025 # average overall mshr miss latency
+system.cpu0.l1c.overall_avg_mshr_miss_latency::total 69838.951025 # average overall mshr miss latency
system.cpu0.l1c.ReadReq_avg_mshr_uncacheable_latency::cpu0 inf # average ReadReq mshr uncacheable latency
system.cpu0.l1c.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu0.l1c.WriteReq_avg_mshr_uncacheable_latency::cpu0 inf # average WriteReq mshr uncacheable latency
@@ -896,120 +887,120 @@ system.cpu0.l1c.WriteReq_avg_mshr_uncacheable_latency::total inf
system.cpu0.l1c.overall_avg_mshr_uncacheable_latency::cpu0 inf # average overall mshr uncacheable latency
system.cpu0.l1c.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu0.l1c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu1.num_reads 99768 # number of read accesses completed
-system.cpu1.num_writes 53422 # number of write accesses completed
+system.cpu1.num_reads 99113 # number of read accesses completed
+system.cpu1.num_writes 54702 # number of write accesses completed
system.cpu1.num_copies 0 # number of copy accesses completed
-system.cpu1.l1c.tags.replacements 22481 # number of replacements
-system.cpu1.l1c.tags.tagsinuse 398.933743 # Cycle average of tags in use
-system.cpu1.l1c.tags.total_refs 13300 # Total number of references to valid blocks.
-system.cpu1.l1c.tags.sampled_refs 22873 # Sample count of references to valid blocks.
-system.cpu1.l1c.tags.avg_refs 0.581472 # Average number of references to valid blocks.
+system.cpu1.l1c.tags.replacements 22065 # number of replacements
+system.cpu1.l1c.tags.tagsinuse 395.289903 # Cycle average of tags in use
+system.cpu1.l1c.tags.total_refs 13538 # Total number of references to valid blocks.
+system.cpu1.l1c.tags.sampled_refs 22464 # Sample count of references to valid blocks.
+system.cpu1.l1c.tags.avg_refs 0.602653 # Average number of references to valid blocks.
system.cpu1.l1c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu1.l1c.tags.occ_blocks::cpu1 398.933743 # Average occupied blocks per requestor
-system.cpu1.l1c.tags.occ_percent::cpu1 0.779167 # Average percentage of cache occupancy
-system.cpu1.l1c.tags.occ_percent::total 0.779167 # Average percentage of cache occupancy
-system.cpu1.l1c.tags.occ_task_id_blocks::1024 392 # Occupied blocks per task id
-system.cpu1.l1c.tags.age_task_id_blocks_1024::0 265 # Occupied blocks per task id
-system.cpu1.l1c.tags.age_task_id_blocks_1024::1 127 # Occupied blocks per task id
-system.cpu1.l1c.tags.occ_task_id_percent::1024 0.765625 # Percentage of cache occupancy per task id
-system.cpu1.l1c.tags.tag_accesses 331866 # Number of tag accesses
-system.cpu1.l1c.tags.data_accesses 331866 # Number of data accesses
-system.cpu1.l1c.ReadReq_hits::cpu1 8705 # number of ReadReq hits
-system.cpu1.l1c.ReadReq_hits::total 8705 # number of ReadReq hits
-system.cpu1.l1c.WriteReq_hits::cpu1 1116 # number of WriteReq hits
-system.cpu1.l1c.WriteReq_hits::total 1116 # number of WriteReq hits
-system.cpu1.l1c.demand_hits::cpu1 9821 # number of demand (read+write) hits
-system.cpu1.l1c.demand_hits::total 9821 # number of demand (read+write) hits
-system.cpu1.l1c.overall_hits::cpu1 9821 # number of overall hits
-system.cpu1.l1c.overall_hits::total 9821 # number of overall hits
-system.cpu1.l1c.ReadReq_misses::cpu1 36262 # number of ReadReq misses
-system.cpu1.l1c.ReadReq_misses::total 36262 # number of ReadReq misses
-system.cpu1.l1c.WriteReq_misses::cpu1 22965 # number of WriteReq misses
-system.cpu1.l1c.WriteReq_misses::total 22965 # number of WriteReq misses
-system.cpu1.l1c.demand_misses::cpu1 59227 # number of demand (read+write) misses
-system.cpu1.l1c.demand_misses::total 59227 # number of demand (read+write) misses
-system.cpu1.l1c.overall_misses::cpu1 59227 # number of overall misses
-system.cpu1.l1c.overall_misses::total 59227 # number of overall misses
-system.cpu1.l1c.ReadReq_miss_latency::cpu1 2445520804 # number of ReadReq miss cycles
-system.cpu1.l1c.ReadReq_miss_latency::total 2445520804 # number of ReadReq miss cycles
-system.cpu1.l1c.WriteReq_miss_latency::cpu1 1800113040 # number of WriteReq miss cycles
-system.cpu1.l1c.WriteReq_miss_latency::total 1800113040 # number of WriteReq miss cycles
-system.cpu1.l1c.demand_miss_latency::cpu1 4245633844 # number of demand (read+write) miss cycles
-system.cpu1.l1c.demand_miss_latency::total 4245633844 # number of demand (read+write) miss cycles
-system.cpu1.l1c.overall_miss_latency::cpu1 4245633844 # number of overall miss cycles
-system.cpu1.l1c.overall_miss_latency::total 4245633844 # number of overall miss cycles
-system.cpu1.l1c.ReadReq_accesses::cpu1 44967 # number of ReadReq accesses(hits+misses)
-system.cpu1.l1c.ReadReq_accesses::total 44967 # number of ReadReq accesses(hits+misses)
-system.cpu1.l1c.WriteReq_accesses::cpu1 24081 # number of WriteReq accesses(hits+misses)
-system.cpu1.l1c.WriteReq_accesses::total 24081 # number of WriteReq accesses(hits+misses)
-system.cpu1.l1c.demand_accesses::cpu1 69048 # number of demand (read+write) accesses
-system.cpu1.l1c.demand_accesses::total 69048 # number of demand (read+write) accesses
-system.cpu1.l1c.overall_accesses::cpu1 69048 # number of overall (read+write) accesses
-system.cpu1.l1c.overall_accesses::total 69048 # number of overall (read+write) accesses
-system.cpu1.l1c.ReadReq_miss_rate::cpu1 0.806414 # miss rate for ReadReq accesses
-system.cpu1.l1c.ReadReq_miss_rate::total 0.806414 # miss rate for ReadReq accesses
-system.cpu1.l1c.WriteReq_miss_rate::cpu1 0.953656 # miss rate for WriteReq accesses
-system.cpu1.l1c.WriteReq_miss_rate::total 0.953656 # miss rate for WriteReq accesses
-system.cpu1.l1c.demand_miss_rate::cpu1 0.857766 # miss rate for demand accesses
-system.cpu1.l1c.demand_miss_rate::total 0.857766 # miss rate for demand accesses
-system.cpu1.l1c.overall_miss_rate::cpu1 0.857766 # miss rate for overall accesses
-system.cpu1.l1c.overall_miss_rate::total 0.857766 # miss rate for overall accesses
-system.cpu1.l1c.ReadReq_avg_miss_latency::cpu1 67440.317798 # average ReadReq miss latency
-system.cpu1.l1c.ReadReq_avg_miss_latency::total 67440.317798 # average ReadReq miss latency
-system.cpu1.l1c.WriteReq_avg_miss_latency::cpu1 78385.065970 # average WriteReq miss latency
-system.cpu1.l1c.WriteReq_avg_miss_latency::total 78385.065970 # average WriteReq miss latency
-system.cpu1.l1c.demand_avg_miss_latency::cpu1 71684.094146 # average overall miss latency
-system.cpu1.l1c.demand_avg_miss_latency::total 71684.094146 # average overall miss latency
-system.cpu1.l1c.overall_avg_miss_latency::cpu1 71684.094146 # average overall miss latency
-system.cpu1.l1c.overall_avg_miss_latency::total 71684.094146 # average overall miss latency
-system.cpu1.l1c.blocked_cycles::no_mshrs 2169051 # number of cycles access was blocked
+system.cpu1.l1c.tags.occ_blocks::cpu1 395.289903 # Average occupied blocks per requestor
+system.cpu1.l1c.tags.occ_percent::cpu1 0.772051 # Average percentage of cache occupancy
+system.cpu1.l1c.tags.occ_percent::total 0.772051 # Average percentage of cache occupancy
+system.cpu1.l1c.tags.occ_task_id_blocks::1024 399 # Occupied blocks per task id
+system.cpu1.l1c.tags.age_task_id_blocks_1024::0 277 # Occupied blocks per task id
+system.cpu1.l1c.tags.age_task_id_blocks_1024::1 122 # Occupied blocks per task id
+system.cpu1.l1c.tags.occ_task_id_percent::1024 0.779297 # Percentage of cache occupancy per task id
+system.cpu1.l1c.tags.tag_accesses 336364 # Number of tag accesses
+system.cpu1.l1c.tags.data_accesses 336364 # Number of data accesses
+system.cpu1.l1c.ReadReq_hits::cpu1 8820 # number of ReadReq hits
+system.cpu1.l1c.ReadReq_hits::total 8820 # number of ReadReq hits
+system.cpu1.l1c.WriteReq_hits::cpu1 1137 # number of WriteReq hits
+system.cpu1.l1c.WriteReq_hits::total 1137 # number of WriteReq hits
+system.cpu1.l1c.demand_hits::cpu1 9957 # number of demand (read+write) hits
+system.cpu1.l1c.demand_hits::total 9957 # number of demand (read+write) hits
+system.cpu1.l1c.overall_hits::cpu1 9957 # number of overall hits
+system.cpu1.l1c.overall_hits::total 9957 # number of overall hits
+system.cpu1.l1c.ReadReq_misses::cpu1 36159 # number of ReadReq misses
+system.cpu1.l1c.ReadReq_misses::total 36159 # number of ReadReq misses
+system.cpu1.l1c.WriteReq_misses::cpu1 23877 # number of WriteReq misses
+system.cpu1.l1c.WriteReq_misses::total 23877 # number of WriteReq misses
+system.cpu1.l1c.demand_misses::cpu1 60036 # number of demand (read+write) misses
+system.cpu1.l1c.demand_misses::total 60036 # number of demand (read+write) misses
+system.cpu1.l1c.overall_misses::cpu1 60036 # number of overall misses
+system.cpu1.l1c.overall_misses::total 60036 # number of overall misses
+system.cpu1.l1c.ReadReq_miss_latency::cpu1 2464134844 # number of ReadReq miss cycles
+system.cpu1.l1c.ReadReq_miss_latency::total 2464134844 # number of ReadReq miss cycles
+system.cpu1.l1c.WriteReq_miss_latency::cpu1 1871949720 # number of WriteReq miss cycles
+system.cpu1.l1c.WriteReq_miss_latency::total 1871949720 # number of WriteReq miss cycles
+system.cpu1.l1c.demand_miss_latency::cpu1 4336084564 # number of demand (read+write) miss cycles
+system.cpu1.l1c.demand_miss_latency::total 4336084564 # number of demand (read+write) miss cycles
+system.cpu1.l1c.overall_miss_latency::cpu1 4336084564 # number of overall miss cycles
+system.cpu1.l1c.overall_miss_latency::total 4336084564 # number of overall miss cycles
+system.cpu1.l1c.ReadReq_accesses::cpu1 44979 # number of ReadReq accesses(hits+misses)
+system.cpu1.l1c.ReadReq_accesses::total 44979 # number of ReadReq accesses(hits+misses)
+system.cpu1.l1c.WriteReq_accesses::cpu1 25014 # number of WriteReq accesses(hits+misses)
+system.cpu1.l1c.WriteReq_accesses::total 25014 # number of WriteReq accesses(hits+misses)
+system.cpu1.l1c.demand_accesses::cpu1 69993 # number of demand (read+write) accesses
+system.cpu1.l1c.demand_accesses::total 69993 # number of demand (read+write) accesses
+system.cpu1.l1c.overall_accesses::cpu1 69993 # number of overall (read+write) accesses
+system.cpu1.l1c.overall_accesses::total 69993 # number of overall (read+write) accesses
+system.cpu1.l1c.ReadReq_miss_rate::cpu1 0.803908 # miss rate for ReadReq accesses
+system.cpu1.l1c.ReadReq_miss_rate::total 0.803908 # miss rate for ReadReq accesses
+system.cpu1.l1c.WriteReq_miss_rate::cpu1 0.954545 # miss rate for WriteReq accesses
+system.cpu1.l1c.WriteReq_miss_rate::total 0.954545 # miss rate for WriteReq accesses
+system.cpu1.l1c.demand_miss_rate::cpu1 0.857743 # miss rate for demand accesses
+system.cpu1.l1c.demand_miss_rate::total 0.857743 # miss rate for demand accesses
+system.cpu1.l1c.overall_miss_rate::cpu1 0.857743 # miss rate for overall accesses
+system.cpu1.l1c.overall_miss_rate::total 0.857743 # miss rate for overall accesses
+system.cpu1.l1c.ReadReq_avg_miss_latency::cpu1 68147.206615 # average ReadReq miss latency
+system.cpu1.l1c.ReadReq_avg_miss_latency::total 68147.206615 # average ReadReq miss latency
+system.cpu1.l1c.WriteReq_avg_miss_latency::cpu1 78399.703480 # average WriteReq miss latency
+system.cpu1.l1c.WriteReq_avg_miss_latency::total 78399.703480 # average WriteReq miss latency
+system.cpu1.l1c.demand_avg_miss_latency::cpu1 72224.741222 # average overall miss latency
+system.cpu1.l1c.demand_avg_miss_latency::total 72224.741222 # average overall miss latency
+system.cpu1.l1c.overall_avg_miss_latency::cpu1 72224.741222 # average overall miss latency
+system.cpu1.l1c.overall_avg_miss_latency::total 72224.741222 # average overall miss latency
+system.cpu1.l1c.blocked_cycles::no_mshrs 2199257 # number of cycles access was blocked
system.cpu1.l1c.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu1.l1c.blocked::no_mshrs 59314 # number of cycles access was blocked
+system.cpu1.l1c.blocked::no_mshrs 59892 # number of cycles access was blocked
system.cpu1.l1c.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu1.l1c.avg_blocked_cycles::no_mshrs 36.568955 # average number of cycles each access was blocked
+system.cpu1.l1c.avg_blocked_cycles::no_mshrs 36.720380 # average number of cycles each access was blocked
system.cpu1.l1c.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu1.l1c.fast_writes 0 # number of fast writes performed
system.cpu1.l1c.cache_copies 0 # number of cache copies performed
-system.cpu1.l1c.writebacks::writebacks 9774 # number of writebacks
-system.cpu1.l1c.writebacks::total 9774 # number of writebacks
-system.cpu1.l1c.ReadReq_mshr_misses::cpu1 36262 # number of ReadReq MSHR misses
-system.cpu1.l1c.ReadReq_mshr_misses::total 36262 # number of ReadReq MSHR misses
-system.cpu1.l1c.WriteReq_mshr_misses::cpu1 22965 # number of WriteReq MSHR misses
-system.cpu1.l1c.WriteReq_mshr_misses::total 22965 # number of WriteReq MSHR misses
-system.cpu1.l1c.demand_mshr_misses::cpu1 59227 # number of demand (read+write) MSHR misses
-system.cpu1.l1c.demand_mshr_misses::total 59227 # number of demand (read+write) MSHR misses
-system.cpu1.l1c.overall_mshr_misses::cpu1 59227 # number of overall MSHR misses
-system.cpu1.l1c.overall_mshr_misses::total 59227 # number of overall MSHR misses
-system.cpu1.l1c.ReadReq_mshr_miss_latency::cpu1 2368901584 # number of ReadReq MSHR miss cycles
-system.cpu1.l1c.ReadReq_mshr_miss_latency::total 2368901584 # number of ReadReq MSHR miss cycles
-system.cpu1.l1c.WriteReq_mshr_miss_latency::cpu1 1752103572 # number of WriteReq MSHR miss cycles
-system.cpu1.l1c.WriteReq_mshr_miss_latency::total 1752103572 # number of WriteReq MSHR miss cycles
-system.cpu1.l1c.demand_mshr_miss_latency::cpu1 4121005156 # number of demand (read+write) MSHR miss cycles
-system.cpu1.l1c.demand_mshr_miss_latency::total 4121005156 # number of demand (read+write) MSHR miss cycles
-system.cpu1.l1c.overall_mshr_miss_latency::cpu1 4121005156 # number of overall MSHR miss cycles
-system.cpu1.l1c.overall_mshr_miss_latency::total 4121005156 # number of overall MSHR miss cycles
-system.cpu1.l1c.ReadReq_mshr_uncacheable_latency::cpu1 1095385986 # number of ReadReq MSHR uncacheable cycles
-system.cpu1.l1c.ReadReq_mshr_uncacheable_latency::total 1095385986 # number of ReadReq MSHR uncacheable cycles
-system.cpu1.l1c.WriteReq_mshr_uncacheable_latency::cpu1 3917382799 # number of WriteReq MSHR uncacheable cycles
-system.cpu1.l1c.WriteReq_mshr_uncacheable_latency::total 3917382799 # number of WriteReq MSHR uncacheable cycles
-system.cpu1.l1c.overall_mshr_uncacheable_latency::cpu1 5012768785 # number of overall MSHR uncacheable cycles
-system.cpu1.l1c.overall_mshr_uncacheable_latency::total 5012768785 # number of overall MSHR uncacheable cycles
-system.cpu1.l1c.ReadReq_mshr_miss_rate::cpu1 0.806414 # mshr miss rate for ReadReq accesses
-system.cpu1.l1c.ReadReq_mshr_miss_rate::total 0.806414 # mshr miss rate for ReadReq accesses
-system.cpu1.l1c.WriteReq_mshr_miss_rate::cpu1 0.953656 # mshr miss rate for WriteReq accesses
-system.cpu1.l1c.WriteReq_mshr_miss_rate::total 0.953656 # mshr miss rate for WriteReq accesses
-system.cpu1.l1c.demand_mshr_miss_rate::cpu1 0.857766 # mshr miss rate for demand accesses
-system.cpu1.l1c.demand_mshr_miss_rate::total 0.857766 # mshr miss rate for demand accesses
-system.cpu1.l1c.overall_mshr_miss_rate::cpu1 0.857766 # mshr miss rate for overall accesses
-system.cpu1.l1c.overall_mshr_miss_rate::total 0.857766 # mshr miss rate for overall accesses
-system.cpu1.l1c.ReadReq_avg_mshr_miss_latency::cpu1 65327.383597 # average ReadReq mshr miss latency
-system.cpu1.l1c.ReadReq_avg_mshr_miss_latency::total 65327.383597 # average ReadReq mshr miss latency
-system.cpu1.l1c.WriteReq_avg_mshr_miss_latency::cpu1 76294.516525 # average WriteReq mshr miss latency
-system.cpu1.l1c.WriteReq_avg_mshr_miss_latency::total 76294.516525 # average WriteReq mshr miss latency
-system.cpu1.l1c.demand_avg_mshr_miss_latency::cpu1 69579.839533 # average overall mshr miss latency
-system.cpu1.l1c.demand_avg_mshr_miss_latency::total 69579.839533 # average overall mshr miss latency
-system.cpu1.l1c.overall_avg_mshr_miss_latency::cpu1 69579.839533 # average overall mshr miss latency
-system.cpu1.l1c.overall_avg_mshr_miss_latency::total 69579.839533 # average overall mshr miss latency
+system.cpu1.l1c.writebacks::writebacks 9710 # number of writebacks
+system.cpu1.l1c.writebacks::total 9710 # number of writebacks
+system.cpu1.l1c.ReadReq_mshr_misses::cpu1 36159 # number of ReadReq MSHR misses
+system.cpu1.l1c.ReadReq_mshr_misses::total 36159 # number of ReadReq MSHR misses
+system.cpu1.l1c.WriteReq_mshr_misses::cpu1 23877 # number of WriteReq MSHR misses
+system.cpu1.l1c.WriteReq_mshr_misses::total 23877 # number of WriteReq MSHR misses
+system.cpu1.l1c.demand_mshr_misses::cpu1 60036 # number of demand (read+write) MSHR misses
+system.cpu1.l1c.demand_mshr_misses::total 60036 # number of demand (read+write) MSHR misses
+system.cpu1.l1c.overall_mshr_misses::cpu1 60036 # number of overall MSHR misses
+system.cpu1.l1c.overall_mshr_misses::total 60036 # number of overall MSHR misses
+system.cpu1.l1c.ReadReq_mshr_miss_latency::cpu1 2387770588 # number of ReadReq MSHR miss cycles
+system.cpu1.l1c.ReadReq_mshr_miss_latency::total 2387770588 # number of ReadReq MSHR miss cycles
+system.cpu1.l1c.WriteReq_mshr_miss_latency::cpu1 1821987516 # number of WriteReq MSHR miss cycles
+system.cpu1.l1c.WriteReq_mshr_miss_latency::total 1821987516 # number of WriteReq MSHR miss cycles
+system.cpu1.l1c.demand_mshr_miss_latency::cpu1 4209758104 # number of demand (read+write) MSHR miss cycles
+system.cpu1.l1c.demand_mshr_miss_latency::total 4209758104 # number of demand (read+write) MSHR miss cycles
+system.cpu1.l1c.overall_mshr_miss_latency::cpu1 4209758104 # number of overall MSHR miss cycles
+system.cpu1.l1c.overall_mshr_miss_latency::total 4209758104 # number of overall MSHR miss cycles
+system.cpu1.l1c.ReadReq_mshr_uncacheable_latency::cpu1 1078285196 # number of ReadReq MSHR uncacheable cycles
+system.cpu1.l1c.ReadReq_mshr_uncacheable_latency::total 1078285196 # number of ReadReq MSHR uncacheable cycles
+system.cpu1.l1c.WriteReq_mshr_uncacheable_latency::cpu1 4031598145 # number of WriteReq MSHR uncacheable cycles
+system.cpu1.l1c.WriteReq_mshr_uncacheable_latency::total 4031598145 # number of WriteReq MSHR uncacheable cycles
+system.cpu1.l1c.overall_mshr_uncacheable_latency::cpu1 5109883341 # number of overall MSHR uncacheable cycles
+system.cpu1.l1c.overall_mshr_uncacheable_latency::total 5109883341 # number of overall MSHR uncacheable cycles
+system.cpu1.l1c.ReadReq_mshr_miss_rate::cpu1 0.803908 # mshr miss rate for ReadReq accesses
+system.cpu1.l1c.ReadReq_mshr_miss_rate::total 0.803908 # mshr miss rate for ReadReq accesses
+system.cpu1.l1c.WriteReq_mshr_miss_rate::cpu1 0.954545 # mshr miss rate for WriteReq accesses
+system.cpu1.l1c.WriteReq_mshr_miss_rate::total 0.954545 # mshr miss rate for WriteReq accesses
+system.cpu1.l1c.demand_mshr_miss_rate::cpu1 0.857743 # mshr miss rate for demand accesses
+system.cpu1.l1c.demand_mshr_miss_rate::total 0.857743 # mshr miss rate for demand accesses
+system.cpu1.l1c.overall_mshr_miss_rate::cpu1 0.857743 # mshr miss rate for overall accesses
+system.cpu1.l1c.overall_mshr_miss_rate::total 0.857743 # mshr miss rate for overall accesses
+system.cpu1.l1c.ReadReq_avg_mshr_miss_latency::cpu1 66035.304848 # average ReadReq mshr miss latency
+system.cpu1.l1c.ReadReq_avg_mshr_miss_latency::total 66035.304848 # average ReadReq mshr miss latency
+system.cpu1.l1c.WriteReq_avg_mshr_miss_latency::cpu1 76307.221008 # average WriteReq mshr miss latency
+system.cpu1.l1c.WriteReq_avg_mshr_miss_latency::total 76307.221008 # average WriteReq mshr miss latency
+system.cpu1.l1c.demand_avg_mshr_miss_latency::cpu1 70120.562729 # average overall mshr miss latency
+system.cpu1.l1c.demand_avg_mshr_miss_latency::total 70120.562729 # average overall mshr miss latency
+system.cpu1.l1c.overall_avg_mshr_miss_latency::cpu1 70120.562729 # average overall mshr miss latency
+system.cpu1.l1c.overall_avg_mshr_miss_latency::total 70120.562729 # average overall mshr miss latency
system.cpu1.l1c.ReadReq_avg_mshr_uncacheable_latency::cpu1 inf # average ReadReq mshr uncacheable latency
system.cpu1.l1c.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu1.l1c.WriteReq_avg_mshr_uncacheable_latency::cpu1 inf # average WriteReq mshr uncacheable latency
@@ -1017,120 +1008,120 @@ system.cpu1.l1c.WriteReq_avg_mshr_uncacheable_latency::total inf
system.cpu1.l1c.overall_avg_mshr_uncacheable_latency::cpu1 inf # average overall mshr uncacheable latency
system.cpu1.l1c.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu1.l1c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu2.num_reads 100000 # number of read accesses completed
-system.cpu2.num_writes 53603 # number of write accesses completed
+system.cpu2.num_reads 98176 # number of read accesses completed
+system.cpu2.num_writes 54646 # number of write accesses completed
system.cpu2.num_copies 0 # number of copy accesses completed
-system.cpu2.l1c.tags.replacements 22539 # number of replacements
-system.cpu2.l1c.tags.tagsinuse 397.267456 # Cycle average of tags in use
-system.cpu2.l1c.tags.total_refs 13362 # Total number of references to valid blocks.
-system.cpu2.l1c.tags.sampled_refs 22949 # Sample count of references to valid blocks.
-system.cpu2.l1c.tags.avg_refs 0.582248 # Average number of references to valid blocks.
+system.cpu2.l1c.tags.replacements 22558 # number of replacements
+system.cpu2.l1c.tags.tagsinuse 395.943086 # Cycle average of tags in use
+system.cpu2.l1c.tags.total_refs 13415 # Total number of references to valid blocks.
+system.cpu2.l1c.tags.sampled_refs 22958 # Sample count of references to valid blocks.
+system.cpu2.l1c.tags.avg_refs 0.584328 # Average number of references to valid blocks.
system.cpu2.l1c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu2.l1c.tags.occ_blocks::cpu2 397.267456 # Average occupied blocks per requestor
-system.cpu2.l1c.tags.occ_percent::cpu2 0.775913 # Average percentage of cache occupancy
-system.cpu2.l1c.tags.occ_percent::total 0.775913 # Average percentage of cache occupancy
-system.cpu2.l1c.tags.occ_task_id_blocks::1024 410 # Occupied blocks per task id
-system.cpu2.l1c.tags.age_task_id_blocks_1024::0 285 # Occupied blocks per task id
-system.cpu2.l1c.tags.age_task_id_blocks_1024::1 125 # Occupied blocks per task id
-system.cpu2.l1c.tags.occ_task_id_percent::1024 0.800781 # Percentage of cache occupancy per task id
-system.cpu2.l1c.tags.tag_accesses 332293 # Number of tag accesses
-system.cpu2.l1c.tags.data_accesses 332293 # Number of data accesses
-system.cpu2.l1c.ReadReq_hits::cpu2 8761 # number of ReadReq hits
-system.cpu2.l1c.ReadReq_hits::total 8761 # number of ReadReq hits
-system.cpu2.l1c.WriteReq_hits::cpu2 1062 # number of WriteReq hits
-system.cpu2.l1c.WriteReq_hits::total 1062 # number of WriteReq hits
-system.cpu2.l1c.demand_hits::cpu2 9823 # number of demand (read+write) hits
-system.cpu2.l1c.demand_hits::total 9823 # number of demand (read+write) hits
-system.cpu2.l1c.overall_hits::cpu2 9823 # number of overall hits
-system.cpu2.l1c.overall_hits::total 9823 # number of overall hits
-system.cpu2.l1c.ReadReq_misses::cpu2 36321 # number of ReadReq misses
-system.cpu2.l1c.ReadReq_misses::total 36321 # number of ReadReq misses
-system.cpu2.l1c.WriteReq_misses::cpu2 22996 # number of WriteReq misses
-system.cpu2.l1c.WriteReq_misses::total 22996 # number of WriteReq misses
-system.cpu2.l1c.demand_misses::cpu2 59317 # number of demand (read+write) misses
-system.cpu2.l1c.demand_misses::total 59317 # number of demand (read+write) misses
-system.cpu2.l1c.overall_misses::cpu2 59317 # number of overall misses
-system.cpu2.l1c.overall_misses::total 59317 # number of overall misses
-system.cpu2.l1c.ReadReq_miss_latency::cpu2 2442264018 # number of ReadReq miss cycles
-system.cpu2.l1c.ReadReq_miss_latency::total 2442264018 # number of ReadReq miss cycles
-system.cpu2.l1c.WriteReq_miss_latency::cpu2 1799405026 # number of WriteReq miss cycles
-system.cpu2.l1c.WriteReq_miss_latency::total 1799405026 # number of WriteReq miss cycles
-system.cpu2.l1c.demand_miss_latency::cpu2 4241669044 # number of demand (read+write) miss cycles
-system.cpu2.l1c.demand_miss_latency::total 4241669044 # number of demand (read+write) miss cycles
-system.cpu2.l1c.overall_miss_latency::cpu2 4241669044 # number of overall miss cycles
-system.cpu2.l1c.overall_miss_latency::total 4241669044 # number of overall miss cycles
-system.cpu2.l1c.ReadReq_accesses::cpu2 45082 # number of ReadReq accesses(hits+misses)
-system.cpu2.l1c.ReadReq_accesses::total 45082 # number of ReadReq accesses(hits+misses)
-system.cpu2.l1c.WriteReq_accesses::cpu2 24058 # number of WriteReq accesses(hits+misses)
-system.cpu2.l1c.WriteReq_accesses::total 24058 # number of WriteReq accesses(hits+misses)
-system.cpu2.l1c.demand_accesses::cpu2 69140 # number of demand (read+write) accesses
-system.cpu2.l1c.demand_accesses::total 69140 # number of demand (read+write) accesses
-system.cpu2.l1c.overall_accesses::cpu2 69140 # number of overall (read+write) accesses
-system.cpu2.l1c.overall_accesses::total 69140 # number of overall (read+write) accesses
-system.cpu2.l1c.ReadReq_miss_rate::cpu2 0.805665 # miss rate for ReadReq accesses
-system.cpu2.l1c.ReadReq_miss_rate::total 0.805665 # miss rate for ReadReq accesses
-system.cpu2.l1c.WriteReq_miss_rate::cpu2 0.955857 # miss rate for WriteReq accesses
-system.cpu2.l1c.WriteReq_miss_rate::total 0.955857 # miss rate for WriteReq accesses
-system.cpu2.l1c.demand_miss_rate::cpu2 0.857926 # miss rate for demand accesses
-system.cpu2.l1c.demand_miss_rate::total 0.857926 # miss rate for demand accesses
-system.cpu2.l1c.overall_miss_rate::cpu2 0.857926 # miss rate for overall accesses
-system.cpu2.l1c.overall_miss_rate::total 0.857926 # miss rate for overall accesses
-system.cpu2.l1c.ReadReq_avg_miss_latency::cpu2 67241.100686 # average ReadReq miss latency
-system.cpu2.l1c.ReadReq_avg_miss_latency::total 67241.100686 # average ReadReq miss latency
-system.cpu2.l1c.WriteReq_avg_miss_latency::cpu2 78248.609584 # average WriteReq miss latency
-system.cpu2.l1c.WriteReq_avg_miss_latency::total 78248.609584 # average WriteReq miss latency
-system.cpu2.l1c.demand_avg_miss_latency::cpu2 71508.489033 # average overall miss latency
-system.cpu2.l1c.demand_avg_miss_latency::total 71508.489033 # average overall miss latency
-system.cpu2.l1c.overall_avg_miss_latency::cpu2 71508.489033 # average overall miss latency
-system.cpu2.l1c.overall_avg_miss_latency::total 71508.489033 # average overall miss latency
-system.cpu2.l1c.blocked_cycles::no_mshrs 2171204 # number of cycles access was blocked
+system.cpu2.l1c.tags.occ_blocks::cpu2 395.943086 # Average occupied blocks per requestor
+system.cpu2.l1c.tags.occ_percent::cpu2 0.773326 # Average percentage of cache occupancy
+system.cpu2.l1c.tags.occ_percent::total 0.773326 # Average percentage of cache occupancy
+system.cpu2.l1c.tags.occ_task_id_blocks::1024 400 # Occupied blocks per task id
+system.cpu2.l1c.tags.age_task_id_blocks_1024::0 274 # Occupied blocks per task id
+system.cpu2.l1c.tags.age_task_id_blocks_1024::1 126 # Occupied blocks per task id
+system.cpu2.l1c.tags.occ_task_id_percent::1024 0.781250 # Percentage of cache occupancy per task id
+system.cpu2.l1c.tags.tag_accesses 336254 # Number of tag accesses
+system.cpu2.l1c.tags.data_accesses 336254 # Number of data accesses
+system.cpu2.l1c.ReadReq_hits::cpu2 8574 # number of ReadReq hits
+system.cpu2.l1c.ReadReq_hits::total 8574 # number of ReadReq hits
+system.cpu2.l1c.WriteReq_hits::cpu2 1152 # number of WriteReq hits
+system.cpu2.l1c.WriteReq_hits::total 1152 # number of WriteReq hits
+system.cpu2.l1c.demand_hits::cpu2 9726 # number of demand (read+write) hits
+system.cpu2.l1c.demand_hits::total 9726 # number of demand (read+write) hits
+system.cpu2.l1c.overall_hits::cpu2 9726 # number of overall hits
+system.cpu2.l1c.overall_hits::total 9726 # number of overall hits
+system.cpu2.l1c.ReadReq_misses::cpu2 36359 # number of ReadReq misses
+system.cpu2.l1c.ReadReq_misses::total 36359 # number of ReadReq misses
+system.cpu2.l1c.WriteReq_misses::cpu2 23860 # number of WriteReq misses
+system.cpu2.l1c.WriteReq_misses::total 23860 # number of WriteReq misses
+system.cpu2.l1c.demand_misses::cpu2 60219 # number of demand (read+write) misses
+system.cpu2.l1c.demand_misses::total 60219 # number of demand (read+write) misses
+system.cpu2.l1c.overall_misses::cpu2 60219 # number of overall misses
+system.cpu2.l1c.overall_misses::total 60219 # number of overall misses
+system.cpu2.l1c.ReadReq_miss_latency::cpu2 2471651596 # number of ReadReq miss cycles
+system.cpu2.l1c.ReadReq_miss_latency::total 2471651596 # number of ReadReq miss cycles
+system.cpu2.l1c.WriteReq_miss_latency::cpu2 1874289110 # number of WriteReq miss cycles
+system.cpu2.l1c.WriteReq_miss_latency::total 1874289110 # number of WriteReq miss cycles
+system.cpu2.l1c.demand_miss_latency::cpu2 4345940706 # number of demand (read+write) miss cycles
+system.cpu2.l1c.demand_miss_latency::total 4345940706 # number of demand (read+write) miss cycles
+system.cpu2.l1c.overall_miss_latency::cpu2 4345940706 # number of overall miss cycles
+system.cpu2.l1c.overall_miss_latency::total 4345940706 # number of overall miss cycles
+system.cpu2.l1c.ReadReq_accesses::cpu2 44933 # number of ReadReq accesses(hits+misses)
+system.cpu2.l1c.ReadReq_accesses::total 44933 # number of ReadReq accesses(hits+misses)
+system.cpu2.l1c.WriteReq_accesses::cpu2 25012 # number of WriteReq accesses(hits+misses)
+system.cpu2.l1c.WriteReq_accesses::total 25012 # number of WriteReq accesses(hits+misses)
+system.cpu2.l1c.demand_accesses::cpu2 69945 # number of demand (read+write) accesses
+system.cpu2.l1c.demand_accesses::total 69945 # number of demand (read+write) accesses
+system.cpu2.l1c.overall_accesses::cpu2 69945 # number of overall (read+write) accesses
+system.cpu2.l1c.overall_accesses::total 69945 # number of overall (read+write) accesses
+system.cpu2.l1c.ReadReq_miss_rate::cpu2 0.809183 # miss rate for ReadReq accesses
+system.cpu2.l1c.ReadReq_miss_rate::total 0.809183 # miss rate for ReadReq accesses
+system.cpu2.l1c.WriteReq_miss_rate::cpu2 0.953942 # miss rate for WriteReq accesses
+system.cpu2.l1c.WriteReq_miss_rate::total 0.953942 # miss rate for WriteReq accesses
+system.cpu2.l1c.demand_miss_rate::cpu2 0.860948 # miss rate for demand accesses
+system.cpu2.l1c.demand_miss_rate::total 0.860948 # miss rate for demand accesses
+system.cpu2.l1c.overall_miss_rate::cpu2 0.860948 # miss rate for overall accesses
+system.cpu2.l1c.overall_miss_rate::total 0.860948 # miss rate for overall accesses
+system.cpu2.l1c.ReadReq_avg_miss_latency::cpu2 67979.086223 # average ReadReq miss latency
+system.cpu2.l1c.ReadReq_avg_miss_latency::total 67979.086223 # average ReadReq miss latency
+system.cpu2.l1c.WriteReq_avg_miss_latency::cpu2 78553.608969 # average WriteReq miss latency
+system.cpu2.l1c.WriteReq_avg_miss_latency::total 78553.608969 # average WriteReq miss latency
+system.cpu2.l1c.demand_avg_miss_latency::cpu2 72168.928511 # average overall miss latency
+system.cpu2.l1c.demand_avg_miss_latency::total 72168.928511 # average overall miss latency
+system.cpu2.l1c.overall_avg_miss_latency::cpu2 72168.928511 # average overall miss latency
+system.cpu2.l1c.overall_avg_miss_latency::total 72168.928511 # average overall miss latency
+system.cpu2.l1c.blocked_cycles::no_mshrs 2198300 # number of cycles access was blocked
system.cpu2.l1c.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu2.l1c.blocked::no_mshrs 59435 # number of cycles access was blocked
+system.cpu2.l1c.blocked::no_mshrs 59965 # number of cycles access was blocked
system.cpu2.l1c.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu2.l1c.avg_blocked_cycles::no_mshrs 36.530731 # average number of cycles each access was blocked
+system.cpu2.l1c.avg_blocked_cycles::no_mshrs 36.659718 # average number of cycles each access was blocked
system.cpu2.l1c.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu2.l1c.fast_writes 0 # number of fast writes performed
system.cpu2.l1c.cache_copies 0 # number of cache copies performed
-system.cpu2.l1c.writebacks::writebacks 9704 # number of writebacks
-system.cpu2.l1c.writebacks::total 9704 # number of writebacks
-system.cpu2.l1c.ReadReq_mshr_misses::cpu2 36321 # number of ReadReq MSHR misses
-system.cpu2.l1c.ReadReq_mshr_misses::total 36321 # number of ReadReq MSHR misses
-system.cpu2.l1c.WriteReq_mshr_misses::cpu2 22996 # number of WriteReq MSHR misses
-system.cpu2.l1c.WriteReq_mshr_misses::total 22996 # number of WriteReq MSHR misses
-system.cpu2.l1c.demand_mshr_misses::cpu2 59317 # number of demand (read+write) MSHR misses
-system.cpu2.l1c.demand_mshr_misses::total 59317 # number of demand (read+write) MSHR misses
-system.cpu2.l1c.overall_mshr_misses::cpu2 59317 # number of overall MSHR misses
-system.cpu2.l1c.overall_mshr_misses::total 59317 # number of overall MSHR misses
-system.cpu2.l1c.ReadReq_mshr_miss_latency::cpu2 2365672458 # number of ReadReq MSHR miss cycles
-system.cpu2.l1c.ReadReq_mshr_miss_latency::total 2365672458 # number of ReadReq MSHR miss cycles
-system.cpu2.l1c.WriteReq_mshr_miss_latency::cpu2 1751310602 # number of WriteReq MSHR miss cycles
-system.cpu2.l1c.WriteReq_mshr_miss_latency::total 1751310602 # number of WriteReq MSHR miss cycles
-system.cpu2.l1c.demand_mshr_miss_latency::cpu2 4116983060 # number of demand (read+write) MSHR miss cycles
-system.cpu2.l1c.demand_mshr_miss_latency::total 4116983060 # number of demand (read+write) MSHR miss cycles
-system.cpu2.l1c.overall_mshr_miss_latency::cpu2 4116983060 # number of overall MSHR miss cycles
-system.cpu2.l1c.overall_mshr_miss_latency::total 4116983060 # number of overall MSHR miss cycles
-system.cpu2.l1c.ReadReq_mshr_uncacheable_latency::cpu2 1097675449 # number of ReadReq MSHR uncacheable cycles
-system.cpu2.l1c.ReadReq_mshr_uncacheable_latency::total 1097675449 # number of ReadReq MSHR uncacheable cycles
-system.cpu2.l1c.WriteReq_mshr_uncacheable_latency::cpu2 3894001300 # number of WriteReq MSHR uncacheable cycles
-system.cpu2.l1c.WriteReq_mshr_uncacheable_latency::total 3894001300 # number of WriteReq MSHR uncacheable cycles
-system.cpu2.l1c.overall_mshr_uncacheable_latency::cpu2 4991676749 # number of overall MSHR uncacheable cycles
-system.cpu2.l1c.overall_mshr_uncacheable_latency::total 4991676749 # number of overall MSHR uncacheable cycles
-system.cpu2.l1c.ReadReq_mshr_miss_rate::cpu2 0.805665 # mshr miss rate for ReadReq accesses
-system.cpu2.l1c.ReadReq_mshr_miss_rate::total 0.805665 # mshr miss rate for ReadReq accesses
-system.cpu2.l1c.WriteReq_mshr_miss_rate::cpu2 0.955857 # mshr miss rate for WriteReq accesses
-system.cpu2.l1c.WriteReq_mshr_miss_rate::total 0.955857 # mshr miss rate for WriteReq accesses
-system.cpu2.l1c.demand_mshr_miss_rate::cpu2 0.857926 # mshr miss rate for demand accesses
-system.cpu2.l1c.demand_mshr_miss_rate::total 0.857926 # mshr miss rate for demand accesses
-system.cpu2.l1c.overall_mshr_miss_rate::cpu2 0.857926 # mshr miss rate for overall accesses
-system.cpu2.l1c.overall_mshr_miss_rate::total 0.857926 # mshr miss rate for overall accesses
-system.cpu2.l1c.ReadReq_avg_mshr_miss_latency::cpu2 65132.360287 # average ReadReq mshr miss latency
-system.cpu2.l1c.ReadReq_avg_mshr_miss_latency::total 65132.360287 # average ReadReq mshr miss latency
-system.cpu2.l1c.WriteReq_avg_mshr_miss_latency::cpu2 76157.183945 # average WriteReq mshr miss latency
-system.cpu2.l1c.WriteReq_avg_mshr_miss_latency::total 76157.183945 # average WriteReq mshr miss latency
-system.cpu2.l1c.demand_avg_mshr_miss_latency::cpu2 69406.461217 # average overall mshr miss latency
-system.cpu2.l1c.demand_avg_mshr_miss_latency::total 69406.461217 # average overall mshr miss latency
-system.cpu2.l1c.overall_avg_mshr_miss_latency::cpu2 69406.461217 # average overall mshr miss latency
-system.cpu2.l1c.overall_avg_mshr_miss_latency::total 69406.461217 # average overall mshr miss latency
+system.cpu2.l1c.writebacks::writebacks 9891 # number of writebacks
+system.cpu2.l1c.writebacks::total 9891 # number of writebacks
+system.cpu2.l1c.ReadReq_mshr_misses::cpu2 36359 # number of ReadReq MSHR misses
+system.cpu2.l1c.ReadReq_mshr_misses::total 36359 # number of ReadReq MSHR misses
+system.cpu2.l1c.WriteReq_mshr_misses::cpu2 23860 # number of WriteReq MSHR misses
+system.cpu2.l1c.WriteReq_mshr_misses::total 23860 # number of WriteReq MSHR misses
+system.cpu2.l1c.demand_mshr_misses::cpu2 60219 # number of demand (read+write) MSHR misses
+system.cpu2.l1c.demand_mshr_misses::total 60219 # number of demand (read+write) MSHR misses
+system.cpu2.l1c.overall_mshr_misses::cpu2 60219 # number of overall MSHR misses
+system.cpu2.l1c.overall_mshr_misses::total 60219 # number of overall MSHR misses
+system.cpu2.l1c.ReadReq_mshr_miss_latency::cpu2 2394908316 # number of ReadReq MSHR miss cycles
+system.cpu2.l1c.ReadReq_mshr_miss_latency::total 2394908316 # number of ReadReq MSHR miss cycles
+system.cpu2.l1c.WriteReq_mshr_miss_latency::cpu2 1824229170 # number of WriteReq MSHR miss cycles
+system.cpu2.l1c.WriteReq_mshr_miss_latency::total 1824229170 # number of WriteReq MSHR miss cycles
+system.cpu2.l1c.demand_mshr_miss_latency::cpu2 4219137486 # number of demand (read+write) MSHR miss cycles
+system.cpu2.l1c.demand_mshr_miss_latency::total 4219137486 # number of demand (read+write) MSHR miss cycles
+system.cpu2.l1c.overall_mshr_miss_latency::cpu2 4219137486 # number of overall MSHR miss cycles
+system.cpu2.l1c.overall_mshr_miss_latency::total 4219137486 # number of overall MSHR miss cycles
+system.cpu2.l1c.ReadReq_mshr_uncacheable_latency::cpu2 1069659636 # number of ReadReq MSHR uncacheable cycles
+system.cpu2.l1c.ReadReq_mshr_uncacheable_latency::total 1069659636 # number of ReadReq MSHR uncacheable cycles
+system.cpu2.l1c.WriteReq_mshr_uncacheable_latency::cpu2 3964277301 # number of WriteReq MSHR uncacheable cycles
+system.cpu2.l1c.WriteReq_mshr_uncacheable_latency::total 3964277301 # number of WriteReq MSHR uncacheable cycles
+system.cpu2.l1c.overall_mshr_uncacheable_latency::cpu2 5033936937 # number of overall MSHR uncacheable cycles
+system.cpu2.l1c.overall_mshr_uncacheable_latency::total 5033936937 # number of overall MSHR uncacheable cycles
+system.cpu2.l1c.ReadReq_mshr_miss_rate::cpu2 0.809183 # mshr miss rate for ReadReq accesses
+system.cpu2.l1c.ReadReq_mshr_miss_rate::total 0.809183 # mshr miss rate for ReadReq accesses
+system.cpu2.l1c.WriteReq_mshr_miss_rate::cpu2 0.953942 # mshr miss rate for WriteReq accesses
+system.cpu2.l1c.WriteReq_mshr_miss_rate::total 0.953942 # mshr miss rate for WriteReq accesses
+system.cpu2.l1c.demand_mshr_miss_rate::cpu2 0.860948 # mshr miss rate for demand accesses
+system.cpu2.l1c.demand_mshr_miss_rate::total 0.860948 # mshr miss rate for demand accesses
+system.cpu2.l1c.overall_mshr_miss_rate::cpu2 0.860948 # mshr miss rate for overall accesses
+system.cpu2.l1c.overall_mshr_miss_rate::total 0.860948 # mshr miss rate for overall accesses
+system.cpu2.l1c.ReadReq_avg_mshr_miss_latency::cpu2 65868.376908 # average ReadReq mshr miss latency
+system.cpu2.l1c.ReadReq_avg_mshr_miss_latency::total 65868.376908 # average ReadReq mshr miss latency
+system.cpu2.l1c.WriteReq_avg_mshr_miss_latency::cpu2 76455.539396 # average WriteReq mshr miss latency
+system.cpu2.l1c.WriteReq_avg_mshr_miss_latency::total 76455.539396 # average WriteReq mshr miss latency
+system.cpu2.l1c.demand_avg_mshr_miss_latency::cpu2 70063.227320 # average overall mshr miss latency
+system.cpu2.l1c.demand_avg_mshr_miss_latency::total 70063.227320 # average overall mshr miss latency
+system.cpu2.l1c.overall_avg_mshr_miss_latency::cpu2 70063.227320 # average overall mshr miss latency
+system.cpu2.l1c.overall_avg_mshr_miss_latency::total 70063.227320 # average overall mshr miss latency
system.cpu2.l1c.ReadReq_avg_mshr_uncacheable_latency::cpu2 inf # average ReadReq mshr uncacheable latency
system.cpu2.l1c.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu2.l1c.WriteReq_avg_mshr_uncacheable_latency::cpu2 inf # average WriteReq mshr uncacheable latency
@@ -1138,120 +1129,120 @@ system.cpu2.l1c.WriteReq_avg_mshr_uncacheable_latency::total inf
system.cpu2.l1c.overall_avg_mshr_uncacheable_latency::cpu2 inf # average overall mshr uncacheable latency
system.cpu2.l1c.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu2.l1c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu3.num_reads 99664 # number of read accesses completed
-system.cpu3.num_writes 53618 # number of write accesses completed
+system.cpu3.num_reads 98913 # number of read accesses completed
+system.cpu3.num_writes 55212 # number of write accesses completed
system.cpu3.num_copies 0 # number of copy accesses completed
-system.cpu3.l1c.tags.replacements 22539 # number of replacements
-system.cpu3.l1c.tags.tagsinuse 397.521626 # Cycle average of tags in use
-system.cpu3.l1c.tags.total_refs 13272 # Total number of references to valid blocks.
-system.cpu3.l1c.tags.sampled_refs 22952 # Sample count of references to valid blocks.
-system.cpu3.l1c.tags.avg_refs 0.578250 # Average number of references to valid blocks.
+system.cpu3.l1c.tags.replacements 22225 # number of replacements
+system.cpu3.l1c.tags.tagsinuse 393.873430 # Cycle average of tags in use
+system.cpu3.l1c.tags.total_refs 13306 # Total number of references to valid blocks.
+system.cpu3.l1c.tags.sampled_refs 22621 # Sample count of references to valid blocks.
+system.cpu3.l1c.tags.avg_refs 0.588214 # Average number of references to valid blocks.
system.cpu3.l1c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu3.l1c.tags.occ_blocks::cpu3 397.521626 # Average occupied blocks per requestor
-system.cpu3.l1c.tags.occ_percent::cpu3 0.776409 # Average percentage of cache occupancy
-system.cpu3.l1c.tags.occ_percent::total 0.776409 # Average percentage of cache occupancy
-system.cpu3.l1c.tags.occ_task_id_blocks::1024 413 # Occupied blocks per task id
-system.cpu3.l1c.tags.age_task_id_blocks_1024::0 263 # Occupied blocks per task id
-system.cpu3.l1c.tags.age_task_id_blocks_1024::1 150 # Occupied blocks per task id
-system.cpu3.l1c.tags.occ_task_id_percent::1024 0.806641 # Percentage of cache occupancy per task id
-system.cpu3.l1c.tags.tag_accesses 332331 # Number of tag accesses
-system.cpu3.l1c.tags.data_accesses 332331 # Number of data accesses
-system.cpu3.l1c.ReadReq_hits::cpu3 8701 # number of ReadReq hits
-system.cpu3.l1c.ReadReq_hits::total 8701 # number of ReadReq hits
-system.cpu3.l1c.WriteReq_hits::cpu3 1040 # number of WriteReq hits
-system.cpu3.l1c.WriteReq_hits::total 1040 # number of WriteReq hits
-system.cpu3.l1c.demand_hits::cpu3 9741 # number of demand (read+write) hits
-system.cpu3.l1c.demand_hits::total 9741 # number of demand (read+write) hits
-system.cpu3.l1c.overall_hits::cpu3 9741 # number of overall hits
-system.cpu3.l1c.overall_hits::total 9741 # number of overall hits
-system.cpu3.l1c.ReadReq_misses::cpu3 36310 # number of ReadReq misses
-system.cpu3.l1c.ReadReq_misses::total 36310 # number of ReadReq misses
-system.cpu3.l1c.WriteReq_misses::cpu3 23079 # number of WriteReq misses
-system.cpu3.l1c.WriteReq_misses::total 23079 # number of WriteReq misses
-system.cpu3.l1c.demand_misses::cpu3 59389 # number of demand (read+write) misses
-system.cpu3.l1c.demand_misses::total 59389 # number of demand (read+write) misses
-system.cpu3.l1c.overall_misses::cpu3 59389 # number of overall misses
-system.cpu3.l1c.overall_misses::total 59389 # number of overall misses
-system.cpu3.l1c.ReadReq_miss_latency::cpu3 2444229866 # number of ReadReq miss cycles
-system.cpu3.l1c.ReadReq_miss_latency::total 2444229866 # number of ReadReq miss cycles
-system.cpu3.l1c.WriteReq_miss_latency::cpu3 1811981579 # number of WriteReq miss cycles
-system.cpu3.l1c.WriteReq_miss_latency::total 1811981579 # number of WriteReq miss cycles
-system.cpu3.l1c.demand_miss_latency::cpu3 4256211445 # number of demand (read+write) miss cycles
-system.cpu3.l1c.demand_miss_latency::total 4256211445 # number of demand (read+write) miss cycles
-system.cpu3.l1c.overall_miss_latency::cpu3 4256211445 # number of overall miss cycles
-system.cpu3.l1c.overall_miss_latency::total 4256211445 # number of overall miss cycles
-system.cpu3.l1c.ReadReq_accesses::cpu3 45011 # number of ReadReq accesses(hits+misses)
-system.cpu3.l1c.ReadReq_accesses::total 45011 # number of ReadReq accesses(hits+misses)
-system.cpu3.l1c.WriteReq_accesses::cpu3 24119 # number of WriteReq accesses(hits+misses)
-system.cpu3.l1c.WriteReq_accesses::total 24119 # number of WriteReq accesses(hits+misses)
-system.cpu3.l1c.demand_accesses::cpu3 69130 # number of demand (read+write) accesses
-system.cpu3.l1c.demand_accesses::total 69130 # number of demand (read+write) accesses
-system.cpu3.l1c.overall_accesses::cpu3 69130 # number of overall (read+write) accesses
-system.cpu3.l1c.overall_accesses::total 69130 # number of overall (read+write) accesses
-system.cpu3.l1c.ReadReq_miss_rate::cpu3 0.806692 # miss rate for ReadReq accesses
-system.cpu3.l1c.ReadReq_miss_rate::total 0.806692 # miss rate for ReadReq accesses
-system.cpu3.l1c.WriteReq_miss_rate::cpu3 0.956880 # miss rate for WriteReq accesses
-system.cpu3.l1c.WriteReq_miss_rate::total 0.956880 # miss rate for WriteReq accesses
-system.cpu3.l1c.demand_miss_rate::cpu3 0.859092 # miss rate for demand accesses
-system.cpu3.l1c.demand_miss_rate::total 0.859092 # miss rate for demand accesses
-system.cpu3.l1c.overall_miss_rate::cpu3 0.859092 # miss rate for overall accesses
-system.cpu3.l1c.overall_miss_rate::total 0.859092 # miss rate for overall accesses
-system.cpu3.l1c.ReadReq_avg_miss_latency::cpu3 67315.611842 # average ReadReq miss latency
-system.cpu3.l1c.ReadReq_avg_miss_latency::total 67315.611842 # average ReadReq miss latency
-system.cpu3.l1c.WriteReq_avg_miss_latency::cpu3 78512.135664 # average WriteReq miss latency
-system.cpu3.l1c.WriteReq_avg_miss_latency::total 78512.135664 # average WriteReq miss latency
-system.cpu3.l1c.demand_avg_miss_latency::cpu3 71666.662934 # average overall miss latency
-system.cpu3.l1c.demand_avg_miss_latency::total 71666.662934 # average overall miss latency
-system.cpu3.l1c.overall_avg_miss_latency::cpu3 71666.662934 # average overall miss latency
-system.cpu3.l1c.overall_avg_miss_latency::total 71666.662934 # average overall miss latency
-system.cpu3.l1c.blocked_cycles::no_mshrs 2167444 # number of cycles access was blocked
+system.cpu3.l1c.tags.occ_blocks::cpu3 393.873430 # Average occupied blocks per requestor
+system.cpu3.l1c.tags.occ_percent::cpu3 0.769284 # Average percentage of cache occupancy
+system.cpu3.l1c.tags.occ_percent::total 0.769284 # Average percentage of cache occupancy
+system.cpu3.l1c.tags.occ_task_id_blocks::1024 396 # Occupied blocks per task id
+system.cpu3.l1c.tags.age_task_id_blocks_1024::0 274 # Occupied blocks per task id
+system.cpu3.l1c.tags.age_task_id_blocks_1024::1 122 # Occupied blocks per task id
+system.cpu3.l1c.tags.occ_task_id_percent::1024 0.773438 # Percentage of cache occupancy per task id
+system.cpu3.l1c.tags.tag_accesses 336364 # Number of tag accesses
+system.cpu3.l1c.tags.data_accesses 336364 # Number of data accesses
+system.cpu3.l1c.ReadReq_hits::cpu3 8580 # number of ReadReq hits
+system.cpu3.l1c.ReadReq_hits::total 8580 # number of ReadReq hits
+system.cpu3.l1c.WriteReq_hits::cpu3 1197 # number of WriteReq hits
+system.cpu3.l1c.WriteReq_hits::total 1197 # number of WriteReq hits
+system.cpu3.l1c.demand_hits::cpu3 9777 # number of demand (read+write) hits
+system.cpu3.l1c.demand_hits::total 9777 # number of demand (read+write) hits
+system.cpu3.l1c.overall_hits::cpu3 9777 # number of overall hits
+system.cpu3.l1c.overall_hits::total 9777 # number of overall hits
+system.cpu3.l1c.ReadReq_misses::cpu3 36212 # number of ReadReq misses
+system.cpu3.l1c.ReadReq_misses::total 36212 # number of ReadReq misses
+system.cpu3.l1c.WriteReq_misses::cpu3 23956 # number of WriteReq misses
+system.cpu3.l1c.WriteReq_misses::total 23956 # number of WriteReq misses
+system.cpu3.l1c.demand_misses::cpu3 60168 # number of demand (read+write) misses
+system.cpu3.l1c.demand_misses::total 60168 # number of demand (read+write) misses
+system.cpu3.l1c.overall_misses::cpu3 60168 # number of overall misses
+system.cpu3.l1c.overall_misses::total 60168 # number of overall misses
+system.cpu3.l1c.ReadReq_miss_latency::cpu3 2456007015 # number of ReadReq miss cycles
+system.cpu3.l1c.ReadReq_miss_latency::total 2456007015 # number of ReadReq miss cycles
+system.cpu3.l1c.WriteReq_miss_latency::cpu3 1878963119 # number of WriteReq miss cycles
+system.cpu3.l1c.WriteReq_miss_latency::total 1878963119 # number of WriteReq miss cycles
+system.cpu3.l1c.demand_miss_latency::cpu3 4334970134 # number of demand (read+write) miss cycles
+system.cpu3.l1c.demand_miss_latency::total 4334970134 # number of demand (read+write) miss cycles
+system.cpu3.l1c.overall_miss_latency::cpu3 4334970134 # number of overall miss cycles
+system.cpu3.l1c.overall_miss_latency::total 4334970134 # number of overall miss cycles
+system.cpu3.l1c.ReadReq_accesses::cpu3 44792 # number of ReadReq accesses(hits+misses)
+system.cpu3.l1c.ReadReq_accesses::total 44792 # number of ReadReq accesses(hits+misses)
+system.cpu3.l1c.WriteReq_accesses::cpu3 25153 # number of WriteReq accesses(hits+misses)
+system.cpu3.l1c.WriteReq_accesses::total 25153 # number of WriteReq accesses(hits+misses)
+system.cpu3.l1c.demand_accesses::cpu3 69945 # number of demand (read+write) accesses
+system.cpu3.l1c.demand_accesses::total 69945 # number of demand (read+write) accesses
+system.cpu3.l1c.overall_accesses::cpu3 69945 # number of overall (read+write) accesses
+system.cpu3.l1c.overall_accesses::total 69945 # number of overall (read+write) accesses
+system.cpu3.l1c.ReadReq_miss_rate::cpu3 0.808448 # miss rate for ReadReq accesses
+system.cpu3.l1c.ReadReq_miss_rate::total 0.808448 # miss rate for ReadReq accesses
+system.cpu3.l1c.WriteReq_miss_rate::cpu3 0.952411 # miss rate for WriteReq accesses
+system.cpu3.l1c.WriteReq_miss_rate::total 0.952411 # miss rate for WriteReq accesses
+system.cpu3.l1c.demand_miss_rate::cpu3 0.860219 # miss rate for demand accesses
+system.cpu3.l1c.demand_miss_rate::total 0.860219 # miss rate for demand accesses
+system.cpu3.l1c.overall_miss_rate::cpu3 0.860219 # miss rate for overall accesses
+system.cpu3.l1c.overall_miss_rate::total 0.860219 # miss rate for overall accesses
+system.cpu3.l1c.ReadReq_avg_miss_latency::cpu3 67823.014885 # average ReadReq miss latency
+system.cpu3.l1c.ReadReq_avg_miss_latency::total 67823.014885 # average ReadReq miss latency
+system.cpu3.l1c.WriteReq_avg_miss_latency::cpu3 78433.925488 # average WriteReq miss latency
+system.cpu3.l1c.WriteReq_avg_miss_latency::total 78433.925488 # average WriteReq miss latency
+system.cpu3.l1c.demand_avg_miss_latency::cpu3 72047.768482 # average overall miss latency
+system.cpu3.l1c.demand_avg_miss_latency::total 72047.768482 # average overall miss latency
+system.cpu3.l1c.overall_avg_miss_latency::cpu3 72047.768482 # average overall miss latency
+system.cpu3.l1c.overall_avg_miss_latency::total 72047.768482 # average overall miss latency
+system.cpu3.l1c.blocked_cycles::no_mshrs 2191987 # number of cycles access was blocked
system.cpu3.l1c.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu3.l1c.blocked::no_mshrs 59346 # number of cycles access was blocked
+system.cpu3.l1c.blocked::no_mshrs 59750 # number of cycles access was blocked
system.cpu3.l1c.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu3.l1c.avg_blocked_cycles::no_mshrs 36.522158 # average number of cycles each access was blocked
+system.cpu3.l1c.avg_blocked_cycles::no_mshrs 36.685975 # average number of cycles each access was blocked
system.cpu3.l1c.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu3.l1c.fast_writes 0 # number of fast writes performed
system.cpu3.l1c.cache_copies 0 # number of cache copies performed
-system.cpu3.l1c.writebacks::writebacks 9755 # number of writebacks
-system.cpu3.l1c.writebacks::total 9755 # number of writebacks
-system.cpu3.l1c.ReadReq_mshr_misses::cpu3 36310 # number of ReadReq MSHR misses
-system.cpu3.l1c.ReadReq_mshr_misses::total 36310 # number of ReadReq MSHR misses
-system.cpu3.l1c.WriteReq_mshr_misses::cpu3 23079 # number of WriteReq MSHR misses
-system.cpu3.l1c.WriteReq_mshr_misses::total 23079 # number of WriteReq MSHR misses
-system.cpu3.l1c.demand_mshr_misses::cpu3 59389 # number of demand (read+write) MSHR misses
-system.cpu3.l1c.demand_mshr_misses::total 59389 # number of demand (read+write) MSHR misses
-system.cpu3.l1c.overall_mshr_misses::cpu3 59389 # number of overall MSHR misses
-system.cpu3.l1c.overall_mshr_misses::total 59389 # number of overall MSHR misses
-system.cpu3.l1c.ReadReq_mshr_miss_latency::cpu3 2367603538 # number of ReadReq MSHR miss cycles
-system.cpu3.l1c.ReadReq_mshr_miss_latency::total 2367603538 # number of ReadReq MSHR miss cycles
-system.cpu3.l1c.WriteReq_mshr_miss_latency::cpu3 1763687167 # number of WriteReq MSHR miss cycles
-system.cpu3.l1c.WriteReq_mshr_miss_latency::total 1763687167 # number of WriteReq MSHR miss cycles
-system.cpu3.l1c.demand_mshr_miss_latency::cpu3 4131290705 # number of demand (read+write) MSHR miss cycles
-system.cpu3.l1c.demand_mshr_miss_latency::total 4131290705 # number of demand (read+write) MSHR miss cycles
-system.cpu3.l1c.overall_mshr_miss_latency::cpu3 4131290705 # number of overall MSHR miss cycles
-system.cpu3.l1c.overall_mshr_miss_latency::total 4131290705 # number of overall MSHR miss cycles
-system.cpu3.l1c.ReadReq_mshr_uncacheable_latency::cpu3 1080268673 # number of ReadReq MSHR uncacheable cycles
-system.cpu3.l1c.ReadReq_mshr_uncacheable_latency::total 1080268673 # number of ReadReq MSHR uncacheable cycles
-system.cpu3.l1c.WriteReq_mshr_uncacheable_latency::cpu3 3893349735 # number of WriteReq MSHR uncacheable cycles
-system.cpu3.l1c.WriteReq_mshr_uncacheable_latency::total 3893349735 # number of WriteReq MSHR uncacheable cycles
-system.cpu3.l1c.overall_mshr_uncacheable_latency::cpu3 4973618408 # number of overall MSHR uncacheable cycles
-system.cpu3.l1c.overall_mshr_uncacheable_latency::total 4973618408 # number of overall MSHR uncacheable cycles
-system.cpu3.l1c.ReadReq_mshr_miss_rate::cpu3 0.806692 # mshr miss rate for ReadReq accesses
-system.cpu3.l1c.ReadReq_mshr_miss_rate::total 0.806692 # mshr miss rate for ReadReq accesses
-system.cpu3.l1c.WriteReq_mshr_miss_rate::cpu3 0.956880 # mshr miss rate for WriteReq accesses
-system.cpu3.l1c.WriteReq_mshr_miss_rate::total 0.956880 # mshr miss rate for WriteReq accesses
-system.cpu3.l1c.demand_mshr_miss_rate::cpu3 0.859092 # mshr miss rate for demand accesses
-system.cpu3.l1c.demand_mshr_miss_rate::total 0.859092 # mshr miss rate for demand accesses
-system.cpu3.l1c.overall_mshr_miss_rate::cpu3 0.859092 # mshr miss rate for overall accesses
-system.cpu3.l1c.overall_mshr_miss_rate::total 0.859092 # mshr miss rate for overall accesses
-system.cpu3.l1c.ReadReq_avg_mshr_miss_latency::cpu3 65205.275076 # average ReadReq mshr miss latency
-system.cpu3.l1c.ReadReq_avg_mshr_miss_latency::total 65205.275076 # average ReadReq mshr miss latency
-system.cpu3.l1c.WriteReq_avg_mshr_miss_latency::cpu3 76419.566142 # average WriteReq mshr miss latency
-system.cpu3.l1c.WriteReq_avg_mshr_miss_latency::total 76419.566142 # average WriteReq mshr miss latency
-system.cpu3.l1c.demand_avg_mshr_miss_latency::cpu3 69563.230649 # average overall mshr miss latency
-system.cpu3.l1c.demand_avg_mshr_miss_latency::total 69563.230649 # average overall mshr miss latency
-system.cpu3.l1c.overall_avg_mshr_miss_latency::cpu3 69563.230649 # average overall mshr miss latency
-system.cpu3.l1c.overall_avg_mshr_miss_latency::total 69563.230649 # average overall mshr miss latency
+system.cpu3.l1c.writebacks::writebacks 9841 # number of writebacks
+system.cpu3.l1c.writebacks::total 9841 # number of writebacks
+system.cpu3.l1c.ReadReq_mshr_misses::cpu3 36212 # number of ReadReq MSHR misses
+system.cpu3.l1c.ReadReq_mshr_misses::total 36212 # number of ReadReq MSHR misses
+system.cpu3.l1c.WriteReq_mshr_misses::cpu3 23956 # number of WriteReq MSHR misses
+system.cpu3.l1c.WriteReq_mshr_misses::total 23956 # number of WriteReq MSHR misses
+system.cpu3.l1c.demand_mshr_misses::cpu3 60168 # number of demand (read+write) MSHR misses
+system.cpu3.l1c.demand_mshr_misses::total 60168 # number of demand (read+write) MSHR misses
+system.cpu3.l1c.overall_mshr_misses::cpu3 60168 # number of overall MSHR misses
+system.cpu3.l1c.overall_mshr_misses::total 60168 # number of overall MSHR misses
+system.cpu3.l1c.ReadReq_mshr_miss_latency::cpu3 2379648497 # number of ReadReq MSHR miss cycles
+system.cpu3.l1c.ReadReq_mshr_miss_latency::total 2379648497 # number of ReadReq MSHR miss cycles
+system.cpu3.l1c.WriteReq_mshr_miss_latency::cpu3 1828763107 # number of WriteReq MSHR miss cycles
+system.cpu3.l1c.WriteReq_mshr_miss_latency::total 1828763107 # number of WriteReq MSHR miss cycles
+system.cpu3.l1c.demand_mshr_miss_latency::cpu3 4208411604 # number of demand (read+write) MSHR miss cycles
+system.cpu3.l1c.demand_mshr_miss_latency::total 4208411604 # number of demand (read+write) MSHR miss cycles
+system.cpu3.l1c.overall_mshr_miss_latency::cpu3 4208411604 # number of overall MSHR miss cycles
+system.cpu3.l1c.overall_mshr_miss_latency::total 4208411604 # number of overall MSHR miss cycles
+system.cpu3.l1c.ReadReq_mshr_uncacheable_latency::cpu3 1072329681 # number of ReadReq MSHR uncacheable cycles
+system.cpu3.l1c.ReadReq_mshr_uncacheable_latency::total 1072329681 # number of ReadReq MSHR uncacheable cycles
+system.cpu3.l1c.WriteReq_mshr_uncacheable_latency::cpu3 3992913639 # number of WriteReq MSHR uncacheable cycles
+system.cpu3.l1c.WriteReq_mshr_uncacheable_latency::total 3992913639 # number of WriteReq MSHR uncacheable cycles
+system.cpu3.l1c.overall_mshr_uncacheable_latency::cpu3 5065243320 # number of overall MSHR uncacheable cycles
+system.cpu3.l1c.overall_mshr_uncacheable_latency::total 5065243320 # number of overall MSHR uncacheable cycles
+system.cpu3.l1c.ReadReq_mshr_miss_rate::cpu3 0.808448 # mshr miss rate for ReadReq accesses
+system.cpu3.l1c.ReadReq_mshr_miss_rate::total 0.808448 # mshr miss rate for ReadReq accesses
+system.cpu3.l1c.WriteReq_mshr_miss_rate::cpu3 0.952411 # mshr miss rate for WriteReq accesses
+system.cpu3.l1c.WriteReq_mshr_miss_rate::total 0.952411 # mshr miss rate for WriteReq accesses
+system.cpu3.l1c.demand_mshr_miss_rate::cpu3 0.860219 # mshr miss rate for demand accesses
+system.cpu3.l1c.demand_mshr_miss_rate::total 0.860219 # mshr miss rate for demand accesses
+system.cpu3.l1c.overall_mshr_miss_rate::cpu3 0.860219 # mshr miss rate for overall accesses
+system.cpu3.l1c.overall_mshr_miss_rate::total 0.860219 # mshr miss rate for overall accesses
+system.cpu3.l1c.ReadReq_avg_mshr_miss_latency::cpu3 65714.362559 # average ReadReq mshr miss latency
+system.cpu3.l1c.ReadReq_avg_mshr_miss_latency::total 65714.362559 # average ReadReq mshr miss latency
+system.cpu3.l1c.WriteReq_avg_mshr_miss_latency::cpu3 76338.416555 # average WriteReq mshr miss latency
+system.cpu3.l1c.WriteReq_avg_mshr_miss_latency::total 76338.416555 # average WriteReq mshr miss latency
+system.cpu3.l1c.demand_avg_mshr_miss_latency::cpu3 69944.349222 # average overall mshr miss latency
+system.cpu3.l1c.demand_avg_mshr_miss_latency::total 69944.349222 # average overall mshr miss latency
+system.cpu3.l1c.overall_avg_mshr_miss_latency::cpu3 69944.349222 # average overall mshr miss latency
+system.cpu3.l1c.overall_avg_mshr_miss_latency::total 69944.349222 # average overall mshr miss latency
system.cpu3.l1c.ReadReq_avg_mshr_uncacheable_latency::cpu3 inf # average ReadReq mshr uncacheable latency
system.cpu3.l1c.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu3.l1c.WriteReq_avg_mshr_uncacheable_latency::cpu3 inf # average WriteReq mshr uncacheable latency
@@ -1259,120 +1250,120 @@ system.cpu3.l1c.WriteReq_avg_mshr_uncacheable_latency::total inf
system.cpu3.l1c.overall_avg_mshr_uncacheable_latency::cpu3 inf # average overall mshr uncacheable latency
system.cpu3.l1c.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu3.l1c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu4.num_reads 99015 # number of read accesses completed
-system.cpu4.num_writes 53820 # number of write accesses completed
+system.cpu4.num_reads 100000 # number of read accesses completed
+system.cpu4.num_writes 54692 # number of write accesses completed
system.cpu4.num_copies 0 # number of copy accesses completed
-system.cpu4.l1c.tags.replacements 22084 # number of replacements
-system.cpu4.l1c.tags.tagsinuse 396.195798 # Cycle average of tags in use
-system.cpu4.l1c.tags.total_refs 13300 # Total number of references to valid blocks.
-system.cpu4.l1c.tags.sampled_refs 22488 # Sample count of references to valid blocks.
-system.cpu4.l1c.tags.avg_refs 0.591427 # Average number of references to valid blocks.
+system.cpu4.l1c.tags.replacements 22289 # number of replacements
+system.cpu4.l1c.tags.tagsinuse 395.541537 # Cycle average of tags in use
+system.cpu4.l1c.tags.total_refs 13462 # Total number of references to valid blocks.
+system.cpu4.l1c.tags.sampled_refs 22679 # Sample count of references to valid blocks.
+system.cpu4.l1c.tags.avg_refs 0.593589 # Average number of references to valid blocks.
system.cpu4.l1c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu4.l1c.tags.occ_blocks::cpu4 396.195798 # Average occupied blocks per requestor
-system.cpu4.l1c.tags.occ_percent::cpu4 0.773820 # Average percentage of cache occupancy
-system.cpu4.l1c.tags.occ_percent::total 0.773820 # Average percentage of cache occupancy
-system.cpu4.l1c.tags.occ_task_id_blocks::1024 404 # Occupied blocks per task id
-system.cpu4.l1c.tags.age_task_id_blocks_1024::0 265 # Occupied blocks per task id
-system.cpu4.l1c.tags.age_task_id_blocks_1024::1 139 # Occupied blocks per task id
-system.cpu4.l1c.tags.occ_task_id_percent::1024 0.789062 # Percentage of cache occupancy per task id
-system.cpu4.l1c.tags.tag_accesses 330427 # Number of tag accesses
-system.cpu4.l1c.tags.data_accesses 330427 # Number of data accesses
-system.cpu4.l1c.ReadReq_hits::cpu4 8669 # number of ReadReq hits
-system.cpu4.l1c.ReadReq_hits::total 8669 # number of ReadReq hits
-system.cpu4.l1c.WriteReq_hits::cpu4 1045 # number of WriteReq hits
-system.cpu4.l1c.WriteReq_hits::total 1045 # number of WriteReq hits
-system.cpu4.l1c.demand_hits::cpu4 9714 # number of demand (read+write) hits
-system.cpu4.l1c.demand_hits::total 9714 # number of demand (read+write) hits
-system.cpu4.l1c.overall_hits::cpu4 9714 # number of overall hits
-system.cpu4.l1c.overall_hits::total 9714 # number of overall hits
-system.cpu4.l1c.ReadReq_misses::cpu4 35948 # number of ReadReq misses
-system.cpu4.l1c.ReadReq_misses::total 35948 # number of ReadReq misses
-system.cpu4.l1c.WriteReq_misses::cpu4 23093 # number of WriteReq misses
-system.cpu4.l1c.WriteReq_misses::total 23093 # number of WriteReq misses
-system.cpu4.l1c.demand_misses::cpu4 59041 # number of demand (read+write) misses
-system.cpu4.l1c.demand_misses::total 59041 # number of demand (read+write) misses
-system.cpu4.l1c.overall_misses::cpu4 59041 # number of overall misses
-system.cpu4.l1c.overall_misses::total 59041 # number of overall misses
-system.cpu4.l1c.ReadReq_miss_latency::cpu4 2417278257 # number of ReadReq miss cycles
-system.cpu4.l1c.ReadReq_miss_latency::total 2417278257 # number of ReadReq miss cycles
-system.cpu4.l1c.WriteReq_miss_latency::cpu4 1822150466 # number of WriteReq miss cycles
-system.cpu4.l1c.WriteReq_miss_latency::total 1822150466 # number of WriteReq miss cycles
-system.cpu4.l1c.demand_miss_latency::cpu4 4239428723 # number of demand (read+write) miss cycles
-system.cpu4.l1c.demand_miss_latency::total 4239428723 # number of demand (read+write) miss cycles
-system.cpu4.l1c.overall_miss_latency::cpu4 4239428723 # number of overall miss cycles
-system.cpu4.l1c.overall_miss_latency::total 4239428723 # number of overall miss cycles
-system.cpu4.l1c.ReadReq_accesses::cpu4 44617 # number of ReadReq accesses(hits+misses)
-system.cpu4.l1c.ReadReq_accesses::total 44617 # number of ReadReq accesses(hits+misses)
-system.cpu4.l1c.WriteReq_accesses::cpu4 24138 # number of WriteReq accesses(hits+misses)
-system.cpu4.l1c.WriteReq_accesses::total 24138 # number of WriteReq accesses(hits+misses)
-system.cpu4.l1c.demand_accesses::cpu4 68755 # number of demand (read+write) accesses
-system.cpu4.l1c.demand_accesses::total 68755 # number of demand (read+write) accesses
-system.cpu4.l1c.overall_accesses::cpu4 68755 # number of overall (read+write) accesses
-system.cpu4.l1c.overall_accesses::total 68755 # number of overall (read+write) accesses
-system.cpu4.l1c.ReadReq_miss_rate::cpu4 0.805702 # miss rate for ReadReq accesses
-system.cpu4.l1c.ReadReq_miss_rate::total 0.805702 # miss rate for ReadReq accesses
-system.cpu4.l1c.WriteReq_miss_rate::cpu4 0.956707 # miss rate for WriteReq accesses
-system.cpu4.l1c.WriteReq_miss_rate::total 0.956707 # miss rate for WriteReq accesses
-system.cpu4.l1c.demand_miss_rate::cpu4 0.858716 # miss rate for demand accesses
-system.cpu4.l1c.demand_miss_rate::total 0.858716 # miss rate for demand accesses
-system.cpu4.l1c.overall_miss_rate::cpu4 0.858716 # miss rate for overall accesses
-system.cpu4.l1c.overall_miss_rate::total 0.858716 # miss rate for overall accesses
-system.cpu4.l1c.ReadReq_avg_miss_latency::cpu4 67243.748108 # average ReadReq miss latency
-system.cpu4.l1c.ReadReq_avg_miss_latency::total 67243.748108 # average ReadReq miss latency
-system.cpu4.l1c.WriteReq_avg_miss_latency::cpu4 78904.883125 # average WriteReq miss latency
-system.cpu4.l1c.WriteReq_avg_miss_latency::total 78904.883125 # average WriteReq miss latency
-system.cpu4.l1c.demand_avg_miss_latency::cpu4 71804.825850 # average overall miss latency
-system.cpu4.l1c.demand_avg_miss_latency::total 71804.825850 # average overall miss latency
-system.cpu4.l1c.overall_avg_miss_latency::cpu4 71804.825850 # average overall miss latency
-system.cpu4.l1c.overall_avg_miss_latency::total 71804.825850 # average overall miss latency
-system.cpu4.l1c.blocked_cycles::no_mshrs 2163506 # number of cycles access was blocked
+system.cpu4.l1c.tags.occ_blocks::cpu4 395.541537 # Average occupied blocks per requestor
+system.cpu4.l1c.tags.occ_percent::cpu4 0.772542 # Average percentage of cache occupancy
+system.cpu4.l1c.tags.occ_percent::total 0.772542 # Average percentage of cache occupancy
+system.cpu4.l1c.tags.occ_task_id_blocks::1024 390 # Occupied blocks per task id
+system.cpu4.l1c.tags.age_task_id_blocks_1024::0 278 # Occupied blocks per task id
+system.cpu4.l1c.tags.age_task_id_blocks_1024::1 112 # Occupied blocks per task id
+system.cpu4.l1c.tags.occ_task_id_percent::1024 0.761719 # Percentage of cache occupancy per task id
+system.cpu4.l1c.tags.tag_accesses 337633 # Number of tag accesses
+system.cpu4.l1c.tags.data_accesses 337633 # Number of data accesses
+system.cpu4.l1c.ReadReq_hits::cpu4 8739 # number of ReadReq hits
+system.cpu4.l1c.ReadReq_hits::total 8739 # number of ReadReq hits
+system.cpu4.l1c.WriteReq_hits::cpu4 1176 # number of WriteReq hits
+system.cpu4.l1c.WriteReq_hits::total 1176 # number of WriteReq hits
+system.cpu4.l1c.demand_hits::cpu4 9915 # number of demand (read+write) hits
+system.cpu4.l1c.demand_hits::total 9915 # number of demand (read+write) hits
+system.cpu4.l1c.overall_hits::cpu4 9915 # number of overall hits
+system.cpu4.l1c.overall_hits::total 9915 # number of overall hits
+system.cpu4.l1c.ReadReq_misses::cpu4 36692 # number of ReadReq misses
+system.cpu4.l1c.ReadReq_misses::total 36692 # number of ReadReq misses
+system.cpu4.l1c.WriteReq_misses::cpu4 23629 # number of WriteReq misses
+system.cpu4.l1c.WriteReq_misses::total 23629 # number of WriteReq misses
+system.cpu4.l1c.demand_misses::cpu4 60321 # number of demand (read+write) misses
+system.cpu4.l1c.demand_misses::total 60321 # number of demand (read+write) misses
+system.cpu4.l1c.overall_misses::cpu4 60321 # number of overall misses
+system.cpu4.l1c.overall_misses::total 60321 # number of overall misses
+system.cpu4.l1c.ReadReq_miss_latency::cpu4 2465146363 # number of ReadReq miss cycles
+system.cpu4.l1c.ReadReq_miss_latency::total 2465146363 # number of ReadReq miss cycles
+system.cpu4.l1c.WriteReq_miss_latency::cpu4 1837411479 # number of WriteReq miss cycles
+system.cpu4.l1c.WriteReq_miss_latency::total 1837411479 # number of WriteReq miss cycles
+system.cpu4.l1c.demand_miss_latency::cpu4 4302557842 # number of demand (read+write) miss cycles
+system.cpu4.l1c.demand_miss_latency::total 4302557842 # number of demand (read+write) miss cycles
+system.cpu4.l1c.overall_miss_latency::cpu4 4302557842 # number of overall miss cycles
+system.cpu4.l1c.overall_miss_latency::total 4302557842 # number of overall miss cycles
+system.cpu4.l1c.ReadReq_accesses::cpu4 45431 # number of ReadReq accesses(hits+misses)
+system.cpu4.l1c.ReadReq_accesses::total 45431 # number of ReadReq accesses(hits+misses)
+system.cpu4.l1c.WriteReq_accesses::cpu4 24805 # number of WriteReq accesses(hits+misses)
+system.cpu4.l1c.WriteReq_accesses::total 24805 # number of WriteReq accesses(hits+misses)
+system.cpu4.l1c.demand_accesses::cpu4 70236 # number of demand (read+write) accesses
+system.cpu4.l1c.demand_accesses::total 70236 # number of demand (read+write) accesses
+system.cpu4.l1c.overall_accesses::cpu4 70236 # number of overall (read+write) accesses
+system.cpu4.l1c.overall_accesses::total 70236 # number of overall (read+write) accesses
+system.cpu4.l1c.ReadReq_miss_rate::cpu4 0.807642 # miss rate for ReadReq accesses
+system.cpu4.l1c.ReadReq_miss_rate::total 0.807642 # miss rate for ReadReq accesses
+system.cpu4.l1c.WriteReq_miss_rate::cpu4 0.952590 # miss rate for WriteReq accesses
+system.cpu4.l1c.WriteReq_miss_rate::total 0.952590 # miss rate for WriteReq accesses
+system.cpu4.l1c.demand_miss_rate::cpu4 0.858833 # miss rate for demand accesses
+system.cpu4.l1c.demand_miss_rate::total 0.858833 # miss rate for demand accesses
+system.cpu4.l1c.overall_miss_rate::cpu4 0.858833 # miss rate for overall accesses
+system.cpu4.l1c.overall_miss_rate::total 0.858833 # miss rate for overall accesses
+system.cpu4.l1c.ReadReq_avg_miss_latency::cpu4 67184.845825 # average ReadReq miss latency
+system.cpu4.l1c.ReadReq_avg_miss_latency::total 67184.845825 # average ReadReq miss latency
+system.cpu4.l1c.WriteReq_avg_miss_latency::cpu4 77760.864996 # average WriteReq miss latency
+system.cpu4.l1c.WriteReq_avg_miss_latency::total 77760.864996 # average WriteReq miss latency
+system.cpu4.l1c.demand_avg_miss_latency::cpu4 71327.694203 # average overall miss latency
+system.cpu4.l1c.demand_avg_miss_latency::total 71327.694203 # average overall miss latency
+system.cpu4.l1c.overall_avg_miss_latency::cpu4 71327.694203 # average overall miss latency
+system.cpu4.l1c.overall_avg_miss_latency::total 71327.694203 # average overall miss latency
+system.cpu4.l1c.blocked_cycles::no_mshrs 2196199 # number of cycles access was blocked
system.cpu4.l1c.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu4.l1c.blocked::no_mshrs 58921 # number of cycles access was blocked
+system.cpu4.l1c.blocked::no_mshrs 60342 # number of cycles access was blocked
system.cpu4.l1c.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu4.l1c.avg_blocked_cycles::no_mshrs 36.718759 # average number of cycles each access was blocked
+system.cpu4.l1c.avg_blocked_cycles::no_mshrs 36.395860 # average number of cycles each access was blocked
system.cpu4.l1c.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu4.l1c.fast_writes 0 # number of fast writes performed
system.cpu4.l1c.cache_copies 0 # number of cache copies performed
-system.cpu4.l1c.writebacks::writebacks 9586 # number of writebacks
-system.cpu4.l1c.writebacks::total 9586 # number of writebacks
-system.cpu4.l1c.ReadReq_mshr_misses::cpu4 35948 # number of ReadReq MSHR misses
-system.cpu4.l1c.ReadReq_mshr_misses::total 35948 # number of ReadReq MSHR misses
-system.cpu4.l1c.WriteReq_mshr_misses::cpu4 23093 # number of WriteReq MSHR misses
-system.cpu4.l1c.WriteReq_mshr_misses::total 23093 # number of WriteReq MSHR misses
-system.cpu4.l1c.demand_mshr_misses::cpu4 59041 # number of demand (read+write) MSHR misses
-system.cpu4.l1c.demand_mshr_misses::total 59041 # number of demand (read+write) MSHR misses
-system.cpu4.l1c.overall_mshr_misses::cpu4 59041 # number of overall MSHR misses
-system.cpu4.l1c.overall_mshr_misses::total 59041 # number of overall MSHR misses
-system.cpu4.l1c.ReadReq_mshr_miss_latency::cpu4 2341432849 # number of ReadReq MSHR miss cycles
-system.cpu4.l1c.ReadReq_mshr_miss_latency::total 2341432849 # number of ReadReq MSHR miss cycles
-system.cpu4.l1c.WriteReq_mshr_miss_latency::cpu4 1773798174 # number of WriteReq MSHR miss cycles
-system.cpu4.l1c.WriteReq_mshr_miss_latency::total 1773798174 # number of WriteReq MSHR miss cycles
-system.cpu4.l1c.demand_mshr_miss_latency::cpu4 4115231023 # number of demand (read+write) MSHR miss cycles
-system.cpu4.l1c.demand_mshr_miss_latency::total 4115231023 # number of demand (read+write) MSHR miss cycles
-system.cpu4.l1c.overall_mshr_miss_latency::cpu4 4115231023 # number of overall MSHR miss cycles
-system.cpu4.l1c.overall_mshr_miss_latency::total 4115231023 # number of overall MSHR miss cycles
-system.cpu4.l1c.ReadReq_mshr_uncacheable_latency::cpu4 1089200967 # number of ReadReq MSHR uncacheable cycles
-system.cpu4.l1c.ReadReq_mshr_uncacheable_latency::total 1089200967 # number of ReadReq MSHR uncacheable cycles
-system.cpu4.l1c.WriteReq_mshr_uncacheable_latency::cpu4 3986639198 # number of WriteReq MSHR uncacheable cycles
-system.cpu4.l1c.WriteReq_mshr_uncacheable_latency::total 3986639198 # number of WriteReq MSHR uncacheable cycles
-system.cpu4.l1c.overall_mshr_uncacheable_latency::cpu4 5075840165 # number of overall MSHR uncacheable cycles
-system.cpu4.l1c.overall_mshr_uncacheable_latency::total 5075840165 # number of overall MSHR uncacheable cycles
-system.cpu4.l1c.ReadReq_mshr_miss_rate::cpu4 0.805702 # mshr miss rate for ReadReq accesses
-system.cpu4.l1c.ReadReq_mshr_miss_rate::total 0.805702 # mshr miss rate for ReadReq accesses
-system.cpu4.l1c.WriteReq_mshr_miss_rate::cpu4 0.956707 # mshr miss rate for WriteReq accesses
-system.cpu4.l1c.WriteReq_mshr_miss_rate::total 0.956707 # mshr miss rate for WriteReq accesses
-system.cpu4.l1c.demand_mshr_miss_rate::cpu4 0.858716 # mshr miss rate for demand accesses
-system.cpu4.l1c.demand_mshr_miss_rate::total 0.858716 # mshr miss rate for demand accesses
-system.cpu4.l1c.overall_mshr_miss_rate::cpu4 0.858716 # mshr miss rate for overall accesses
-system.cpu4.l1c.overall_mshr_miss_rate::total 0.858716 # mshr miss rate for overall accesses
-system.cpu4.l1c.ReadReq_avg_mshr_miss_latency::cpu4 65133.883637 # average ReadReq mshr miss latency
-system.cpu4.l1c.ReadReq_avg_mshr_miss_latency::total 65133.883637 # average ReadReq mshr miss latency
-system.cpu4.l1c.WriteReq_avg_mshr_miss_latency::cpu4 76811.075824 # average WriteReq mshr miss latency
-system.cpu4.l1c.WriteReq_avg_mshr_miss_latency::total 76811.075824 # average WriteReq mshr miss latency
-system.cpu4.l1c.demand_avg_mshr_miss_latency::cpu4 69701.241900 # average overall mshr miss latency
-system.cpu4.l1c.demand_avg_mshr_miss_latency::total 69701.241900 # average overall mshr miss latency
-system.cpu4.l1c.overall_avg_mshr_miss_latency::cpu4 69701.241900 # average overall mshr miss latency
-system.cpu4.l1c.overall_avg_mshr_miss_latency::total 69701.241900 # average overall mshr miss latency
+system.cpu4.l1c.writebacks::writebacks 9615 # number of writebacks
+system.cpu4.l1c.writebacks::total 9615 # number of writebacks
+system.cpu4.l1c.ReadReq_mshr_misses::cpu4 36692 # number of ReadReq MSHR misses
+system.cpu4.l1c.ReadReq_mshr_misses::total 36692 # number of ReadReq MSHR misses
+system.cpu4.l1c.WriteReq_mshr_misses::cpu4 23629 # number of WriteReq MSHR misses
+system.cpu4.l1c.WriteReq_mshr_misses::total 23629 # number of WriteReq MSHR misses
+system.cpu4.l1c.demand_mshr_misses::cpu4 60321 # number of demand (read+write) MSHR misses
+system.cpu4.l1c.demand_mshr_misses::total 60321 # number of demand (read+write) MSHR misses
+system.cpu4.l1c.overall_mshr_misses::cpu4 60321 # number of overall MSHR misses
+system.cpu4.l1c.overall_mshr_misses::total 60321 # number of overall MSHR misses
+system.cpu4.l1c.ReadReq_mshr_miss_latency::cpu4 2387693175 # number of ReadReq MSHR miss cycles
+system.cpu4.l1c.ReadReq_mshr_miss_latency::total 2387693175 # number of ReadReq MSHR miss cycles
+system.cpu4.l1c.WriteReq_mshr_miss_latency::cpu4 1788001185 # number of WriteReq MSHR miss cycles
+system.cpu4.l1c.WriteReq_mshr_miss_latency::total 1788001185 # number of WriteReq MSHR miss cycles
+system.cpu4.l1c.demand_mshr_miss_latency::cpu4 4175694360 # number of demand (read+write) MSHR miss cycles
+system.cpu4.l1c.demand_mshr_miss_latency::total 4175694360 # number of demand (read+write) MSHR miss cycles
+system.cpu4.l1c.overall_mshr_miss_latency::cpu4 4175694360 # number of overall MSHR miss cycles
+system.cpu4.l1c.overall_mshr_miss_latency::total 4175694360 # number of overall MSHR miss cycles
+system.cpu4.l1c.ReadReq_mshr_uncacheable_latency::cpu4 1103066428 # number of ReadReq MSHR uncacheable cycles
+system.cpu4.l1c.ReadReq_mshr_uncacheable_latency::total 1103066428 # number of ReadReq MSHR uncacheable cycles
+system.cpu4.l1c.WriteReq_mshr_uncacheable_latency::cpu4 4057809634 # number of WriteReq MSHR uncacheable cycles
+system.cpu4.l1c.WriteReq_mshr_uncacheable_latency::total 4057809634 # number of WriteReq MSHR uncacheable cycles
+system.cpu4.l1c.overall_mshr_uncacheable_latency::cpu4 5160876062 # number of overall MSHR uncacheable cycles
+system.cpu4.l1c.overall_mshr_uncacheable_latency::total 5160876062 # number of overall MSHR uncacheable cycles
+system.cpu4.l1c.ReadReq_mshr_miss_rate::cpu4 0.807642 # mshr miss rate for ReadReq accesses
+system.cpu4.l1c.ReadReq_mshr_miss_rate::total 0.807642 # mshr miss rate for ReadReq accesses
+system.cpu4.l1c.WriteReq_mshr_miss_rate::cpu4 0.952590 # mshr miss rate for WriteReq accesses
+system.cpu4.l1c.WriteReq_mshr_miss_rate::total 0.952590 # mshr miss rate for WriteReq accesses
+system.cpu4.l1c.demand_mshr_miss_rate::cpu4 0.858833 # mshr miss rate for demand accesses
+system.cpu4.l1c.demand_mshr_miss_rate::total 0.858833 # mshr miss rate for demand accesses
+system.cpu4.l1c.overall_mshr_miss_rate::cpu4 0.858833 # mshr miss rate for overall accesses
+system.cpu4.l1c.overall_mshr_miss_rate::total 0.858833 # mshr miss rate for overall accesses
+system.cpu4.l1c.ReadReq_avg_mshr_miss_latency::cpu4 65073.944593 # average ReadReq mshr miss latency
+system.cpu4.l1c.ReadReq_avg_mshr_miss_latency::total 65073.944593 # average ReadReq mshr miss latency
+system.cpu4.l1c.WriteReq_avg_mshr_miss_latency::cpu4 75669.778027 # average WriteReq mshr miss latency
+system.cpu4.l1c.WriteReq_avg_mshr_miss_latency::total 75669.778027 # average WriteReq mshr miss latency
+system.cpu4.l1c.demand_avg_mshr_miss_latency::cpu4 69224.554633 # average overall mshr miss latency
+system.cpu4.l1c.demand_avg_mshr_miss_latency::total 69224.554633 # average overall mshr miss latency
+system.cpu4.l1c.overall_avg_mshr_miss_latency::cpu4 69224.554633 # average overall mshr miss latency
+system.cpu4.l1c.overall_avg_mshr_miss_latency::total 69224.554633 # average overall mshr miss latency
system.cpu4.l1c.ReadReq_avg_mshr_uncacheable_latency::cpu4 inf # average ReadReq mshr uncacheable latency
system.cpu4.l1c.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu4.l1c.WriteReq_avg_mshr_uncacheable_latency::cpu4 inf # average WriteReq mshr uncacheable latency
@@ -1380,120 +1371,120 @@ system.cpu4.l1c.WriteReq_avg_mshr_uncacheable_latency::total inf
system.cpu4.l1c.overall_avg_mshr_uncacheable_latency::cpu4 inf # average overall mshr uncacheable latency
system.cpu4.l1c.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu4.l1c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu5.num_reads 99463 # number of read accesses completed
-system.cpu5.num_writes 53761 # number of write accesses completed
+system.cpu5.num_reads 98940 # number of read accesses completed
+system.cpu5.num_writes 55179 # number of write accesses completed
system.cpu5.num_copies 0 # number of copy accesses completed
-system.cpu5.l1c.tags.replacements 22236 # number of replacements
-system.cpu5.l1c.tags.tagsinuse 396.818591 # Cycle average of tags in use
-system.cpu5.l1c.tags.total_refs 13326 # Total number of references to valid blocks.
-system.cpu5.l1c.tags.sampled_refs 22643 # Sample count of references to valid blocks.
-system.cpu5.l1c.tags.avg_refs 0.588526 # Average number of references to valid blocks.
+system.cpu5.l1c.tags.replacements 22195 # number of replacements
+system.cpu5.l1c.tags.tagsinuse 395.048373 # Cycle average of tags in use
+system.cpu5.l1c.tags.total_refs 13447 # Total number of references to valid blocks.
+system.cpu5.l1c.tags.sampled_refs 22597 # Sample count of references to valid blocks.
+system.cpu5.l1c.tags.avg_refs 0.595079 # Average number of references to valid blocks.
system.cpu5.l1c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu5.l1c.tags.occ_blocks::cpu5 396.818591 # Average occupied blocks per requestor
-system.cpu5.l1c.tags.occ_percent::cpu5 0.775036 # Average percentage of cache occupancy
-system.cpu5.l1c.tags.occ_percent::total 0.775036 # Average percentage of cache occupancy
-system.cpu5.l1c.tags.occ_task_id_blocks::1024 407 # Occupied blocks per task id
+system.cpu5.l1c.tags.occ_blocks::cpu5 395.048373 # Average occupied blocks per requestor
+system.cpu5.l1c.tags.occ_percent::cpu5 0.771579 # Average percentage of cache occupancy
+system.cpu5.l1c.tags.occ_percent::total 0.771579 # Average percentage of cache occupancy
+system.cpu5.l1c.tags.occ_task_id_blocks::1024 402 # Occupied blocks per task id
system.cpu5.l1c.tags.age_task_id_blocks_1024::0 275 # Occupied blocks per task id
-system.cpu5.l1c.tags.age_task_id_blocks_1024::1 132 # Occupied blocks per task id
-system.cpu5.l1c.tags.occ_task_id_percent::1024 0.794922 # Percentage of cache occupancy per task id
-system.cpu5.l1c.tags.tag_accesses 332464 # Number of tag accesses
-system.cpu5.l1c.tags.data_accesses 332464 # Number of data accesses
-system.cpu5.l1c.ReadReq_hits::cpu5 8698 # number of ReadReq hits
-system.cpu5.l1c.ReadReq_hits::total 8698 # number of ReadReq hits
-system.cpu5.l1c.WriteReq_hits::cpu5 1095 # number of WriteReq hits
-system.cpu5.l1c.WriteReq_hits::total 1095 # number of WriteReq hits
-system.cpu5.l1c.demand_hits::cpu5 9793 # number of demand (read+write) hits
-system.cpu5.l1c.demand_hits::total 9793 # number of demand (read+write) hits
-system.cpu5.l1c.overall_hits::cpu5 9793 # number of overall hits
-system.cpu5.l1c.overall_hits::total 9793 # number of overall hits
-system.cpu5.l1c.ReadReq_misses::cpu5 36190 # number of ReadReq misses
-system.cpu5.l1c.ReadReq_misses::total 36190 # number of ReadReq misses
-system.cpu5.l1c.WriteReq_misses::cpu5 23188 # number of WriteReq misses
-system.cpu5.l1c.WriteReq_misses::total 23188 # number of WriteReq misses
-system.cpu5.l1c.demand_misses::cpu5 59378 # number of demand (read+write) misses
-system.cpu5.l1c.demand_misses::total 59378 # number of demand (read+write) misses
-system.cpu5.l1c.overall_misses::cpu5 59378 # number of overall misses
-system.cpu5.l1c.overall_misses::total 59378 # number of overall misses
-system.cpu5.l1c.ReadReq_miss_latency::cpu5 2440787473 # number of ReadReq miss cycles
-system.cpu5.l1c.ReadReq_miss_latency::total 2440787473 # number of ReadReq miss cycles
-system.cpu5.l1c.WriteReq_miss_latency::cpu5 1818333892 # number of WriteReq miss cycles
-system.cpu5.l1c.WriteReq_miss_latency::total 1818333892 # number of WriteReq miss cycles
-system.cpu5.l1c.demand_miss_latency::cpu5 4259121365 # number of demand (read+write) miss cycles
-system.cpu5.l1c.demand_miss_latency::total 4259121365 # number of demand (read+write) miss cycles
-system.cpu5.l1c.overall_miss_latency::cpu5 4259121365 # number of overall miss cycles
-system.cpu5.l1c.overall_miss_latency::total 4259121365 # number of overall miss cycles
-system.cpu5.l1c.ReadReq_accesses::cpu5 44888 # number of ReadReq accesses(hits+misses)
-system.cpu5.l1c.ReadReq_accesses::total 44888 # number of ReadReq accesses(hits+misses)
-system.cpu5.l1c.WriteReq_accesses::cpu5 24283 # number of WriteReq accesses(hits+misses)
-system.cpu5.l1c.WriteReq_accesses::total 24283 # number of WriteReq accesses(hits+misses)
-system.cpu5.l1c.demand_accesses::cpu5 69171 # number of demand (read+write) accesses
-system.cpu5.l1c.demand_accesses::total 69171 # number of demand (read+write) accesses
-system.cpu5.l1c.overall_accesses::cpu5 69171 # number of overall (read+write) accesses
-system.cpu5.l1c.overall_accesses::total 69171 # number of overall (read+write) accesses
-system.cpu5.l1c.ReadReq_miss_rate::cpu5 0.806229 # miss rate for ReadReq accesses
-system.cpu5.l1c.ReadReq_miss_rate::total 0.806229 # miss rate for ReadReq accesses
-system.cpu5.l1c.WriteReq_miss_rate::cpu5 0.954907 # miss rate for WriteReq accesses
-system.cpu5.l1c.WriteReq_miss_rate::total 0.954907 # miss rate for WriteReq accesses
-system.cpu5.l1c.demand_miss_rate::cpu5 0.858423 # miss rate for demand accesses
-system.cpu5.l1c.demand_miss_rate::total 0.858423 # miss rate for demand accesses
-system.cpu5.l1c.overall_miss_rate::cpu5 0.858423 # miss rate for overall accesses
-system.cpu5.l1c.overall_miss_rate::total 0.858423 # miss rate for overall accesses
-system.cpu5.l1c.ReadReq_avg_miss_latency::cpu5 67443.699171 # average ReadReq miss latency
-system.cpu5.l1c.ReadReq_avg_miss_latency::total 67443.699171 # average ReadReq miss latency
-system.cpu5.l1c.WriteReq_avg_miss_latency::cpu5 78417.021390 # average WriteReq miss latency
-system.cpu5.l1c.WriteReq_avg_miss_latency::total 78417.021390 # average WriteReq miss latency
-system.cpu5.l1c.demand_avg_miss_latency::cpu5 71728.946159 # average overall miss latency
-system.cpu5.l1c.demand_avg_miss_latency::total 71728.946159 # average overall miss latency
-system.cpu5.l1c.overall_avg_miss_latency::cpu5 71728.946159 # average overall miss latency
-system.cpu5.l1c.overall_avg_miss_latency::total 71728.946159 # average overall miss latency
-system.cpu5.l1c.blocked_cycles::no_mshrs 2173855 # number of cycles access was blocked
+system.cpu5.l1c.tags.age_task_id_blocks_1024::1 127 # Occupied blocks per task id
+system.cpu5.l1c.tags.occ_task_id_percent::1024 0.785156 # Percentage of cache occupancy per task id
+system.cpu5.l1c.tags.tag_accesses 337000 # Number of tag accesses
+system.cpu5.l1c.tags.data_accesses 337000 # Number of data accesses
+system.cpu5.l1c.ReadReq_hits::cpu5 8717 # number of ReadReq hits
+system.cpu5.l1c.ReadReq_hits::total 8717 # number of ReadReq hits
+system.cpu5.l1c.WriteReq_hits::cpu5 1138 # number of WriteReq hits
+system.cpu5.l1c.WriteReq_hits::total 1138 # number of WriteReq hits
+system.cpu5.l1c.demand_hits::cpu5 9855 # number of demand (read+write) hits
+system.cpu5.l1c.demand_hits::total 9855 # number of demand (read+write) hits
+system.cpu5.l1c.overall_hits::cpu5 9855 # number of overall hits
+system.cpu5.l1c.overall_hits::total 9855 # number of overall hits
+system.cpu5.l1c.ReadReq_misses::cpu5 36402 # number of ReadReq misses
+system.cpu5.l1c.ReadReq_misses::total 36402 # number of ReadReq misses
+system.cpu5.l1c.WriteReq_misses::cpu5 23842 # number of WriteReq misses
+system.cpu5.l1c.WriteReq_misses::total 23842 # number of WriteReq misses
+system.cpu5.l1c.demand_misses::cpu5 60244 # number of demand (read+write) misses
+system.cpu5.l1c.demand_misses::total 60244 # number of demand (read+write) misses
+system.cpu5.l1c.overall_misses::cpu5 60244 # number of overall misses
+system.cpu5.l1c.overall_misses::total 60244 # number of overall misses
+system.cpu5.l1c.ReadReq_miss_latency::cpu5 2469941136 # number of ReadReq miss cycles
+system.cpu5.l1c.ReadReq_miss_latency::total 2469941136 # number of ReadReq miss cycles
+system.cpu5.l1c.WriteReq_miss_latency::cpu5 1867542812 # number of WriteReq miss cycles
+system.cpu5.l1c.WriteReq_miss_latency::total 1867542812 # number of WriteReq miss cycles
+system.cpu5.l1c.demand_miss_latency::cpu5 4337483948 # number of demand (read+write) miss cycles
+system.cpu5.l1c.demand_miss_latency::total 4337483948 # number of demand (read+write) miss cycles
+system.cpu5.l1c.overall_miss_latency::cpu5 4337483948 # number of overall miss cycles
+system.cpu5.l1c.overall_miss_latency::total 4337483948 # number of overall miss cycles
+system.cpu5.l1c.ReadReq_accesses::cpu5 45119 # number of ReadReq accesses(hits+misses)
+system.cpu5.l1c.ReadReq_accesses::total 45119 # number of ReadReq accesses(hits+misses)
+system.cpu5.l1c.WriteReq_accesses::cpu5 24980 # number of WriteReq accesses(hits+misses)
+system.cpu5.l1c.WriteReq_accesses::total 24980 # number of WriteReq accesses(hits+misses)
+system.cpu5.l1c.demand_accesses::cpu5 70099 # number of demand (read+write) accesses
+system.cpu5.l1c.demand_accesses::total 70099 # number of demand (read+write) accesses
+system.cpu5.l1c.overall_accesses::cpu5 70099 # number of overall (read+write) accesses
+system.cpu5.l1c.overall_accesses::total 70099 # number of overall (read+write) accesses
+system.cpu5.l1c.ReadReq_miss_rate::cpu5 0.806800 # miss rate for ReadReq accesses
+system.cpu5.l1c.ReadReq_miss_rate::total 0.806800 # miss rate for ReadReq accesses
+system.cpu5.l1c.WriteReq_miss_rate::cpu5 0.954444 # miss rate for WriteReq accesses
+system.cpu5.l1c.WriteReq_miss_rate::total 0.954444 # miss rate for WriteReq accesses
+system.cpu5.l1c.demand_miss_rate::cpu5 0.859413 # miss rate for demand accesses
+system.cpu5.l1c.demand_miss_rate::total 0.859413 # miss rate for demand accesses
+system.cpu5.l1c.overall_miss_rate::cpu5 0.859413 # miss rate for overall accesses
+system.cpu5.l1c.overall_miss_rate::total 0.859413 # miss rate for overall accesses
+system.cpu5.l1c.ReadReq_avg_miss_latency::cpu5 67851.797594 # average ReadReq miss latency
+system.cpu5.l1c.ReadReq_avg_miss_latency::total 67851.797594 # average ReadReq miss latency
+system.cpu5.l1c.WriteReq_avg_miss_latency::cpu5 78329.956044 # average WriteReq miss latency
+system.cpu5.l1c.WriteReq_avg_miss_latency::total 78329.956044 # average WriteReq miss latency
+system.cpu5.l1c.demand_avg_miss_latency::cpu5 71998.604807 # average overall miss latency
+system.cpu5.l1c.demand_avg_miss_latency::total 71998.604807 # average overall miss latency
+system.cpu5.l1c.overall_avg_miss_latency::cpu5 71998.604807 # average overall miss latency
+system.cpu5.l1c.overall_avg_miss_latency::total 71998.604807 # average overall miss latency
+system.cpu5.l1c.blocked_cycles::no_mshrs 2193553 # number of cycles access was blocked
system.cpu5.l1c.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu5.l1c.blocked::no_mshrs 59485 # number of cycles access was blocked
+system.cpu5.l1c.blocked::no_mshrs 59918 # number of cycles access was blocked
system.cpu5.l1c.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu5.l1c.avg_blocked_cycles::no_mshrs 36.544591 # average number of cycles each access was blocked
+system.cpu5.l1c.avg_blocked_cycles::no_mshrs 36.609249 # average number of cycles each access was blocked
system.cpu5.l1c.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu5.l1c.fast_writes 0 # number of fast writes performed
system.cpu5.l1c.cache_copies 0 # number of cache copies performed
-system.cpu5.l1c.writebacks::writebacks 9794 # number of writebacks
-system.cpu5.l1c.writebacks::total 9794 # number of writebacks
-system.cpu5.l1c.ReadReq_mshr_misses::cpu5 36190 # number of ReadReq MSHR misses
-system.cpu5.l1c.ReadReq_mshr_misses::total 36190 # number of ReadReq MSHR misses
-system.cpu5.l1c.WriteReq_mshr_misses::cpu5 23188 # number of WriteReq MSHR misses
-system.cpu5.l1c.WriteReq_mshr_misses::total 23188 # number of WriteReq MSHR misses
-system.cpu5.l1c.demand_mshr_misses::cpu5 59378 # number of demand (read+write) MSHR misses
-system.cpu5.l1c.demand_mshr_misses::total 59378 # number of demand (read+write) MSHR misses
-system.cpu5.l1c.overall_mshr_misses::cpu5 59378 # number of overall MSHR misses
-system.cpu5.l1c.overall_mshr_misses::total 59378 # number of overall MSHR misses
-system.cpu5.l1c.ReadReq_mshr_miss_latency::cpu5 2364411063 # number of ReadReq MSHR miss cycles
-system.cpu5.l1c.ReadReq_mshr_miss_latency::total 2364411063 # number of ReadReq MSHR miss cycles
-system.cpu5.l1c.WriteReq_mshr_miss_latency::cpu5 1769767596 # number of WriteReq MSHR miss cycles
-system.cpu5.l1c.WriteReq_mshr_miss_latency::total 1769767596 # number of WriteReq MSHR miss cycles
-system.cpu5.l1c.demand_mshr_miss_latency::cpu5 4134178659 # number of demand (read+write) MSHR miss cycles
-system.cpu5.l1c.demand_mshr_miss_latency::total 4134178659 # number of demand (read+write) MSHR miss cycles
-system.cpu5.l1c.overall_mshr_miss_latency::cpu5 4134178659 # number of overall MSHR miss cycles
-system.cpu5.l1c.overall_mshr_miss_latency::total 4134178659 # number of overall MSHR miss cycles
-system.cpu5.l1c.ReadReq_mshr_uncacheable_latency::cpu5 1083354468 # number of ReadReq MSHR uncacheable cycles
-system.cpu5.l1c.ReadReq_mshr_uncacheable_latency::total 1083354468 # number of ReadReq MSHR uncacheable cycles
-system.cpu5.l1c.WriteReq_mshr_uncacheable_latency::cpu5 3885222198 # number of WriteReq MSHR uncacheable cycles
-system.cpu5.l1c.WriteReq_mshr_uncacheable_latency::total 3885222198 # number of WriteReq MSHR uncacheable cycles
-system.cpu5.l1c.overall_mshr_uncacheable_latency::cpu5 4968576666 # number of overall MSHR uncacheable cycles
-system.cpu5.l1c.overall_mshr_uncacheable_latency::total 4968576666 # number of overall MSHR uncacheable cycles
-system.cpu5.l1c.ReadReq_mshr_miss_rate::cpu5 0.806229 # mshr miss rate for ReadReq accesses
-system.cpu5.l1c.ReadReq_mshr_miss_rate::total 0.806229 # mshr miss rate for ReadReq accesses
-system.cpu5.l1c.WriteReq_mshr_miss_rate::cpu5 0.954907 # mshr miss rate for WriteReq accesses
-system.cpu5.l1c.WriteReq_mshr_miss_rate::total 0.954907 # mshr miss rate for WriteReq accesses
-system.cpu5.l1c.demand_mshr_miss_rate::cpu5 0.858423 # mshr miss rate for demand accesses
-system.cpu5.l1c.demand_mshr_miss_rate::total 0.858423 # mshr miss rate for demand accesses
-system.cpu5.l1c.overall_mshr_miss_rate::cpu5 0.858423 # mshr miss rate for overall accesses
-system.cpu5.l1c.overall_mshr_miss_rate::total 0.858423 # mshr miss rate for overall accesses
-system.cpu5.l1c.ReadReq_avg_mshr_miss_latency::cpu5 65333.270600 # average ReadReq mshr miss latency
-system.cpu5.l1c.ReadReq_avg_mshr_miss_latency::total 65333.270600 # average ReadReq mshr miss latency
-system.cpu5.l1c.WriteReq_avg_mshr_miss_latency::cpu5 76322.563222 # average WriteReq mshr miss latency
-system.cpu5.l1c.WriteReq_avg_mshr_miss_latency::total 76322.563222 # average WriteReq mshr miss latency
-system.cpu5.l1c.demand_avg_mshr_miss_latency::cpu5 69624.754269 # average overall mshr miss latency
-system.cpu5.l1c.demand_avg_mshr_miss_latency::total 69624.754269 # average overall mshr miss latency
-system.cpu5.l1c.overall_avg_mshr_miss_latency::cpu5 69624.754269 # average overall mshr miss latency
-system.cpu5.l1c.overall_avg_mshr_miss_latency::total 69624.754269 # average overall mshr miss latency
+system.cpu5.l1c.writebacks::writebacks 9638 # number of writebacks
+system.cpu5.l1c.writebacks::total 9638 # number of writebacks
+system.cpu5.l1c.ReadReq_mshr_misses::cpu5 36402 # number of ReadReq MSHR misses
+system.cpu5.l1c.ReadReq_mshr_misses::total 36402 # number of ReadReq MSHR misses
+system.cpu5.l1c.WriteReq_mshr_misses::cpu5 23842 # number of WriteReq MSHR misses
+system.cpu5.l1c.WriteReq_mshr_misses::total 23842 # number of WriteReq MSHR misses
+system.cpu5.l1c.demand_mshr_misses::cpu5 60244 # number of demand (read+write) MSHR misses
+system.cpu5.l1c.demand_mshr_misses::total 60244 # number of demand (read+write) MSHR misses
+system.cpu5.l1c.overall_mshr_misses::cpu5 60244 # number of overall MSHR misses
+system.cpu5.l1c.overall_mshr_misses::total 60244 # number of overall MSHR misses
+system.cpu5.l1c.ReadReq_mshr_miss_latency::cpu5 2393170720 # number of ReadReq MSHR miss cycles
+system.cpu5.l1c.ReadReq_mshr_miss_latency::total 2393170720 # number of ReadReq MSHR miss cycles
+system.cpu5.l1c.WriteReq_mshr_miss_latency::cpu5 1817634658 # number of WriteReq MSHR miss cycles
+system.cpu5.l1c.WriteReq_mshr_miss_latency::total 1817634658 # number of WriteReq MSHR miss cycles
+system.cpu5.l1c.demand_mshr_miss_latency::cpu5 4210805378 # number of demand (read+write) MSHR miss cycles
+system.cpu5.l1c.demand_mshr_miss_latency::total 4210805378 # number of demand (read+write) MSHR miss cycles
+system.cpu5.l1c.overall_mshr_miss_latency::cpu5 4210805378 # number of overall MSHR miss cycles
+system.cpu5.l1c.overall_mshr_miss_latency::total 4210805378 # number of overall MSHR miss cycles
+system.cpu5.l1c.ReadReq_mshr_uncacheable_latency::cpu5 1069731206 # number of ReadReq MSHR uncacheable cycles
+system.cpu5.l1c.ReadReq_mshr_uncacheable_latency::total 1069731206 # number of ReadReq MSHR uncacheable cycles
+system.cpu5.l1c.WriteReq_mshr_uncacheable_latency::cpu5 4068656749 # number of WriteReq MSHR uncacheable cycles
+system.cpu5.l1c.WriteReq_mshr_uncacheable_latency::total 4068656749 # number of WriteReq MSHR uncacheable cycles
+system.cpu5.l1c.overall_mshr_uncacheable_latency::cpu5 5138387955 # number of overall MSHR uncacheable cycles
+system.cpu5.l1c.overall_mshr_uncacheable_latency::total 5138387955 # number of overall MSHR uncacheable cycles
+system.cpu5.l1c.ReadReq_mshr_miss_rate::cpu5 0.806800 # mshr miss rate for ReadReq accesses
+system.cpu5.l1c.ReadReq_mshr_miss_rate::total 0.806800 # mshr miss rate for ReadReq accesses
+system.cpu5.l1c.WriteReq_mshr_miss_rate::cpu5 0.954444 # mshr miss rate for WriteReq accesses
+system.cpu5.l1c.WriteReq_mshr_miss_rate::total 0.954444 # mshr miss rate for WriteReq accesses
+system.cpu5.l1c.demand_mshr_miss_rate::cpu5 0.859413 # mshr miss rate for demand accesses
+system.cpu5.l1c.demand_mshr_miss_rate::total 0.859413 # mshr miss rate for demand accesses
+system.cpu5.l1c.overall_mshr_miss_rate::cpu5 0.859413 # mshr miss rate for overall accesses
+system.cpu5.l1c.overall_mshr_miss_rate::total 0.859413 # mshr miss rate for overall accesses
+system.cpu5.l1c.ReadReq_avg_mshr_miss_latency::cpu5 65742.836108 # average ReadReq mshr miss latency
+system.cpu5.l1c.ReadReq_avg_mshr_miss_latency::total 65742.836108 # average ReadReq mshr miss latency
+system.cpu5.l1c.WriteReq_avg_mshr_miss_latency::cpu5 76236.668820 # average WriteReq mshr miss latency
+system.cpu5.l1c.WriteReq_avg_mshr_miss_latency::total 76236.668820 # average WriteReq mshr miss latency
+system.cpu5.l1c.demand_avg_mshr_miss_latency::cpu5 69895.846524 # average overall mshr miss latency
+system.cpu5.l1c.demand_avg_mshr_miss_latency::total 69895.846524 # average overall mshr miss latency
+system.cpu5.l1c.overall_avg_mshr_miss_latency::cpu5 69895.846524 # average overall mshr miss latency
+system.cpu5.l1c.overall_avg_mshr_miss_latency::total 69895.846524 # average overall mshr miss latency
system.cpu5.l1c.ReadReq_avg_mshr_uncacheable_latency::cpu5 inf # average ReadReq mshr uncacheable latency
system.cpu5.l1c.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu5.l1c.WriteReq_avg_mshr_uncacheable_latency::cpu5 inf # average WriteReq mshr uncacheable latency
@@ -1501,120 +1492,120 @@ system.cpu5.l1c.WriteReq_avg_mshr_uncacheable_latency::total inf
system.cpu5.l1c.overall_avg_mshr_uncacheable_latency::cpu5 inf # average overall mshr uncacheable latency
system.cpu5.l1c.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu5.l1c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu6.num_reads 99150 # number of read accesses completed
-system.cpu6.num_writes 53258 # number of write accesses completed
+system.cpu6.num_reads 98989 # number of read accesses completed
+system.cpu6.num_writes 55088 # number of write accesses completed
system.cpu6.num_copies 0 # number of copy accesses completed
-system.cpu6.l1c.tags.replacements 22399 # number of replacements
-system.cpu6.l1c.tags.tagsinuse 397.638402 # Cycle average of tags in use
-system.cpu6.l1c.tags.total_refs 13152 # Total number of references to valid blocks.
-system.cpu6.l1c.tags.sampled_refs 22779 # Sample count of references to valid blocks.
-system.cpu6.l1c.tags.avg_refs 0.577374 # Average number of references to valid blocks.
+system.cpu6.l1c.tags.replacements 22403 # number of replacements
+system.cpu6.l1c.tags.tagsinuse 396.761014 # Cycle average of tags in use
+system.cpu6.l1c.tags.total_refs 13429 # Total number of references to valid blocks.
+system.cpu6.l1c.tags.sampled_refs 22803 # Sample count of references to valid blocks.
+system.cpu6.l1c.tags.avg_refs 0.588914 # Average number of references to valid blocks.
system.cpu6.l1c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu6.l1c.tags.occ_blocks::cpu6 397.638402 # Average occupied blocks per requestor
-system.cpu6.l1c.tags.occ_percent::cpu6 0.776638 # Average percentage of cache occupancy
-system.cpu6.l1c.tags.occ_percent::total 0.776638 # Average percentage of cache occupancy
-system.cpu6.l1c.tags.occ_task_id_blocks::1024 380 # Occupied blocks per task id
-system.cpu6.l1c.tags.age_task_id_blocks_1024::0 246 # Occupied blocks per task id
-system.cpu6.l1c.tags.age_task_id_blocks_1024::1 134 # Occupied blocks per task id
-system.cpu6.l1c.tags.occ_task_id_percent::1024 0.742188 # Percentage of cache occupancy per task id
-system.cpu6.l1c.tags.tag_accesses 330920 # Number of tag accesses
-system.cpu6.l1c.tags.data_accesses 330920 # Number of data accesses
-system.cpu6.l1c.ReadReq_hits::cpu6 8542 # number of ReadReq hits
-system.cpu6.l1c.ReadReq_hits::total 8542 # number of ReadReq hits
-system.cpu6.l1c.WriteReq_hits::cpu6 1117 # number of WriteReq hits
-system.cpu6.l1c.WriteReq_hits::total 1117 # number of WriteReq hits
-system.cpu6.l1c.demand_hits::cpu6 9659 # number of demand (read+write) hits
-system.cpu6.l1c.demand_hits::total 9659 # number of demand (read+write) hits
-system.cpu6.l1c.overall_hits::cpu6 9659 # number of overall hits
-system.cpu6.l1c.overall_hits::total 9659 # number of overall hits
-system.cpu6.l1c.ReadReq_misses::cpu6 36110 # number of ReadReq misses
-system.cpu6.l1c.ReadReq_misses::total 36110 # number of ReadReq misses
-system.cpu6.l1c.WriteReq_misses::cpu6 23056 # number of WriteReq misses
-system.cpu6.l1c.WriteReq_misses::total 23056 # number of WriteReq misses
-system.cpu6.l1c.demand_misses::cpu6 59166 # number of demand (read+write) misses
-system.cpu6.l1c.demand_misses::total 59166 # number of demand (read+write) misses
-system.cpu6.l1c.overall_misses::cpu6 59166 # number of overall misses
-system.cpu6.l1c.overall_misses::total 59166 # number of overall misses
-system.cpu6.l1c.ReadReq_miss_latency::cpu6 2440095733 # number of ReadReq miss cycles
-system.cpu6.l1c.ReadReq_miss_latency::total 2440095733 # number of ReadReq miss cycles
-system.cpu6.l1c.WriteReq_miss_latency::cpu6 1804973992 # number of WriteReq miss cycles
-system.cpu6.l1c.WriteReq_miss_latency::total 1804973992 # number of WriteReq miss cycles
-system.cpu6.l1c.demand_miss_latency::cpu6 4245069725 # number of demand (read+write) miss cycles
-system.cpu6.l1c.demand_miss_latency::total 4245069725 # number of demand (read+write) miss cycles
-system.cpu6.l1c.overall_miss_latency::cpu6 4245069725 # number of overall miss cycles
-system.cpu6.l1c.overall_miss_latency::total 4245069725 # number of overall miss cycles
-system.cpu6.l1c.ReadReq_accesses::cpu6 44652 # number of ReadReq accesses(hits+misses)
-system.cpu6.l1c.ReadReq_accesses::total 44652 # number of ReadReq accesses(hits+misses)
-system.cpu6.l1c.WriteReq_accesses::cpu6 24173 # number of WriteReq accesses(hits+misses)
-system.cpu6.l1c.WriteReq_accesses::total 24173 # number of WriteReq accesses(hits+misses)
-system.cpu6.l1c.demand_accesses::cpu6 68825 # number of demand (read+write) accesses
-system.cpu6.l1c.demand_accesses::total 68825 # number of demand (read+write) accesses
-system.cpu6.l1c.overall_accesses::cpu6 68825 # number of overall (read+write) accesses
-system.cpu6.l1c.overall_accesses::total 68825 # number of overall (read+write) accesses
-system.cpu6.l1c.ReadReq_miss_rate::cpu6 0.808698 # miss rate for ReadReq accesses
-system.cpu6.l1c.ReadReq_miss_rate::total 0.808698 # miss rate for ReadReq accesses
+system.cpu6.l1c.tags.occ_blocks::cpu6 396.761014 # Average occupied blocks per requestor
+system.cpu6.l1c.tags.occ_percent::cpu6 0.774924 # Average percentage of cache occupancy
+system.cpu6.l1c.tags.occ_percent::total 0.774924 # Average percentage of cache occupancy
+system.cpu6.l1c.tags.occ_task_id_blocks::1024 400 # Occupied blocks per task id
+system.cpu6.l1c.tags.age_task_id_blocks_1024::0 257 # Occupied blocks per task id
+system.cpu6.l1c.tags.age_task_id_blocks_1024::1 143 # Occupied blocks per task id
+system.cpu6.l1c.tags.occ_task_id_percent::1024 0.781250 # Percentage of cache occupancy per task id
+system.cpu6.l1c.tags.tag_accesses 336438 # Number of tag accesses
+system.cpu6.l1c.tags.data_accesses 336438 # Number of data accesses
+system.cpu6.l1c.ReadReq_hits::cpu6 8710 # number of ReadReq hits
+system.cpu6.l1c.ReadReq_hits::total 8710 # number of ReadReq hits
+system.cpu6.l1c.WriteReq_hits::cpu6 1155 # number of WriteReq hits
+system.cpu6.l1c.WriteReq_hits::total 1155 # number of WriteReq hits
+system.cpu6.l1c.demand_hits::cpu6 9865 # number of demand (read+write) hits
+system.cpu6.l1c.demand_hits::total 9865 # number of demand (read+write) hits
+system.cpu6.l1c.overall_hits::cpu6 9865 # number of overall hits
+system.cpu6.l1c.overall_hits::total 9865 # number of overall hits
+system.cpu6.l1c.ReadReq_misses::cpu6 36281 # number of ReadReq misses
+system.cpu6.l1c.ReadReq_misses::total 36281 # number of ReadReq misses
+system.cpu6.l1c.WriteReq_misses::cpu6 23840 # number of WriteReq misses
+system.cpu6.l1c.WriteReq_misses::total 23840 # number of WriteReq misses
+system.cpu6.l1c.demand_misses::cpu6 60121 # number of demand (read+write) misses
+system.cpu6.l1c.demand_misses::total 60121 # number of demand (read+write) misses
+system.cpu6.l1c.overall_misses::cpu6 60121 # number of overall misses
+system.cpu6.l1c.overall_misses::total 60121 # number of overall misses
+system.cpu6.l1c.ReadReq_miss_latency::cpu6 2471222602 # number of ReadReq miss cycles
+system.cpu6.l1c.ReadReq_miss_latency::total 2471222602 # number of ReadReq miss cycles
+system.cpu6.l1c.WriteReq_miss_latency::cpu6 1877806304 # number of WriteReq miss cycles
+system.cpu6.l1c.WriteReq_miss_latency::total 1877806304 # number of WriteReq miss cycles
+system.cpu6.l1c.demand_miss_latency::cpu6 4349028906 # number of demand (read+write) miss cycles
+system.cpu6.l1c.demand_miss_latency::total 4349028906 # number of demand (read+write) miss cycles
+system.cpu6.l1c.overall_miss_latency::cpu6 4349028906 # number of overall miss cycles
+system.cpu6.l1c.overall_miss_latency::total 4349028906 # number of overall miss cycles
+system.cpu6.l1c.ReadReq_accesses::cpu6 44991 # number of ReadReq accesses(hits+misses)
+system.cpu6.l1c.ReadReq_accesses::total 44991 # number of ReadReq accesses(hits+misses)
+system.cpu6.l1c.WriteReq_accesses::cpu6 24995 # number of WriteReq accesses(hits+misses)
+system.cpu6.l1c.WriteReq_accesses::total 24995 # number of WriteReq accesses(hits+misses)
+system.cpu6.l1c.demand_accesses::cpu6 69986 # number of demand (read+write) accesses
+system.cpu6.l1c.demand_accesses::total 69986 # number of demand (read+write) accesses
+system.cpu6.l1c.overall_accesses::cpu6 69986 # number of overall (read+write) accesses
+system.cpu6.l1c.overall_accesses::total 69986 # number of overall (read+write) accesses
+system.cpu6.l1c.ReadReq_miss_rate::cpu6 0.806406 # miss rate for ReadReq accesses
+system.cpu6.l1c.ReadReq_miss_rate::total 0.806406 # miss rate for ReadReq accesses
system.cpu6.l1c.WriteReq_miss_rate::cpu6 0.953791 # miss rate for WriteReq accesses
system.cpu6.l1c.WriteReq_miss_rate::total 0.953791 # miss rate for WriteReq accesses
-system.cpu6.l1c.demand_miss_rate::cpu6 0.859659 # miss rate for demand accesses
-system.cpu6.l1c.demand_miss_rate::total 0.859659 # miss rate for demand accesses
-system.cpu6.l1c.overall_miss_rate::cpu6 0.859659 # miss rate for overall accesses
-system.cpu6.l1c.overall_miss_rate::total 0.859659 # miss rate for overall accesses
-system.cpu6.l1c.ReadReq_avg_miss_latency::cpu6 67573.961036 # average ReadReq miss latency
-system.cpu6.l1c.ReadReq_avg_miss_latency::total 67573.961036 # average ReadReq miss latency
-system.cpu6.l1c.WriteReq_avg_miss_latency::cpu6 78286.519431 # average WriteReq miss latency
-system.cpu6.l1c.WriteReq_avg_miss_latency::total 78286.519431 # average WriteReq miss latency
-system.cpu6.l1c.demand_avg_miss_latency::cpu6 71748.465757 # average overall miss latency
-system.cpu6.l1c.demand_avg_miss_latency::total 71748.465757 # average overall miss latency
-system.cpu6.l1c.overall_avg_miss_latency::cpu6 71748.465757 # average overall miss latency
-system.cpu6.l1c.overall_avg_miss_latency::total 71748.465757 # average overall miss latency
-system.cpu6.l1c.blocked_cycles::no_mshrs 2172077 # number of cycles access was blocked
+system.cpu6.l1c.demand_miss_rate::cpu6 0.859043 # miss rate for demand accesses
+system.cpu6.l1c.demand_miss_rate::total 0.859043 # miss rate for demand accesses
+system.cpu6.l1c.overall_miss_rate::cpu6 0.859043 # miss rate for overall accesses
+system.cpu6.l1c.overall_miss_rate::total 0.859043 # miss rate for overall accesses
+system.cpu6.l1c.ReadReq_avg_miss_latency::cpu6 68113.409278 # average ReadReq miss latency
+system.cpu6.l1c.ReadReq_avg_miss_latency::total 68113.409278 # average ReadReq miss latency
+system.cpu6.l1c.WriteReq_avg_miss_latency::cpu6 78767.042953 # average WriteReq miss latency
+system.cpu6.l1c.WriteReq_avg_miss_latency::total 78767.042953 # average WriteReq miss latency
+system.cpu6.l1c.demand_avg_miss_latency::cpu6 72337.933601 # average overall miss latency
+system.cpu6.l1c.demand_avg_miss_latency::total 72337.933601 # average overall miss latency
+system.cpu6.l1c.overall_avg_miss_latency::cpu6 72337.933601 # average overall miss latency
+system.cpu6.l1c.overall_avg_miss_latency::total 72337.933601 # average overall miss latency
+system.cpu6.l1c.blocked_cycles::no_mshrs 2205749 # number of cycles access was blocked
system.cpu6.l1c.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu6.l1c.blocked::no_mshrs 59435 # number of cycles access was blocked
+system.cpu6.l1c.blocked::no_mshrs 59981 # number of cycles access was blocked
system.cpu6.l1c.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu6.l1c.avg_blocked_cycles::no_mshrs 36.545419 # average number of cycles each access was blocked
+system.cpu6.l1c.avg_blocked_cycles::no_mshrs 36.774128 # average number of cycles each access was blocked
system.cpu6.l1c.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu6.l1c.fast_writes 0 # number of fast writes performed
system.cpu6.l1c.cache_copies 0 # number of cache copies performed
-system.cpu6.l1c.writebacks::writebacks 9755 # number of writebacks
-system.cpu6.l1c.writebacks::total 9755 # number of writebacks
-system.cpu6.l1c.ReadReq_mshr_misses::cpu6 36110 # number of ReadReq MSHR misses
-system.cpu6.l1c.ReadReq_mshr_misses::total 36110 # number of ReadReq MSHR misses
-system.cpu6.l1c.WriteReq_mshr_misses::cpu6 23056 # number of WriteReq MSHR misses
-system.cpu6.l1c.WriteReq_mshr_misses::total 23056 # number of WriteReq MSHR misses
-system.cpu6.l1c.demand_mshr_misses::cpu6 59166 # number of demand (read+write) MSHR misses
-system.cpu6.l1c.demand_mshr_misses::total 59166 # number of demand (read+write) MSHR misses
-system.cpu6.l1c.overall_mshr_misses::cpu6 59166 # number of overall MSHR misses
-system.cpu6.l1c.overall_mshr_misses::total 59166 # number of overall MSHR misses
-system.cpu6.l1c.ReadReq_mshr_miss_latency::cpu6 2363759609 # number of ReadReq MSHR miss cycles
-system.cpu6.l1c.ReadReq_mshr_miss_latency::total 2363759609 # number of ReadReq MSHR miss cycles
-system.cpu6.l1c.WriteReq_mshr_miss_latency::cpu6 1756697682 # number of WriteReq MSHR miss cycles
-system.cpu6.l1c.WriteReq_mshr_miss_latency::total 1756697682 # number of WriteReq MSHR miss cycles
-system.cpu6.l1c.demand_mshr_miss_latency::cpu6 4120457291 # number of demand (read+write) MSHR miss cycles
-system.cpu6.l1c.demand_mshr_miss_latency::total 4120457291 # number of demand (read+write) MSHR miss cycles
-system.cpu6.l1c.overall_mshr_miss_latency::cpu6 4120457291 # number of overall MSHR miss cycles
-system.cpu6.l1c.overall_mshr_miss_latency::total 4120457291 # number of overall MSHR miss cycles
-system.cpu6.l1c.ReadReq_mshr_uncacheable_latency::cpu6 1098306924 # number of ReadReq MSHR uncacheable cycles
-system.cpu6.l1c.ReadReq_mshr_uncacheable_latency::total 1098306924 # number of ReadReq MSHR uncacheable cycles
-system.cpu6.l1c.WriteReq_mshr_uncacheable_latency::cpu6 3880453768 # number of WriteReq MSHR uncacheable cycles
-system.cpu6.l1c.WriteReq_mshr_uncacheable_latency::total 3880453768 # number of WriteReq MSHR uncacheable cycles
-system.cpu6.l1c.overall_mshr_uncacheable_latency::cpu6 4978760692 # number of overall MSHR uncacheable cycles
-system.cpu6.l1c.overall_mshr_uncacheable_latency::total 4978760692 # number of overall MSHR uncacheable cycles
-system.cpu6.l1c.ReadReq_mshr_miss_rate::cpu6 0.808698 # mshr miss rate for ReadReq accesses
-system.cpu6.l1c.ReadReq_mshr_miss_rate::total 0.808698 # mshr miss rate for ReadReq accesses
+system.cpu6.l1c.writebacks::writebacks 9866 # number of writebacks
+system.cpu6.l1c.writebacks::total 9866 # number of writebacks
+system.cpu6.l1c.ReadReq_mshr_misses::cpu6 36281 # number of ReadReq MSHR misses
+system.cpu6.l1c.ReadReq_mshr_misses::total 36281 # number of ReadReq MSHR misses
+system.cpu6.l1c.WriteReq_mshr_misses::cpu6 23840 # number of WriteReq MSHR misses
+system.cpu6.l1c.WriteReq_mshr_misses::total 23840 # number of WriteReq MSHR misses
+system.cpu6.l1c.demand_mshr_misses::cpu6 60121 # number of demand (read+write) MSHR misses
+system.cpu6.l1c.demand_mshr_misses::total 60121 # number of demand (read+write) MSHR misses
+system.cpu6.l1c.overall_mshr_misses::cpu6 60121 # number of overall MSHR misses
+system.cpu6.l1c.overall_mshr_misses::total 60121 # number of overall MSHR misses
+system.cpu6.l1c.ReadReq_mshr_miss_latency::cpu6 2394594434 # number of ReadReq MSHR miss cycles
+system.cpu6.l1c.ReadReq_mshr_miss_latency::total 2394594434 # number of ReadReq MSHR miss cycles
+system.cpu6.l1c.WriteReq_mshr_miss_latency::cpu6 1827956004 # number of WriteReq MSHR miss cycles
+system.cpu6.l1c.WriteReq_mshr_miss_latency::total 1827956004 # number of WriteReq MSHR miss cycles
+system.cpu6.l1c.demand_mshr_miss_latency::cpu6 4222550438 # number of demand (read+write) MSHR miss cycles
+system.cpu6.l1c.demand_mshr_miss_latency::total 4222550438 # number of demand (read+write) MSHR miss cycles
+system.cpu6.l1c.overall_mshr_miss_latency::cpu6 4222550438 # number of overall MSHR miss cycles
+system.cpu6.l1c.overall_mshr_miss_latency::total 4222550438 # number of overall MSHR miss cycles
+system.cpu6.l1c.ReadReq_mshr_uncacheable_latency::cpu6 1072935197 # number of ReadReq MSHR uncacheable cycles
+system.cpu6.l1c.ReadReq_mshr_uncacheable_latency::total 1072935197 # number of ReadReq MSHR uncacheable cycles
+system.cpu6.l1c.WriteReq_mshr_uncacheable_latency::cpu6 3927350232 # number of WriteReq MSHR uncacheable cycles
+system.cpu6.l1c.WriteReq_mshr_uncacheable_latency::total 3927350232 # number of WriteReq MSHR uncacheable cycles
+system.cpu6.l1c.overall_mshr_uncacheable_latency::cpu6 5000285429 # number of overall MSHR uncacheable cycles
+system.cpu6.l1c.overall_mshr_uncacheable_latency::total 5000285429 # number of overall MSHR uncacheable cycles
+system.cpu6.l1c.ReadReq_mshr_miss_rate::cpu6 0.806406 # mshr miss rate for ReadReq accesses
+system.cpu6.l1c.ReadReq_mshr_miss_rate::total 0.806406 # mshr miss rate for ReadReq accesses
system.cpu6.l1c.WriteReq_mshr_miss_rate::cpu6 0.953791 # mshr miss rate for WriteReq accesses
system.cpu6.l1c.WriteReq_mshr_miss_rate::total 0.953791 # mshr miss rate for WriteReq accesses
-system.cpu6.l1c.demand_mshr_miss_rate::cpu6 0.859659 # mshr miss rate for demand accesses
-system.cpu6.l1c.demand_mshr_miss_rate::total 0.859659 # mshr miss rate for demand accesses
-system.cpu6.l1c.overall_mshr_miss_rate::cpu6 0.859659 # mshr miss rate for overall accesses
-system.cpu6.l1c.overall_mshr_miss_rate::total 0.859659 # mshr miss rate for overall accesses
-system.cpu6.l1c.ReadReq_avg_mshr_miss_latency::cpu6 65459.972556 # average ReadReq mshr miss latency
-system.cpu6.l1c.ReadReq_avg_mshr_miss_latency::total 65459.972556 # average ReadReq mshr miss latency
-system.cpu6.l1c.WriteReq_avg_mshr_miss_latency::cpu6 76192.647554 # average WriteReq mshr miss latency
-system.cpu6.l1c.WriteReq_avg_mshr_miss_latency::total 76192.647554 # average WriteReq mshr miss latency
-system.cpu6.l1c.demand_avg_mshr_miss_latency::cpu6 69642.316381 # average overall mshr miss latency
-system.cpu6.l1c.demand_avg_mshr_miss_latency::total 69642.316381 # average overall mshr miss latency
-system.cpu6.l1c.overall_avg_mshr_miss_latency::cpu6 69642.316381 # average overall mshr miss latency
-system.cpu6.l1c.overall_avg_mshr_miss_latency::total 69642.316381 # average overall mshr miss latency
+system.cpu6.l1c.demand_mshr_miss_rate::cpu6 0.859043 # mshr miss rate for demand accesses
+system.cpu6.l1c.demand_mshr_miss_rate::total 0.859043 # mshr miss rate for demand accesses
+system.cpu6.l1c.overall_mshr_miss_rate::cpu6 0.859043 # mshr miss rate for overall accesses
+system.cpu6.l1c.overall_mshr_miss_rate::total 0.859043 # mshr miss rate for overall accesses
+system.cpu6.l1c.ReadReq_avg_mshr_miss_latency::cpu6 66001.334969 # average ReadReq mshr miss latency
+system.cpu6.l1c.ReadReq_avg_mshr_miss_latency::total 66001.334969 # average ReadReq mshr miss latency
+system.cpu6.l1c.WriteReq_avg_mshr_miss_latency::cpu6 76676.006879 # average WriteReq mshr miss latency
+system.cpu6.l1c.WriteReq_avg_mshr_miss_latency::total 76676.006879 # average WriteReq mshr miss latency
+system.cpu6.l1c.demand_avg_mshr_miss_latency::cpu6 70234.201660 # average overall mshr miss latency
+system.cpu6.l1c.demand_avg_mshr_miss_latency::total 70234.201660 # average overall mshr miss latency
+system.cpu6.l1c.overall_avg_mshr_miss_latency::cpu6 70234.201660 # average overall mshr miss latency
+system.cpu6.l1c.overall_avg_mshr_miss_latency::total 70234.201660 # average overall mshr miss latency
system.cpu6.l1c.ReadReq_avg_mshr_uncacheable_latency::cpu6 inf # average ReadReq mshr uncacheable latency
system.cpu6.l1c.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu6.l1c.WriteReq_avg_mshr_uncacheable_latency::cpu6 inf # average WriteReq mshr uncacheable latency
@@ -1622,120 +1613,120 @@ system.cpu6.l1c.WriteReq_avg_mshr_uncacheable_latency::total inf
system.cpu6.l1c.overall_avg_mshr_uncacheable_latency::cpu6 inf # average overall mshr uncacheable latency
system.cpu6.l1c.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.cpu6.l1c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.cpu7.num_reads 99292 # number of read accesses completed
-system.cpu7.num_writes 53734 # number of write accesses completed
+system.cpu7.num_reads 98627 # number of read accesses completed
+system.cpu7.num_writes 54842 # number of write accesses completed
system.cpu7.num_copies 0 # number of copy accesses completed
-system.cpu7.l1c.tags.replacements 22176 # number of replacements
-system.cpu7.l1c.tags.tagsinuse 397.484138 # Cycle average of tags in use
-system.cpu7.l1c.tags.total_refs 13353 # Total number of references to valid blocks.
-system.cpu7.l1c.tags.sampled_refs 22564 # Sample count of references to valid blocks.
-system.cpu7.l1c.tags.avg_refs 0.591783 # Average number of references to valid blocks.
+system.cpu7.l1c.tags.replacements 22131 # number of replacements
+system.cpu7.l1c.tags.tagsinuse 396.248772 # Cycle average of tags in use
+system.cpu7.l1c.tags.total_refs 13292 # Total number of references to valid blocks.
+system.cpu7.l1c.tags.sampled_refs 22531 # Sample count of references to valid blocks.
+system.cpu7.l1c.tags.avg_refs 0.589943 # Average number of references to valid blocks.
system.cpu7.l1c.tags.warmup_cycle 0 # Cycle when the warmup percentage was hit.
-system.cpu7.l1c.tags.occ_blocks::cpu7 397.484138 # Average occupied blocks per requestor
-system.cpu7.l1c.tags.occ_percent::cpu7 0.776336 # Average percentage of cache occupancy
-system.cpu7.l1c.tags.occ_percent::total 0.776336 # Average percentage of cache occupancy
-system.cpu7.l1c.tags.occ_task_id_blocks::1024 388 # Occupied blocks per task id
-system.cpu7.l1c.tags.age_task_id_blocks_1024::0 261 # Occupied blocks per task id
+system.cpu7.l1c.tags.occ_blocks::cpu7 396.248772 # Average occupied blocks per requestor
+system.cpu7.l1c.tags.occ_percent::cpu7 0.773923 # Average percentage of cache occupancy
+system.cpu7.l1c.tags.occ_percent::total 0.773923 # Average percentage of cache occupancy
+system.cpu7.l1c.tags.occ_task_id_blocks::1024 400 # Occupied blocks per task id
+system.cpu7.l1c.tags.age_task_id_blocks_1024::0 273 # Occupied blocks per task id
system.cpu7.l1c.tags.age_task_id_blocks_1024::1 127 # Occupied blocks per task id
-system.cpu7.l1c.tags.occ_task_id_percent::1024 0.757812 # Percentage of cache occupancy per task id
-system.cpu7.l1c.tags.tag_accesses 330932 # Number of tag accesses
-system.cpu7.l1c.tags.data_accesses 330932 # Number of data accesses
-system.cpu7.l1c.ReadReq_hits::cpu7 8693 # number of ReadReq hits
-system.cpu7.l1c.ReadReq_hits::total 8693 # number of ReadReq hits
-system.cpu7.l1c.WriteReq_hits::cpu7 1154 # number of WriteReq hits
-system.cpu7.l1c.WriteReq_hits::total 1154 # number of WriteReq hits
-system.cpu7.l1c.demand_hits::cpu7 9847 # number of demand (read+write) hits
-system.cpu7.l1c.demand_hits::total 9847 # number of demand (read+write) hits
-system.cpu7.l1c.overall_hits::cpu7 9847 # number of overall hits
-system.cpu7.l1c.overall_hits::total 9847 # number of overall hits
-system.cpu7.l1c.ReadReq_misses::cpu7 36097 # number of ReadReq misses
-system.cpu7.l1c.ReadReq_misses::total 36097 # number of ReadReq misses
-system.cpu7.l1c.WriteReq_misses::cpu7 22922 # number of WriteReq misses
-system.cpu7.l1c.WriteReq_misses::total 22922 # number of WriteReq misses
-system.cpu7.l1c.demand_misses::cpu7 59019 # number of demand (read+write) misses
-system.cpu7.l1c.demand_misses::total 59019 # number of demand (read+write) misses
-system.cpu7.l1c.overall_misses::cpu7 59019 # number of overall misses
-system.cpu7.l1c.overall_misses::total 59019 # number of overall misses
-system.cpu7.l1c.ReadReq_miss_latency::cpu7 2444006416 # number of ReadReq miss cycles
-system.cpu7.l1c.ReadReq_miss_latency::total 2444006416 # number of ReadReq miss cycles
-system.cpu7.l1c.WriteReq_miss_latency::cpu7 1801319117 # number of WriteReq miss cycles
-system.cpu7.l1c.WriteReq_miss_latency::total 1801319117 # number of WriteReq miss cycles
-system.cpu7.l1c.demand_miss_latency::cpu7 4245325533 # number of demand (read+write) miss cycles
-system.cpu7.l1c.demand_miss_latency::total 4245325533 # number of demand (read+write) miss cycles
-system.cpu7.l1c.overall_miss_latency::cpu7 4245325533 # number of overall miss cycles
-system.cpu7.l1c.overall_miss_latency::total 4245325533 # number of overall miss cycles
-system.cpu7.l1c.ReadReq_accesses::cpu7 44790 # number of ReadReq accesses(hits+misses)
-system.cpu7.l1c.ReadReq_accesses::total 44790 # number of ReadReq accesses(hits+misses)
-system.cpu7.l1c.WriteReq_accesses::cpu7 24076 # number of WriteReq accesses(hits+misses)
-system.cpu7.l1c.WriteReq_accesses::total 24076 # number of WriteReq accesses(hits+misses)
-system.cpu7.l1c.demand_accesses::cpu7 68866 # number of demand (read+write) accesses
-system.cpu7.l1c.demand_accesses::total 68866 # number of demand (read+write) accesses
-system.cpu7.l1c.overall_accesses::cpu7 68866 # number of overall (read+write) accesses
-system.cpu7.l1c.overall_accesses::total 68866 # number of overall (read+write) accesses
-system.cpu7.l1c.ReadReq_miss_rate::cpu7 0.805916 # miss rate for ReadReq accesses
-system.cpu7.l1c.ReadReq_miss_rate::total 0.805916 # miss rate for ReadReq accesses
-system.cpu7.l1c.WriteReq_miss_rate::cpu7 0.952068 # miss rate for WriteReq accesses
-system.cpu7.l1c.WriteReq_miss_rate::total 0.952068 # miss rate for WriteReq accesses
-system.cpu7.l1c.demand_miss_rate::cpu7 0.857012 # miss rate for demand accesses
-system.cpu7.l1c.demand_miss_rate::total 0.857012 # miss rate for demand accesses
-system.cpu7.l1c.overall_miss_rate::cpu7 0.857012 # miss rate for overall accesses
-system.cpu7.l1c.overall_miss_rate::total 0.857012 # miss rate for overall accesses
-system.cpu7.l1c.ReadReq_avg_miss_latency::cpu7 67706.635344 # average ReadReq miss latency
-system.cpu7.l1c.ReadReq_avg_miss_latency::total 67706.635344 # average ReadReq miss latency
-system.cpu7.l1c.WriteReq_avg_miss_latency::cpu7 78584.727205 # average WriteReq miss latency
-system.cpu7.l1c.WriteReq_avg_miss_latency::total 78584.727205 # average WriteReq miss latency
-system.cpu7.l1c.demand_avg_miss_latency::cpu7 71931.505668 # average overall miss latency
-system.cpu7.l1c.demand_avg_miss_latency::total 71931.505668 # average overall miss latency
-system.cpu7.l1c.overall_avg_miss_latency::cpu7 71931.505668 # average overall miss latency
-system.cpu7.l1c.overall_avg_miss_latency::total 71931.505668 # average overall miss latency
-system.cpu7.l1c.blocked_cycles::no_mshrs 2180293 # number of cycles access was blocked
+system.cpu7.l1c.tags.occ_task_id_percent::1024 0.781250 # Percentage of cache occupancy per task id
+system.cpu7.l1c.tags.tag_accesses 334904 # Number of tag accesses
+system.cpu7.l1c.tags.data_accesses 334904 # Number of data accesses
+system.cpu7.l1c.ReadReq_hits::cpu7 8595 # number of ReadReq hits
+system.cpu7.l1c.ReadReq_hits::total 8595 # number of ReadReq hits
+system.cpu7.l1c.WriteReq_hits::cpu7 1159 # number of WriteReq hits
+system.cpu7.l1c.WriteReq_hits::total 1159 # number of WriteReq hits
+system.cpu7.l1c.demand_hits::cpu7 9754 # number of demand (read+write) hits
+system.cpu7.l1c.demand_hits::total 9754 # number of demand (read+write) hits
+system.cpu7.l1c.overall_hits::cpu7 9754 # number of overall hits
+system.cpu7.l1c.overall_hits::total 9754 # number of overall hits
+system.cpu7.l1c.ReadReq_misses::cpu7 36066 # number of ReadReq misses
+system.cpu7.l1c.ReadReq_misses::total 36066 # number of ReadReq misses
+system.cpu7.l1c.WriteReq_misses::cpu7 23832 # number of WriteReq misses
+system.cpu7.l1c.WriteReq_misses::total 23832 # number of WriteReq misses
+system.cpu7.l1c.demand_misses::cpu7 59898 # number of demand (read+write) misses
+system.cpu7.l1c.demand_misses::total 59898 # number of demand (read+write) misses
+system.cpu7.l1c.overall_misses::cpu7 59898 # number of overall misses
+system.cpu7.l1c.overall_misses::total 59898 # number of overall misses
+system.cpu7.l1c.ReadReq_miss_latency::cpu7 2444451917 # number of ReadReq miss cycles
+system.cpu7.l1c.ReadReq_miss_latency::total 2444451917 # number of ReadReq miss cycles
+system.cpu7.l1c.WriteReq_miss_latency::cpu7 1868936724 # number of WriteReq miss cycles
+system.cpu7.l1c.WriteReq_miss_latency::total 1868936724 # number of WriteReq miss cycles
+system.cpu7.l1c.demand_miss_latency::cpu7 4313388641 # number of demand (read+write) miss cycles
+system.cpu7.l1c.demand_miss_latency::total 4313388641 # number of demand (read+write) miss cycles
+system.cpu7.l1c.overall_miss_latency::cpu7 4313388641 # number of overall miss cycles
+system.cpu7.l1c.overall_miss_latency::total 4313388641 # number of overall miss cycles
+system.cpu7.l1c.ReadReq_accesses::cpu7 44661 # number of ReadReq accesses(hits+misses)
+system.cpu7.l1c.ReadReq_accesses::total 44661 # number of ReadReq accesses(hits+misses)
+system.cpu7.l1c.WriteReq_accesses::cpu7 24991 # number of WriteReq accesses(hits+misses)
+system.cpu7.l1c.WriteReq_accesses::total 24991 # number of WriteReq accesses(hits+misses)
+system.cpu7.l1c.demand_accesses::cpu7 69652 # number of demand (read+write) accesses
+system.cpu7.l1c.demand_accesses::total 69652 # number of demand (read+write) accesses
+system.cpu7.l1c.overall_accesses::cpu7 69652 # number of overall (read+write) accesses
+system.cpu7.l1c.overall_accesses::total 69652 # number of overall (read+write) accesses
+system.cpu7.l1c.ReadReq_miss_rate::cpu7 0.807550 # miss rate for ReadReq accesses
+system.cpu7.l1c.ReadReq_miss_rate::total 0.807550 # miss rate for ReadReq accesses
+system.cpu7.l1c.WriteReq_miss_rate::cpu7 0.953623 # miss rate for WriteReq accesses
+system.cpu7.l1c.WriteReq_miss_rate::total 0.953623 # miss rate for WriteReq accesses
+system.cpu7.l1c.demand_miss_rate::cpu7 0.859961 # miss rate for demand accesses
+system.cpu7.l1c.demand_miss_rate::total 0.859961 # miss rate for demand accesses
+system.cpu7.l1c.overall_miss_rate::cpu7 0.859961 # miss rate for overall accesses
+system.cpu7.l1c.overall_miss_rate::total 0.859961 # miss rate for overall accesses
+system.cpu7.l1c.ReadReq_avg_miss_latency::cpu7 67777.183968 # average ReadReq miss latency
+system.cpu7.l1c.ReadReq_avg_miss_latency::total 67777.183968 # average ReadReq miss latency
+system.cpu7.l1c.WriteReq_avg_miss_latency::cpu7 78421.312689 # average WriteReq miss latency
+system.cpu7.l1c.WriteReq_avg_miss_latency::total 78421.312689 # average WriteReq miss latency
+system.cpu7.l1c.demand_avg_miss_latency::cpu7 72012.231477 # average overall miss latency
+system.cpu7.l1c.demand_avg_miss_latency::total 72012.231477 # average overall miss latency
+system.cpu7.l1c.overall_avg_miss_latency::cpu7 72012.231477 # average overall miss latency
+system.cpu7.l1c.overall_avg_miss_latency::total 72012.231477 # average overall miss latency
+system.cpu7.l1c.blocked_cycles::no_mshrs 2189961 # number of cycles access was blocked
system.cpu7.l1c.blocked_cycles::no_targets 0 # number of cycles access was blocked
-system.cpu7.l1c.blocked::no_mshrs 59208 # number of cycles access was blocked
+system.cpu7.l1c.blocked::no_mshrs 59729 # number of cycles access was blocked
system.cpu7.l1c.blocked::no_targets 0 # number of cycles access was blocked
-system.cpu7.l1c.avg_blocked_cycles::no_mshrs 36.824297 # average number of cycles each access was blocked
+system.cpu7.l1c.avg_blocked_cycles::no_mshrs 36.664953 # average number of cycles each access was blocked
system.cpu7.l1c.avg_blocked_cycles::no_targets nan # average number of cycles each access was blocked
system.cpu7.l1c.fast_writes 0 # number of fast writes performed
system.cpu7.l1c.cache_copies 0 # number of cache copies performed
-system.cpu7.l1c.writebacks::writebacks 9569 # number of writebacks
-system.cpu7.l1c.writebacks::total 9569 # number of writebacks
-system.cpu7.l1c.ReadReq_mshr_misses::cpu7 36097 # number of ReadReq MSHR misses
-system.cpu7.l1c.ReadReq_mshr_misses::total 36097 # number of ReadReq MSHR misses
-system.cpu7.l1c.WriteReq_mshr_misses::cpu7 22922 # number of WriteReq MSHR misses
-system.cpu7.l1c.WriteReq_mshr_misses::total 22922 # number of WriteReq MSHR misses
-system.cpu7.l1c.demand_mshr_misses::cpu7 59019 # number of demand (read+write) MSHR misses
-system.cpu7.l1c.demand_mshr_misses::total 59019 # number of demand (read+write) MSHR misses
-system.cpu7.l1c.overall_mshr_misses::cpu7 59019 # number of overall MSHR misses
-system.cpu7.l1c.overall_mshr_misses::total 59019 # number of overall MSHR misses
-system.cpu7.l1c.ReadReq_mshr_miss_latency::cpu7 2367752098 # number of ReadReq MSHR miss cycles
-system.cpu7.l1c.ReadReq_mshr_miss_latency::total 2367752098 # number of ReadReq MSHR miss cycles
-system.cpu7.l1c.WriteReq_mshr_miss_latency::cpu7 1753332745 # number of WriteReq MSHR miss cycles
-system.cpu7.l1c.WriteReq_mshr_miss_latency::total 1753332745 # number of WriteReq MSHR miss cycles
-system.cpu7.l1c.demand_mshr_miss_latency::cpu7 4121084843 # number of demand (read+write) MSHR miss cycles
-system.cpu7.l1c.demand_mshr_miss_latency::total 4121084843 # number of demand (read+write) MSHR miss cycles
-system.cpu7.l1c.overall_mshr_miss_latency::cpu7 4121084843 # number of overall MSHR miss cycles
-system.cpu7.l1c.overall_mshr_miss_latency::total 4121084843 # number of overall MSHR miss cycles
-system.cpu7.l1c.ReadReq_mshr_uncacheable_latency::cpu7 1099641500 # number of ReadReq MSHR uncacheable cycles
-system.cpu7.l1c.ReadReq_mshr_uncacheable_latency::total 1099641500 # number of ReadReq MSHR uncacheable cycles
-system.cpu7.l1c.WriteReq_mshr_uncacheable_latency::cpu7 3936158285 # number of WriteReq MSHR uncacheable cycles
-system.cpu7.l1c.WriteReq_mshr_uncacheable_latency::total 3936158285 # number of WriteReq MSHR uncacheable cycles
-system.cpu7.l1c.overall_mshr_uncacheable_latency::cpu7 5035799785 # number of overall MSHR uncacheable cycles
-system.cpu7.l1c.overall_mshr_uncacheable_latency::total 5035799785 # number of overall MSHR uncacheable cycles
-system.cpu7.l1c.ReadReq_mshr_miss_rate::cpu7 0.805916 # mshr miss rate for ReadReq accesses
-system.cpu7.l1c.ReadReq_mshr_miss_rate::total 0.805916 # mshr miss rate for ReadReq accesses
-system.cpu7.l1c.WriteReq_mshr_miss_rate::cpu7 0.952068 # mshr miss rate for WriteReq accesses
-system.cpu7.l1c.WriteReq_mshr_miss_rate::total 0.952068 # mshr miss rate for WriteReq accesses
-system.cpu7.l1c.demand_mshr_miss_rate::cpu7 0.857012 # mshr miss rate for demand accesses
-system.cpu7.l1c.demand_mshr_miss_rate::total 0.857012 # mshr miss rate for demand accesses
-system.cpu7.l1c.overall_mshr_miss_rate::cpu7 0.857012 # mshr miss rate for overall accesses
-system.cpu7.l1c.overall_mshr_miss_rate::total 0.857012 # mshr miss rate for overall accesses
-system.cpu7.l1c.ReadReq_avg_mshr_miss_latency::cpu7 65594.151813 # average ReadReq mshr miss latency
-system.cpu7.l1c.ReadReq_avg_mshr_miss_latency::total 65594.151813 # average ReadReq mshr miss latency
-system.cpu7.l1c.WriteReq_avg_mshr_miss_latency::cpu7 76491.263633 # average WriteReq mshr miss latency
-system.cpu7.l1c.WriteReq_avg_mshr_miss_latency::total 76491.263633 # average WriteReq mshr miss latency
-system.cpu7.l1c.demand_avg_mshr_miss_latency::cpu7 69826.409173 # average overall mshr miss latency
-system.cpu7.l1c.demand_avg_mshr_miss_latency::total 69826.409173 # average overall mshr miss latency
-system.cpu7.l1c.overall_avg_mshr_miss_latency::cpu7 69826.409173 # average overall mshr miss latency
-system.cpu7.l1c.overall_avg_mshr_miss_latency::total 69826.409173 # average overall mshr miss latency
+system.cpu7.l1c.writebacks::writebacks 9741 # number of writebacks
+system.cpu7.l1c.writebacks::total 9741 # number of writebacks
+system.cpu7.l1c.ReadReq_mshr_misses::cpu7 36066 # number of ReadReq MSHR misses
+system.cpu7.l1c.ReadReq_mshr_misses::total 36066 # number of ReadReq MSHR misses
+system.cpu7.l1c.WriteReq_mshr_misses::cpu7 23832 # number of WriteReq MSHR misses
+system.cpu7.l1c.WriteReq_mshr_misses::total 23832 # number of WriteReq MSHR misses
+system.cpu7.l1c.demand_mshr_misses::cpu7 59898 # number of demand (read+write) MSHR misses
+system.cpu7.l1c.demand_mshr_misses::total 59898 # number of demand (read+write) MSHR misses
+system.cpu7.l1c.overall_mshr_misses::cpu7 59898 # number of overall MSHR misses
+system.cpu7.l1c.overall_mshr_misses::total 59898 # number of overall MSHR misses
+system.cpu7.l1c.ReadReq_mshr_miss_latency::cpu7 2368349491 # number of ReadReq MSHR miss cycles
+system.cpu7.l1c.ReadReq_mshr_miss_latency::total 2368349491 # number of ReadReq MSHR miss cycles
+system.cpu7.l1c.WriteReq_mshr_miss_latency::cpu7 1818980712 # number of WriteReq MSHR miss cycles
+system.cpu7.l1c.WriteReq_mshr_miss_latency::total 1818980712 # number of WriteReq MSHR miss cycles
+system.cpu7.l1c.demand_mshr_miss_latency::cpu7 4187330203 # number of demand (read+write) MSHR miss cycles
+system.cpu7.l1c.demand_mshr_miss_latency::total 4187330203 # number of demand (read+write) MSHR miss cycles
+system.cpu7.l1c.overall_mshr_miss_latency::cpu7 4187330203 # number of overall MSHR miss cycles
+system.cpu7.l1c.overall_mshr_miss_latency::total 4187330203 # number of overall MSHR miss cycles
+system.cpu7.l1c.ReadReq_mshr_uncacheable_latency::cpu7 1090983062 # number of ReadReq MSHR uncacheable cycles
+system.cpu7.l1c.ReadReq_mshr_uncacheable_latency::total 1090983062 # number of ReadReq MSHR uncacheable cycles
+system.cpu7.l1c.WriteReq_mshr_uncacheable_latency::cpu7 4047348155 # number of WriteReq MSHR uncacheable cycles
+system.cpu7.l1c.WriteReq_mshr_uncacheable_latency::total 4047348155 # number of WriteReq MSHR uncacheable cycles
+system.cpu7.l1c.overall_mshr_uncacheable_latency::cpu7 5138331217 # number of overall MSHR uncacheable cycles
+system.cpu7.l1c.overall_mshr_uncacheable_latency::total 5138331217 # number of overall MSHR uncacheable cycles
+system.cpu7.l1c.ReadReq_mshr_miss_rate::cpu7 0.807550 # mshr miss rate for ReadReq accesses
+system.cpu7.l1c.ReadReq_mshr_miss_rate::total 0.807550 # mshr miss rate for ReadReq accesses
+system.cpu7.l1c.WriteReq_mshr_miss_rate::cpu7 0.953623 # mshr miss rate for WriteReq accesses
+system.cpu7.l1c.WriteReq_mshr_miss_rate::total 0.953623 # mshr miss rate for WriteReq accesses
+system.cpu7.l1c.demand_mshr_miss_rate::cpu7 0.859961 # mshr miss rate for demand accesses
+system.cpu7.l1c.demand_mshr_miss_rate::total 0.859961 # mshr miss rate for demand accesses
+system.cpu7.l1c.overall_mshr_miss_rate::cpu7 0.859961 # mshr miss rate for overall accesses
+system.cpu7.l1c.overall_mshr_miss_rate::total 0.859961 # mshr miss rate for overall accesses
+system.cpu7.l1c.ReadReq_avg_mshr_miss_latency::cpu7 65667.096185 # average ReadReq mshr miss latency
+system.cpu7.l1c.ReadReq_avg_mshr_miss_latency::total 65667.096185 # average ReadReq mshr miss latency
+system.cpu7.l1c.WriteReq_avg_mshr_miss_latency::cpu7 76325.138973 # average WriteReq mshr miss latency
+system.cpu7.l1c.WriteReq_avg_mshr_miss_latency::total 76325.138973 # average WriteReq mshr miss latency
+system.cpu7.l1c.demand_avg_mshr_miss_latency::cpu7 69907.679772 # average overall mshr miss latency
+system.cpu7.l1c.demand_avg_mshr_miss_latency::total 69907.679772 # average overall mshr miss latency
+system.cpu7.l1c.overall_avg_mshr_miss_latency::cpu7 69907.679772 # average overall mshr miss latency
+system.cpu7.l1c.overall_avg_mshr_miss_latency::total 69907.679772 # average overall mshr miss latency
system.cpu7.l1c.ReadReq_avg_mshr_uncacheable_latency::cpu7 inf # average ReadReq mshr uncacheable latency
system.cpu7.l1c.ReadReq_avg_mshr_uncacheable_latency::total inf # average ReadReq mshr uncacheable latency
system.cpu7.l1c.WriteReq_avg_mshr_uncacheable_latency::cpu7 inf # average WriteReq mshr uncacheable latency
diff --git a/tests/quick/se/50.memtest/ref/null/none/memtest/stats.txt b/tests/quick/se/50.memtest/ref/null/none/memtest/stats.txt
index ee0a55e41..3816114a8 100644
--- a/tests/quick/se/50.memtest/ref/null/none/memtest/stats.txt
+++ b/tests/quick/se/50.memtest/ref/null/none/memtest/stats.txt
@@ -4,9 +4,9 @@ sim_seconds 0.000667 # Nu
sim_ticks 666669000 # Number of ticks simulated
final_tick 666669000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_tick_rate 89799840 # Simulator tick rate (ticks/s)
-host_mem_usage 343700 # Number of bytes of host memory used
-host_seconds 7.42 # Real time elapsed on the host
+host_tick_rate 141005098 # Simulator tick rate (ticks/s)
+host_mem_usage 405228 # Number of bytes of host memory used
+host_seconds 4.73 # Real time elapsed on the host
system.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
system.physmem.bytes_read::cpu0 77587 # Number of bytes read from this memory
@@ -76,7 +76,6 @@ system.physmem.bw_total::cpu5 124247565 # To
system.physmem.bw_total::cpu6 125875059 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::cpu7 125110062 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 1591465930 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 1591365430 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 83865 # Transaction distribution
system.membus.trans_dist::ReadResp 83861 # Transaction distribution
system.membus.trans_dist::WriteReq 43929 # Transaction distribution
@@ -88,10 +87,19 @@ system.membus.trans_dist::ReadExReq 50259 # Tr
system.membus.trans_dist::ReadExResp 3073 # Transaction distribution
system.membus.pkt_count_system.l2c.mem_side::system.physmem.port 420880 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 420880 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.l2c.mem_side::system.physmem.port 1060914 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 1060914 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 1060914 # Total data (bytes)
-system.membus.snoop_data_through_bus 0 # Total snoop data (bytes)
+system.membus.pkt_size_system.l2c.mem_side::system.physmem.port 1060914 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 1060914 # Cumulative packet size per connected master and slave (bytes)
+system.membus.snoops 57934 # Total snoops (count)
+system.membus.snoop_fanout::samples 123225 # Request fanout histogram
+system.membus.snoop_fanout::mean 0 # Request fanout histogram
+system.membus.snoop_fanout::stdev 0 # Request fanout histogram
+system.membus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.membus.snoop_fanout::0 123225 100.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::1 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.membus.snoop_fanout::min_value 0 # Request fanout histogram
+system.membus.snoop_fanout::max_value 0 # Request fanout histogram
+system.membus.snoop_fanout::total 123225 # Request fanout histogram
system.membus.reqLayer0.occupancy 288472152 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 43.3 # Layer utilization (%)
system.membus.respLayer0.occupancy 310892000 # Layer occupancy (ticks)
@@ -687,9 +695,6 @@ system.l2c.overall_avg_mshr_uncacheable_latency::cpu6 inf
system.l2c.overall_avg_mshr_uncacheable_latency::cpu7 inf # average overall mshr uncacheable latency
system.l2c.overall_avg_mshr_uncacheable_latency::total inf # average overall mshr uncacheable latency
system.l2c.no_allocate_misses 0 # Number of misses that were no-allocate
-system.funcbus.throughput 0 # Throughput (bytes/s)
-system.funcbus.data_through_bus 0 # Total data (bytes)
-system.toL2Bus.throughput 51067763763 # Throughput (bytes/s)
system.toL2Bus.trans_dist::ReadReq 370706 # Transaction distribution
system.toL2Bus.trans_dist::ReadResp 370692 # Transaction distribution
system.toL2Bus.trans_dist::ReadRespWithInvalidate 3 # Transaction distribution
@@ -709,17 +714,33 @@ system.toL2Bus.pkt_count_system.cpu5.l1c.mem_side::system.l2c.cpu_side 120
system.toL2Bus.pkt_count_system.cpu6.l1c.mem_side::system.l2c.cpu_side 120880 # Packet count per connected master and slave (bytes)
system.toL2Bus.pkt_count_system.cpu7.l1c.mem_side::system.l2c.cpu_side 120421 # Packet count per connected master and slave (bytes)
system.toL2Bus.pkt_count::total 963916 # Packet count per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu0.l1c.mem_side::system.l2c.cpu_side 1756245 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu1.l1c.mem_side::system.l2c.cpu_side 1754904 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu2.l1c.mem_side::system.l2c.cpu_side 1765350 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu3.l1c.mem_side::system.l2c.cpu_side 1754211 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu4.l1c.mem_side::system.l2c.cpu_side 1769359 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu5.l1c.mem_side::system.l2c.cpu_side 1771216 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu6.l1c.mem_side::system.l2c.cpu_side 1757581 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size_system.cpu7.l1c.mem_side::system.l2c.cpu_side 1758989 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.tot_pkt_size::total 14087855 # Cumulative packet size per connected master and slave (bytes)
-system.toL2Bus.data_through_bus 14087855 # Total data (bytes)
-system.toL2Bus.snoop_data_through_bus 19957440 # Total snoop data (bytes)
+system.toL2Bus.pkt_size_system.cpu0.l1c.mem_side::system.l2c.cpu_side 1756245 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu1.l1c.mem_side::system.l2c.cpu_side 1754904 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu2.l1c.mem_side::system.l2c.cpu_side 1765350 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu3.l1c.mem_side::system.l2c.cpu_side 1754211 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu4.l1c.mem_side::system.l2c.cpu_side 1769359 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu5.l1c.mem_side::system.l2c.cpu_side 1771216 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu6.l1c.mem_side::system.l2c.cpu_side 1757581 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size_system.cpu7.l1c.mem_side::system.l2c.cpu_side 1758989 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.pkt_size::total 14087855 # Cumulative packet size per connected master and slave (bytes)
+system.toL2Bus.snoops 322583 # Total snoops (count)
+system.toL2Bus.snoop_fanout::samples 561153 # Request fanout histogram
+system.toL2Bus.snoop_fanout::mean 7 # Request fanout histogram
+system.toL2Bus.snoop_fanout::stdev 0 # Request fanout histogram
+system.toL2Bus.snoop_fanout::underflows 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::0 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::1 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::2 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::3 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::4 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::5 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::6 0 0.00% 0.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::7 561153 100.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::8 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::overflows 0 0.00% 100.00% # Request fanout histogram
+system.toL2Bus.snoop_fanout::min_value 7 # Request fanout histogram
+system.toL2Bus.snoop_fanout::max_value 7 # Request fanout histogram
+system.toL2Bus.snoop_fanout::total 561153 # Request fanout histogram
system.toL2Bus.reqLayer0.occupancy 655042579 # Layer occupancy (ticks)
system.toL2Bus.reqLayer0.utilization 98.3 # Layer utilization (%)
system.toL2Bus.respLayer0.occupancy 160407425 # Layer occupancy (ticks)
diff --git a/tests/quick/se/70.tgen/ref/null/none/tgen-dram-ctrl/stats.txt b/tests/quick/se/70.tgen/ref/null/none/tgen-dram-ctrl/stats.txt
index 779d261ee..3240ac711 100644
--- a/tests/quick/se/70.tgen/ref/null/none/tgen-dram-ctrl/stats.txt
+++ b/tests/quick/se/70.tgen/ref/null/none/tgen-dram-ctrl/stats.txt
@@ -4,9 +4,9 @@ sim_seconds 0.100000 # Nu
sim_ticks 100000000000 # Number of ticks simulated
final_tick 100000000000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_tick_rate 10849136429 # Simulator tick rate (ticks/s)
-host_mem_usage 200176 # Number of bytes of host memory used
-host_seconds 9.22 # Real time elapsed on the host
+host_tick_rate 14153017614 # Simulator tick rate (ticks/s)
+host_mem_usage 261088 # Number of bytes of host memory used
+host_seconds 7.07 # Real time elapsed on the host
system.clk_domain.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
system.physmem.bytes_read::cpu 106649408 # Number of bytes read from this memory
@@ -27,46 +27,46 @@ system.physmem.readReqs 1666397 # Nu
system.physmem.writeReqs 1666879 # Number of write requests accepted
system.physmem.readBursts 1666397 # Number of DRAM read bursts, including those serviced by the write queue
system.physmem.writeBursts 1666879 # Number of DRAM write bursts, including those merged in the write queue
-system.physmem.bytesReadDRAM 106647616 # Total number of bytes read from DRAM
-system.physmem.bytesReadWrQ 1792 # Total number of bytes read from write queue
-system.physmem.bytesWritten 106676608 # Total number of bytes written to DRAM
+system.physmem.bytesReadDRAM 106647872 # Total number of bytes read from DRAM
+system.physmem.bytesReadWrQ 1536 # Total number of bytes read from write queue
+system.physmem.bytesWritten 106676864 # Total number of bytes written to DRAM
system.physmem.bytesReadSys 106649408 # Total read bytes from the system interface side
system.physmem.bytesWrittenSys 106680256 # Total written bytes from the system interface side
-system.physmem.servicedByWrQ 28 # Number of DRAM read bursts serviced by the write queue
-system.physmem.mergedWrBursts 33 # Number of DRAM write bursts merged with an existing one
+system.physmem.servicedByWrQ 24 # Number of DRAM read bursts serviced by the write queue
+system.physmem.mergedWrBursts 30 # Number of DRAM write bursts merged with an existing one
system.physmem.neitherReadNorWriteReqs 0 # Number of requests that are neither read nor write
system.physmem.perBankRdBursts::0 104030 # Per bank write bursts
-system.physmem.perBankRdBursts::1 103995 # Per bank write bursts
+system.physmem.perBankRdBursts::1 103994 # Per bank write bursts
system.physmem.perBankRdBursts::2 104918 # Per bank write bursts
-system.physmem.perBankRdBursts::3 104597 # Per bank write bursts
-system.physmem.perBankRdBursts::4 103868 # Per bank write bursts
-system.physmem.perBankRdBursts::5 103934 # Per bank write bursts
+system.physmem.perBankRdBursts::3 104596 # Per bank write bursts
+system.physmem.perBankRdBursts::4 103869 # Per bank write bursts
+system.physmem.perBankRdBursts::5 103935 # Per bank write bursts
system.physmem.perBankRdBursts::6 103649 # Per bank write bursts
-system.physmem.perBankRdBursts::7 104312 # Per bank write bursts
+system.physmem.perBankRdBursts::7 104313 # Per bank write bursts
system.physmem.perBankRdBursts::8 103869 # Per bank write bursts
-system.physmem.perBankRdBursts::9 104353 # Per bank write bursts
-system.physmem.perBankRdBursts::10 103834 # Per bank write bursts
+system.physmem.perBankRdBursts::9 104354 # Per bank write bursts
+system.physmem.perBankRdBursts::10 103835 # Per bank write bursts
system.physmem.perBankRdBursts::11 104272 # Per bank write bursts
-system.physmem.perBankRdBursts::12 104075 # Per bank write bursts
+system.physmem.perBankRdBursts::12 104076 # Per bank write bursts
system.physmem.perBankRdBursts::13 104034 # Per bank write bursts
system.physmem.perBankRdBursts::14 104583 # Per bank write bursts
system.physmem.perBankRdBursts::15 104046 # Per bank write bursts
-system.physmem.perBankWrBursts::0 104355 # Per bank write bursts
+system.physmem.perBankWrBursts::0 104357 # Per bank write bursts
system.physmem.perBankWrBursts::1 104090 # Per bank write bursts
system.physmem.perBankWrBursts::2 104175 # Per bank write bursts
system.physmem.perBankWrBursts::3 103885 # Per bank write bursts
system.physmem.perBankWrBursts::4 104730 # Per bank write bursts
-system.physmem.perBankWrBursts::5 104507 # Per bank write bursts
-system.physmem.perBankWrBursts::6 104082 # Per bank write bursts
-system.physmem.perBankWrBursts::7 104224 # Per bank write bursts
-system.physmem.perBankWrBursts::8 104318 # Per bank write bursts
+system.physmem.perBankWrBursts::5 104508 # Per bank write bursts
+system.physmem.perBankWrBursts::6 104083 # Per bank write bursts
+system.physmem.perBankWrBursts::7 104226 # Per bank write bursts
+system.physmem.perBankWrBursts::8 104319 # Per bank write bursts
system.physmem.perBankWrBursts::9 104219 # Per bank write bursts
-system.physmem.perBankWrBursts::10 104228 # Per bank write bursts
+system.physmem.perBankWrBursts::10 104229 # Per bank write bursts
system.physmem.perBankWrBursts::11 103701 # Per bank write bursts
-system.physmem.perBankWrBursts::12 104103 # Per bank write bursts
-system.physmem.perBankWrBursts::13 103984 # Per bank write bursts
-system.physmem.perBankWrBursts::14 104297 # Per bank write bursts
-system.physmem.perBankWrBursts::15 103924 # Per bank write bursts
+system.physmem.perBankWrBursts::12 104102 # Per bank write bursts
+system.physmem.perBankWrBursts::13 103983 # Per bank write bursts
+system.physmem.perBankWrBursts::14 104296 # Per bank write bursts
+system.physmem.perBankWrBursts::15 103923 # Per bank write bursts
system.physmem.numRdRetry 0 # Number of times read queue was full causing retry
system.physmem.numWrRetry 0 # Number of times write queue was full causing retry
system.physmem.totGap 99999956143 # Total gap between requests
@@ -84,21 +84,21 @@ system.physmem.writePktSize::3 0 # Wr
system.physmem.writePktSize::4 0 # Write request sizes (log2)
system.physmem.writePktSize::5 0 # Write request sizes (log2)
system.physmem.writePktSize::6 1666879 # Write request sizes (log2)
-system.physmem.rdQLenPdf::0 765065 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::1 778270 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::2 73012 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::3 24424 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::4 10950 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::5 7150 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::6 4169 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::7 2026 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::8 823 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::9 361 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::10 94 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::11 20 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::12 5 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::13 0 # What read queue length does an incoming req see
-system.physmem.rdQLenPdf::14 0 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::0 749477 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::1 767791 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::2 84595 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::3 34424 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::4 14110 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::5 7738 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::6 4506 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::7 2212 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::8 927 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::9 435 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::10 124 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::11 24 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::12 8 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::13 1 # What read queue length does an incoming req see
+system.physmem.rdQLenPdf::14 1 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::15 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::16 0 # What read queue length does an incoming req see
system.physmem.rdQLenPdf::17 0 # What read queue length does an incoming req see
@@ -131,31 +131,31 @@ system.physmem.wrQLenPdf::11 1 # Wh
system.physmem.wrQLenPdf::12 1 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::13 1 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::14 1 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::15 21652 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::16 26132 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::17 49040 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::18 101252 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::19 110536 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::20 109573 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::21 103779 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::22 100515 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::23 100211 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::24 122657 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::25 111795 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::26 105251 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::27 100593 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::28 98735 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::29 98656 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::30 98627 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::31 98577 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::32 98503 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::33 3706 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::34 2792 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::35 1955 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::36 1254 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::37 676 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::38 274 # What write queue length does an incoming req see
-system.physmem.wrQLenPdf::39 74 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::15 11355 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::16 15130 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::17 38121 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::18 87361 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::19 105787 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::20 108512 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::21 113649 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::22 112259 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::23 107672 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::24 105658 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::25 125741 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::26 107375 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::27 108402 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::28 107991 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::29 100241 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::30 100173 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::31 100040 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::32 100007 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::33 3871 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::34 3019 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::35 2113 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::36 1304 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::37 696 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::38 272 # What write queue length does an incoming req see
+system.physmem.wrQLenPdf::39 69 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::40 14 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::41 2 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::42 0 # What write queue length does an incoming req see
@@ -180,12 +180,12 @@ system.physmem.wrQLenPdf::60 0 # Wh
system.physmem.wrQLenPdf::61 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::62 0 # What write queue length does an incoming req see
system.physmem.wrQLenPdf::63 0 # What write queue length does an incoming req see
-system.physmem.bytesPerActivate::samples 3296334 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::mean 64.715403 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::gmean 64.191581 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::stdev 23.992085 # Bytes accessed per row activation
-system.physmem.bytesPerActivate::0-127 3288433 99.76% 99.76% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::128-255 5746 0.17% 99.93% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::samples 3296263 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::mean 64.716933 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::gmean 64.192638 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::stdev 23.994317 # Bytes accessed per row activation
+system.physmem.bytesPerActivate::0-127 3288284 99.76% 99.76% # Bytes accessed per row activation
+system.physmem.bytesPerActivate::128-255 5824 0.18% 99.93% # Bytes accessed per row activation
system.physmem.bytesPerActivate::256-383 32 0.00% 99.94% # Bytes accessed per row activation
system.physmem.bytesPerActivate::384-511 32 0.00% 99.94% # Bytes accessed per row activation
system.physmem.bytesPerActivate::512-639 32 0.00% 99.94% # Bytes accessed per row activation
@@ -193,40 +193,41 @@ system.physmem.bytesPerActivate::640-767 32 0.00% 99.94% # By
system.physmem.bytesPerActivate::768-895 32 0.00% 99.94% # Bytes accessed per row activation
system.physmem.bytesPerActivate::896-1023 32 0.00% 99.94% # Bytes accessed per row activation
system.physmem.bytesPerActivate::1024-1151 1963 0.06% 100.00% # Bytes accessed per row activation
-system.physmem.bytesPerActivate::total 3296334 # Bytes accessed per row activation
-system.physmem.rdPerTurnAround::samples 97889 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::mean 17.022975 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::gmean 15.667690 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::stdev 106.738588 # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::0-2047 97888 100.00% 100.00% # Reads before turning the bus around for writes
+system.physmem.bytesPerActivate::total 3296263 # Bytes accessed per row activation
+system.physmem.rdPerTurnAround::samples 99238 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::mean 16.791612 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::gmean 15.446176 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::stdev 106.010489 # Reads before turning the bus around for writes
+system.physmem.rdPerTurnAround::0-2047 99237 100.00% 100.00% # Reads before turning the bus around for writes
system.physmem.rdPerTurnAround::32768-34815 1 0.00% 100.00% # Reads before turning the bus around for writes
-system.physmem.rdPerTurnAround::total 97889 # Reads before turning the bus around for writes
-system.physmem.wrPerTurnAround::samples 97889 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::mean 17.027674 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::gmean 16.937663 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::stdev 1.829790 # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::16 73237 74.82% 74.82% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::17 524 0.54% 75.35% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::18 736 0.75% 76.10% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::19 1545 1.58% 77.68% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::20 16291 16.64% 94.32% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::21 5161 5.27% 99.60% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::22 155 0.16% 99.75% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::23 78 0.08% 99.83% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::24 59 0.06% 99.89% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::25 41 0.04% 99.94% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::26 31 0.03% 99.97% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::27 13 0.01% 99.98% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::28 9 0.01% 99.99% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::29 6 0.01% 100.00% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::30 3 0.00% 100.00% # Writes before turning the bus around for reads
-system.physmem.wrPerTurnAround::total 97889 # Writes before turning the bus around for reads
-system.physmem.totQLat 57937365003 # Total ticks spent queuing
-system.physmem.totMemAccLat 89181783753 # Total ticks spent from burst creation until serviced by the DRAM
-system.physmem.totBusLat 8331845000 # Total ticks spent in databus transfers
-system.physmem.avgQLat 34768.63 # Average queueing delay per DRAM burst
+system.physmem.rdPerTurnAround::total 99238 # Reads before turning the bus around for writes
+system.physmem.wrPerTurnAround::samples 99238 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::mean 16.796247 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::gmean 16.714914 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::stdev 1.763911 # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::16 78818 79.42% 79.42% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::17 3091 3.11% 82.54% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::18 3042 3.07% 85.60% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::19 1750 1.76% 87.37% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::20 1374 1.38% 88.75% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::21 8750 8.82% 97.57% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::22 1949 1.96% 99.53% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::23 293 0.30% 99.83% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::24 65 0.07% 99.89% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::25 43 0.04% 99.94% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::26 29 0.03% 99.97% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::27 17 0.02% 99.98% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::28 10 0.01% 99.99% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::29 2 0.00% 99.99% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::30 4 0.00% 100.00% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::32 1 0.00% 100.00% # Writes before turning the bus around for reads
+system.physmem.wrPerTurnAround::total 99238 # Writes before turning the bus around for reads
+system.physmem.totQLat 61644213329 # Total ticks spent queuing
+system.physmem.totMemAccLat 92888707079 # Total ticks spent from burst creation until serviced by the DRAM
+system.physmem.totBusLat 8331865000 # Total ticks spent in databus transfers
+system.physmem.avgQLat 36993.05 # Average queueing delay per DRAM burst
system.physmem.avgBusLat 5000.00 # Average bus latency per DRAM burst
-system.physmem.avgMemAccLat 53518.63 # Average memory access latency per DRAM burst
+system.physmem.avgMemAccLat 55743.05 # Average memory access latency per DRAM burst
system.physmem.avgRdBW 1066.48 # Average DRAM read bandwidth in MiByte/s
system.physmem.avgWrBW 1066.77 # Average achieved write bandwidth in MiByte/s
system.physmem.avgRdBWSys 1066.49 # Average system read bandwidth in MiByte/s
@@ -235,32 +236,30 @@ system.physmem.peakBW 12800.00 # Th
system.physmem.busUtil 16.67 # Data bus utilization in percentage
system.physmem.busUtilRead 8.33 # Data bus utilization in percentage for reads
system.physmem.busUtilWrite 8.33 # Data bus utilization in percentage for writes
-system.physmem.avgRdQLen 1.68 # Average read queue length when enqueuing
-system.physmem.avgWrQLen 25.19 # Average write queue length when enqueuing
-system.physmem.readRowHits 32168 # Number of row buffer hits during reads
-system.physmem.writeRowHits 4679 # Number of row buffer hits during writes
+system.physmem.avgRdQLen 1.72 # Average read queue length when enqueuing
+system.physmem.avgWrQLen 25.44 # Average write queue length when enqueuing
+system.physmem.readRowHits 32184 # Number of row buffer hits during reads
+system.physmem.writeRowHits 4741 # Number of row buffer hits during writes
system.physmem.readRowHitRate 1.93 # Row buffer hit rate for reads
system.physmem.writeRowHitRate 0.28 # Row buffer hit rate for writes
system.physmem.avgGap 30000.50 # Average gap between requests
system.physmem.pageHitRate 1.11 # Row buffer hit rate, read and write combined
-system.physmem.memoryStateTime::IDLE 5459951 # Time in different power states
+system.physmem.memoryStateTime::IDLE 5342990 # Time in different power states
system.physmem.memoryStateTime::REF 3339180000 # Time in different power states
system.physmem.memoryStateTime::PRE_PDN 0 # Time in different power states
-system.physmem.memoryStateTime::ACT 96654442549 # Time in different power states
+system.physmem.memoryStateTime::ACT 96654559510 # Time in different power states
system.physmem.memoryStateTime::ACT_PDN 0 # Time in different power states
-system.membus.throughput 2133296640 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 1666397 # Transaction distribution
system.membus.trans_dist::ReadResp 1666397 # Transaction distribution
system.membus.trans_dist::WriteReq 1666879 # Transaction distribution
system.membus.trans_dist::WriteResp 1666879 # Transaction distribution
system.membus.pkt_count_system.monitor-master::system.physmem.port 6666552 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 6666552 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.monitor-master::system.physmem.port 213329664 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 213329664 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 213329664 # Total data (bytes)
+system.membus.pkt_size_system.monitor-master::system.physmem.port 213329664 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 213329664 # Cumulative packet size per connected master and slave (bytes)
system.membus.reqLayer0.occupancy 11679751447 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 11.7 # Layer utilization (%)
-system.membus.respLayer0.occupancy 11400175366 # Layer occupancy (ticks)
+system.membus.respLayer0.occupancy 11427712781 # Layer occupancy (ticks)
system.membus.respLayer0.utilization 11.4 # Layer utilization (%)
system.monitor.readBurstLengthHist::samples 1666397 # Histogram of burst lengths of transmitted packets
system.monitor.readBurstLengthHist::mean 64 # Histogram of burst lengths of transmitted packets
@@ -314,8 +313,8 @@ system.monitor.writeBurstLengthHist::76-79 0 0.00% 100.00% #
system.monitor.writeBurstLengthHist::total 1666879 # Histogram of burst lengths of transmitted packets
system.monitor.readBandwidthHist::samples 100 # Histogram of read bandwidth per sample period (bytes/s)
system.monitor.readBandwidthHist::mean 1066494080 # Histogram of read bandwidth per sample period (bytes/s)
-system.monitor.readBandwidthHist::gmean 1063154518.573643 # Histogram of read bandwidth per sample period (bytes/s)
-system.monitor.readBandwidthHist::stdev 107916008.948195 # Histogram of read bandwidth per sample period (bytes/s)
+system.monitor.readBandwidthHist::gmean 1063154546.015704 # Histogram of read bandwidth per sample period (bytes/s)
+system.monitor.readBandwidthHist::stdev 107915737.892311 # Histogram of read bandwidth per sample period (bytes/s)
system.monitor.readBandwidthHist::0-1.34218e+08 0 0.00% 0.00% # Histogram of read bandwidth per sample period (bytes/s)
system.monitor.readBandwidthHist::1.34218e+08-2.68435e+08 0 0.00% 0.00% # Histogram of read bandwidth per sample period (bytes/s)
system.monitor.readBandwidthHist::2.68435e+08-4.02653e+08 0 0.00% 0.00% # Histogram of read bandwidth per sample period (bytes/s)
@@ -367,34 +366,34 @@ system.monitor.writeBandwidthHist::total 100 # Hi
system.monitor.averageWriteBandwidth 1066802560 0.00% 0.00% # Average write bandwidth (bytes/s)
system.monitor.totalWrittenBytes 106680256 # Number of bytes written
system.monitor.readLatencyHist::samples 1666397 # Read request-response latency
-system.monitor.readLatencyHist::mean 73557.268741 # Read request-response latency
-system.monitor.readLatencyHist::gmean 68501.179139 # Read request-response latency
-system.monitor.readLatencyHist::stdev 39156.791762 # Read request-response latency
-system.monitor.readLatencyHist::0-32767 28 0.00% 0.00% # Read request-response latency
-system.monitor.readLatencyHist::32768-65535 454399 27.27% 27.27% # Read request-response latency
-system.monitor.readLatencyHist::65536-98303 1040506 62.44% 89.71% # Read request-response latency
-system.monitor.readLatencyHist::98304-131071 73250 4.40% 94.11% # Read request-response latency
-system.monitor.readLatencyHist::131072-163839 47036 2.82% 96.93% # Read request-response latency
-system.monitor.readLatencyHist::163840-196607 12641 0.76% 97.69% # Read request-response latency
-system.monitor.readLatencyHist::196608-229375 7802 0.47% 98.16% # Read request-response latency
-system.monitor.readLatencyHist::229376-262143 7940 0.48% 98.63% # Read request-response latency
-system.monitor.readLatencyHist::262144-294911 8093 0.49% 99.12% # Read request-response latency
-system.monitor.readLatencyHist::294912-327679 7856 0.47% 99.59% # Read request-response latency
-system.monitor.readLatencyHist::327680-360447 4214 0.25% 99.84% # Read request-response latency
-system.monitor.readLatencyHist::360448-393215 1043 0.06% 99.90% # Read request-response latency
-system.monitor.readLatencyHist::393216-425983 827 0.05% 99.95% # Read request-response latency
-system.monitor.readLatencyHist::425984-458751 590 0.04% 99.99% # Read request-response latency
-system.monitor.readLatencyHist::458752-491519 166 0.01% 100.00% # Read request-response latency
-system.monitor.readLatencyHist::491520-524287 6 0.00% 100.00% # Read request-response latency
-system.monitor.readLatencyHist::524288-557055 0 0.00% 100.00% # Read request-response latency
-system.monitor.readLatencyHist::557056-589823 0 0.00% 100.00% # Read request-response latency
-system.monitor.readLatencyHist::589824-622591 0 0.00% 100.00% # Read request-response latency
+system.monitor.readLatencyHist::mean 75762.275031 # Read request-response latency
+system.monitor.readLatencyHist::gmean 69897.504803 # Read request-response latency
+system.monitor.readLatencyHist::stdev 42102.080811 # Read request-response latency
+system.monitor.readLatencyHist::0-32767 24 0.00% 0.00% # Read request-response latency
+system.monitor.readLatencyHist::32768-65535 443180 26.60% 26.60% # Read request-response latency
+system.monitor.readLatencyHist::65536-98303 1020210 61.22% 87.82% # Read request-response latency
+system.monitor.readLatencyHist::98304-131071 76869 4.61% 92.43% # Read request-response latency
+system.monitor.readLatencyHist::131072-163839 59066 3.54% 95.98% # Read request-response latency
+system.monitor.readLatencyHist::163840-196607 25573 1.53% 97.51% # Read request-response latency
+system.monitor.readLatencyHist::196608-229375 9468 0.57% 98.08% # Read request-response latency
+system.monitor.readLatencyHist::229376-262143 7856 0.47% 98.55% # Read request-response latency
+system.monitor.readLatencyHist::262144-294911 8007 0.48% 99.03% # Read request-response latency
+system.monitor.readLatencyHist::294912-327679 7912 0.47% 99.51% # Read request-response latency
+system.monitor.readLatencyHist::327680-360447 4865 0.29% 99.80% # Read request-response latency
+system.monitor.readLatencyHist::360448-393215 1179 0.07% 99.87% # Read request-response latency
+system.monitor.readLatencyHist::393216-425983 915 0.05% 99.92% # Read request-response latency
+system.monitor.readLatencyHist::425984-458751 775 0.05% 99.97% # Read request-response latency
+system.monitor.readLatencyHist::458752-491519 416 0.02% 100.00% # Read request-response latency
+system.monitor.readLatencyHist::491520-524287 74 0.00% 100.00% # Read request-response latency
+system.monitor.readLatencyHist::524288-557055 5 0.00% 100.00% # Read request-response latency
+system.monitor.readLatencyHist::557056-589823 1 0.00% 100.00% # Read request-response latency
+system.monitor.readLatencyHist::589824-622591 2 0.00% 100.00% # Read request-response latency
system.monitor.readLatencyHist::622592-655359 0 0.00% 100.00% # Read request-response latency
system.monitor.readLatencyHist::total 1666397 # Read request-response latency
system.monitor.writeLatencyHist::samples 1666879 # Write request-response latency
-system.monitor.writeLatencyHist::mean 10569.121768 # Write request-response latency
-system.monitor.writeLatencyHist::gmean 10510.211461 # Write request-response latency
-system.monitor.writeLatencyHist::stdev 1197.318213 # Write request-response latency
+system.monitor.writeLatencyHist::mean 10554.999998 # Write request-response latency
+system.monitor.writeLatencyHist::gmean 10497.332887 # Write request-response latency
+system.monitor.writeLatencyHist::stdev 1184.671741 # Write request-response latency
system.monitor.writeLatencyHist::0-1023 0 0.00% 0.00% # Write request-response latency
system.monitor.writeLatencyHist::1024-2047 0 0.00% 0.00% # Write request-response latency
system.monitor.writeLatencyHist::2048-3071 0 0.00% 0.00% # Write request-response latency
@@ -404,13 +403,13 @@ system.monitor.writeLatencyHist::5120-6143 0 0.00% 0.00% #
system.monitor.writeLatencyHist::6144-7167 0 0.00% 0.00% # Write request-response latency
system.monitor.writeLatencyHist::7168-8191 0 0.00% 0.00% # Write request-response latency
system.monitor.writeLatencyHist::8192-9215 0 0.00% 0.00% # Write request-response latency
-system.monitor.writeLatencyHist::9216-10239 1268910 76.12% 76.12% # Write request-response latency
-system.monitor.writeLatencyHist::10240-11263 92420 5.54% 81.67% # Write request-response latency
-system.monitor.writeLatencyHist::11264-12287 113112 6.79% 88.46% # Write request-response latency
-system.monitor.writeLatencyHist::12288-13311 92715 5.56% 94.02% # Write request-response latency
-system.monitor.writeLatencyHist::13312-14335 62904 3.77% 97.79% # Write request-response latency
-system.monitor.writeLatencyHist::14336-15359 32645 1.96% 99.75% # Write request-response latency
-system.monitor.writeLatencyHist::15360-16383 4173 0.25% 100.00% # Write request-response latency
+system.monitor.writeLatencyHist::9216-10239 1277601 76.65% 76.65% # Write request-response latency
+system.monitor.writeLatencyHist::10240-11263 91250 5.47% 82.12% # Write request-response latency
+system.monitor.writeLatencyHist::11264-12287 110692 6.64% 88.76% # Write request-response latency
+system.monitor.writeLatencyHist::12288-13311 90268 5.42% 94.18% # Write request-response latency
+system.monitor.writeLatencyHist::13312-14335 61253 3.67% 97.85% # Write request-response latency
+system.monitor.writeLatencyHist::14336-15359 31832 1.91% 99.76% # Write request-response latency
+system.monitor.writeLatencyHist::15360-16383 3983 0.24% 100.00% # Write request-response latency
system.monitor.writeLatencyHist::16384-17407 0 0.00% 100.00% # Write request-response latency
system.monitor.writeLatencyHist::17408-18431 0 0.00% 100.00% # Write request-response latency
system.monitor.writeLatencyHist::18432-19455 0 0.00% 100.00% # Write request-response latency
@@ -501,15 +500,15 @@ system.monitor.ittReqReq::min_value 28000 # Re
system.monitor.ittReqReq::max_value 1041309 # Request-to-request inter transaction time
system.monitor.ittReqReq::total 3333275 # Request-to-request inter transaction time
system.monitor.outstandingReadsHist::samples 100 # Outstanding read transactions
-system.monitor.outstandingReadsHist::mean 1.160000 # Outstanding read transactions
+system.monitor.outstandingReadsHist::mean 1.230000 # Outstanding read transactions
system.monitor.outstandingReadsHist::gmean 0 # Outstanding read transactions
-system.monitor.outstandingReadsHist::stdev 1.212061 # Outstanding read transactions
+system.monitor.outstandingReadsHist::stdev 1.309291 # Outstanding read transactions
system.monitor.outstandingReadsHist::0 28 28.00% 28.00% # Outstanding read transactions
-system.monitor.outstandingReadsHist::1 45 45.00% 73.00% # Outstanding read transactions
-system.monitor.outstandingReadsHist::2 19 19.00% 92.00% # Outstanding read transactions
-system.monitor.outstandingReadsHist::3 5 5.00% 97.00% # Outstanding read transactions
-system.monitor.outstandingReadsHist::4 0 0.00% 97.00% # Outstanding read transactions
-system.monitor.outstandingReadsHist::5 2 2.00% 99.00% # Outstanding read transactions
+system.monitor.outstandingReadsHist::1 44 44.00% 72.00% # Outstanding read transactions
+system.monitor.outstandingReadsHist::2 17 17.00% 89.00% # Outstanding read transactions
+system.monitor.outstandingReadsHist::3 6 6.00% 95.00% # Outstanding read transactions
+system.monitor.outstandingReadsHist::4 1 1.00% 96.00% # Outstanding read transactions
+system.monitor.outstandingReadsHist::5 3 3.00% 99.00% # Outstanding read transactions
system.monitor.outstandingReadsHist::6 0 0.00% 99.00% # Outstanding read transactions
system.monitor.outstandingReadsHist::7 0 0.00% 99.00% # Outstanding read transactions
system.monitor.outstandingReadsHist::8 1 1.00% 100.00% # Outstanding read transactions
diff --git a/tests/quick/se/70.tgen/ref/null/none/tgen-simple-mem/stats.txt b/tests/quick/se/70.tgen/ref/null/none/tgen-simple-mem/stats.txt
index ead00396f..936a2fa90 100644
--- a/tests/quick/se/70.tgen/ref/null/none/tgen-simple-mem/stats.txt
+++ b/tests/quick/se/70.tgen/ref/null/none/tgen-simple-mem/stats.txt
@@ -4,9 +4,9 @@ sim_seconds 0.100000 # Nu
sim_ticks 100000000000 # Number of ticks simulated
final_tick 100000000000 # Number of ticks from beginning of simulation (restored from checkpoints and never reset)
sim_freq 1000000000000 # Frequency of simulated ticks
-host_tick_rate 14364594493 # Simulator tick rate (ticks/s)
-host_mem_usage 195500 # Number of bytes of host memory used
-host_seconds 6.96 # Real time elapsed on the host
+host_tick_rate 11160095249 # Simulator tick rate (ticks/s)
+host_mem_usage 262112 # Number of bytes of host memory used
+host_seconds 8.96 # Real time elapsed on the host
system.clk_domain.voltage_domain.voltage 1 # Voltage in Volts
system.clk_domain.clock 1000 # Clock period in ticks
system.physmem.bytes_read::cpu 64 # Number of bytes read from this memory
@@ -23,16 +23,14 @@ system.physmem.bw_write::cpu 2133291520 # Wr
system.physmem.bw_write::total 2133291520 # Write bandwidth from this memory (bytes/s)
system.physmem.bw_total::cpu 2133292160 # Total bandwidth to/from this memory (bytes/s)
system.physmem.bw_total::total 2133292160 # Total bandwidth to/from this memory (bytes/s)
-system.membus.throughput 2133292160 # Throughput (bytes/s)
system.membus.trans_dist::ReadReq 1 # Transaction distribution
system.membus.trans_dist::ReadResp 1 # Transaction distribution
system.membus.trans_dist::WriteReq 3333268 # Transaction distribution
system.membus.trans_dist::WriteResp 3333267 # Transaction distribution
system.membus.pkt_count_system.monitor-master::system.physmem.port 6666537 # Packet count per connected master and slave (bytes)
system.membus.pkt_count::total 6666537 # Packet count per connected master and slave (bytes)
-system.membus.tot_pkt_size_system.monitor-master::system.physmem.port 213329216 # Cumulative packet size per connected master and slave (bytes)
-system.membus.tot_pkt_size::total 213329216 # Cumulative packet size per connected master and slave (bytes)
-system.membus.data_through_bus 213329216 # Total data (bytes)
+system.membus.pkt_size_system.monitor-master::system.physmem.port 213329216 # Cumulative packet size per connected master and slave (bytes)
+system.membus.pkt_size::total 213329216 # Cumulative packet size per connected master and slave (bytes)
system.membus.reqLayer0.occupancy 16666342328 # Layer occupancy (ticks)
system.membus.reqLayer0.utilization 16.7 # Layer utilization (%)
system.membus.respLayer0.occupancy 3333272000 # Layer occupancy (ticks)