summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--src/systemc/core/sc_simcontext.cc14
-rw-r--r--src/systemc/ext/core/sc_simcontext.hh2
-rw-r--r--src/systemc/tests/working.filt5
3 files changed, 16 insertions, 5 deletions
diff --git a/src/systemc/core/sc_simcontext.cc b/src/systemc/core/sc_simcontext.cc
index 6a281e5b1..647cb16db 100644
--- a/src/systemc/core/sc_simcontext.cc
+++ b/src/systemc/core/sc_simcontext.cc
@@ -53,6 +53,20 @@ sc_simcontext::get_curr_proc_info()
return nullptr;
}
+sc_object *
+sc_simcontext::first_object()
+{
+ warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+ return nullptr;
+}
+
+sc_object *
+sc_simcontext::next_object()
+{
+ warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+ return nullptr;
+}
+
sc_simcontext *
sc_get_curr_simcontext()
{
diff --git a/src/systemc/ext/core/sc_simcontext.hh b/src/systemc/ext/core/sc_simcontext.hh
index 7abcbb378..4beb8b73e 100644
--- a/src/systemc/ext/core/sc_simcontext.hh
+++ b/src/systemc/ext/core/sc_simcontext.hh
@@ -42,6 +42,8 @@ class sc_simcontext
sc_dt::uint64 delta_count() const;
void reset();
sc_curr_proc_handle get_curr_proc_info();
+ sc_object *first_object();
+ sc_object *next_object();
};
sc_simcontext *sc_get_curr_simcontext();
diff --git a/src/systemc/tests/working.filt b/src/systemc/tests/working.filt
index 801a05176..8abb37ee2 100644
--- a/src/systemc/tests/working.filt
+++ b/src/systemc/tests/working.filt
@@ -15,11 +15,6 @@ path.startswith("systemc/kernel/phase_callbacks/"),
path in (
- # Uses sc_get_curr_simcontext.
- "systemc/kernel/sc_object_manager/test01",
- "systemc/kernel/sc_name_gen/test1",
- "systemc/kernel/sc_process_b/test2",
-
# Uses sc_elab_and_sim.
"systemc/kernel/sc_main_main",