summaryrefslogtreecommitdiff
path: root/ext/mcpat/regression/test-5
diff options
context:
space:
mode:
Diffstat (limited to 'ext/mcpat/regression/test-5')
-rw-r--r--ext/mcpat/regression/test-5/power_region0.xml338
-rw-r--r--ext/mcpat/regression/test-5/region0.out.ref541
2 files changed, 879 insertions, 0 deletions
diff --git a/ext/mcpat/regression/test-5/power_region0.xml b/ext/mcpat/regression/test-5/power_region0.xml
new file mode 100644
index 000000000..26c57ed29
--- /dev/null
+++ b/ext/mcpat/regression/test-5/power_region0.xml
@@ -0,0 +1,338 @@
+<?xml version="1.0" ?>
+<component id="root" name="root">
+ <component id="system" name="system" type="System">
+ <param name="core_tech_node" value="40"/>
+ <param name="target_core_clockrate" value="1700"/>
+ <param name="temperature" value="380"/>
+ <param name="interconnect_projection_type" value="1"/>
+ <param name="device_type" value="0"/>
+ <param name="longer_channel_device" value="0"/>
+ <param name="machine_bits" value="64"/>
+ <param name="virtual_address_width" value="64"/>
+ <param name="physical_address_width" value="36"/>
+ <param name="virtual_memory_page_size" value="4096"/>
+ <param name="wire_is_mat_type" value="2"/>
+ <param name="wire_os_mat_type" value="2"/>
+ <param name="delay_wt" value="100"/>
+ <param name="area_wt" value="0"/>
+ <param name="dynamic_power_wt" value="100"/>
+ <param name="leakage_power_wt" value="0"/>
+ <param name="cycle_time_wt" value="0"/>
+ <param name="delay_dev" value="10000"/>
+ <param name="area_dev" value="10000"/>
+ <param name="dynamic_power_dev" value="10000"/>
+ <param name="leakage_power_dev" value="10000"/>
+ <param name="cycle_time_dev" value="10000"/>
+ <param name="ed" value="2"/>
+ <param name="burst_len" value="1"/>
+ <param name="int_prefetch_w" value="1"/>
+ <param name="page_sz_bits" value="0"/>
+ <param name="rpters_in_htree" value="1"/>
+ <param name="ver_htree_wires_over_array" value="0"/>
+ <param name="nuca" value="0"/>
+ <param name="nuca_bank_count" value="0"/>
+ <param name="force_cache_config" value="0"/>
+ <param name="wt" value="0"/>
+ <param name="force_wiretype" value="0"/>
+ <param name="print_detail" value="1"/>
+ <param name="add_ecc_b_" value="1"/>
+ <stat name="total_cycles" value="150"/>
+ <component id="system.core0" name="core0" type="Core">
+ <param name="clock_rate" value="1700"/>
+ <param name="opt_local" value="0"/>
+ <param name="instruction_length" value="32"/>
+ <param name="opcode_width" value="8"/>
+ <param name="x86" value="1"/>
+ <param name="micro_opcode_width" value="8"/>
+ <param name="machine_type" value="0"/>
+ <param name="number_hardware_threads" value="2"/>
+ <param name="fetch_width" value="1"/>
+ <param name="number_instruction_fetch_ports" value="1"/>
+ <param name="decode_width" value="2"/>
+ <param name="issue_width" value="2"/>
+ <param name="peak_issue_width" value="2"/>
+ <param name="commit_width" value="2"/>
+ <param name="fp_issue_width" value="2"/>
+ <param name="prediction_width" value="1"/>
+ <param name="int_pipelines" value="2"/>
+ <param name="fp_pipelines" value="1"/>
+ <param name="int_pipeline_depth" value="12"/>
+ <param name="fp_pipeline_depth" value="13"/>
+ <param name="ALU_per_core" value="2"/>
+ <param name="MUL_per_core" value="1"/>
+ <param name="FPU_per_core" value="1"/>
+ <param name="instruction_buffer_size" value="16"/>
+ <param name="instruction_window_scheme" value="0"/>
+ <param name="instruction_window_size" value="7"/>
+ <param name="fp_instruction_window_size" value="18"/>
+ <param name="ROB_size" value="56"/>
+ <param name="archi_Regs_IRF_size" value="30"/>
+ <param name="archi_Regs_FRF_size" value="48"/>
+ <param name="phy_Regs_IRF_size" value="34"/>
+ <param name="phy_Regs_FRF_size" value="40"/>
+ <param name="rename_scheme" value="0"/>
+ <param name="register_window_size" value="0"/>
+ <param name="store_buffer_size" value="32"/>
+ <param name="load_buffer_size" value="22"/>
+ <param name="memory_ports" value="1"/>
+ <param name="RAS_size" value="16"/>
+ <param name="execu_wire_mat_type" value="2"/>
+ <param name="execu_bypass_base_width" value="1"/>
+ <param name="execu_bypass_base_height" value="1"/>
+ <param name="execu_bypass_start_wiring_level"value="3"/>
+ <param name="execu_bypass_route_over_perc" value="1"/>
+ <param name="globalCheckpoint" value="32"/>
+ <param name="perThreadState" value="8"/>
+ <param name="ROB_assoc" value="1"/>
+ <param name="ROB_nbanks" value="1"/>
+ <param name="ROB_tag_width" value="0"/>
+ <param name="scheduler_assoc" value="0"/>
+ <param name="scheduler_nbanks" value="1"/>
+ <param name="register_window_assoc" value="1"/>
+ <param name="register_window_nbanks" value="1"/>
+ <param name="register_window_tag_width" value="0"/>
+ <param name="register_window_rw_ports" value="1"/>
+ <param name="phy_Regs_IRF_assoc" value="1"/>
+ <param name="phy_Regs_IRF_nbanks" value="1"/>
+ <param name="phy_Regs_IRF_tag_width" value="0"/>
+ <param name="phy_Regs_IRF_rd_ports" value="1"/>
+ <param name="phy_Regs_IRF_wr_ports" value="1"/>
+ <param name="phy_Regs_FRF_assoc" value="1"/>
+ <param name="phy_Regs_FRF_nbanks" value="1"/>
+ <param name="phy_Regs_FRF_tag_width" value="0"/>
+ <param name="phy_Regs_FRF_rd_ports" value="1"/>
+ <param name="phy_Regs_FRF_wr_ports" value="1"/>
+ <param name="front_rat_nbanks" value="1"/>
+ <param name="front_rat_rw_ports" value="1"/>
+ <param name="retire_rat_nbanks" value="1"/>
+ <param name="retire_rat_rw_ports" value="0"/>
+ <param name="freelist_nbanks" value="1"/>
+ <param name="freelist_rw_ports" value="1"/>
+ <param name="load_buffer_assoc" value="0"/>
+ <param name="load_buffer_nbanks" value="1"/>
+ <param name="store_buffer_assoc" value="0"/>
+ <param name="store_buffer_nbanks" value="1"/>
+ <param name="instruction_buffer_assoc" value="1"/>
+ <param name="instruction_buffer_nbanks" value="1"/>
+ <param name="instruction_buffer_tag_width" value="0"/>
+ <stat name="total_instructions" value="100"/>
+ <stat name="int_instructions" value="50"/>
+ <stat name="fp_instructions" value="50"/>
+ <stat name="branch_instructions" value="20"/>
+ <stat name="branch_mispredictions" value="2"/>
+ <stat name="load_instructions" value="50"/>
+ <stat name="store_instructions" value="15"/>
+ <stat name="committed_instructions" value="100"/>
+ <stat name="committed_int_instructions" value="50"/>
+ <stat name="committed_fp_instructions" value="50"/>
+ <stat name="pipeline_duty_cycle" value="1"/>
+ <stat name="total_cycles" value="150"/>
+ <stat name="idle_cycles" value="30"/>
+ <stat name="busy_cycles" value="120"/>
+ <stat name="ROB_reads" value="100"/>
+ <stat name="ROB_writes" value="100"/>
+ <stat name="rename_reads" value="100"/>
+ <stat name="rename_writes" value="50"/>
+ <stat name="fp_rename_reads" value="100"/>
+ <stat name="fp_rename_writes" value="50"/>
+ <stat name="inst_window_reads" value="50"/>
+ <stat name="inst_window_writes" value="50"/>
+ <stat name="inst_window_wakeup_accesses" value="50"/>
+ <stat name="fp_inst_window_reads" value="50"/>
+ <stat name="fp_inst_window_writes" value="50"/>
+ <stat name="fp_inst_window_wakeup_accesses" value="50"/>
+ <stat name="int_regfile_reads" value="100"/>
+ <stat name="float_regfile_reads" value="100"/>
+ <stat name="int_regfile_writes" value="50"/>
+ <stat name="float_regfile_writes" value="50"/>
+ <stat name="function_calls" value="0"/>
+ <stat name="context_switches" value="0"/>
+ <stat name="ialu_accesses" value="15"/>
+ <stat name="fpu_accesses" value="15"/>
+ <stat name="mul_accesses" value="15"/>
+ <stat name="cdb_alu_accesses" value="15"/>
+ <stat name="cdb_mul_accesses" value="15"/>
+ <stat name="cdb_fpu_accesses" value="15"/>
+ <stat name="IFU_duty_cycle" value="1"/>
+ <stat name="LSU_duty_cycle" value="1"/>
+ <stat name="MemManU_I_duty_cycle" value="1"/>
+ <stat name="MemManU_D_duty_cycle" value="1"/>
+ <stat name="ALU_duty_cycle" value="1"/>
+ <stat name="MUL_duty_cycle" value="1"/>
+ <stat name="FPU_duty_cycle" value="1"/>
+ <stat name="ALU_cdb_duty_cycle" value="1"/>
+ <stat name="MUL_cdb_duty_cycle" value="1"/>
+ <stat name="FPU_cdb_duty_cycle" value="1"/>
+ <component id="system.core0.predictor" name="PBT" type="BranchPredictor">
+ <param name="assoc" value="1"/>
+ <param name="nbanks" value="1"/>
+ <param name="local_l1_predictor_size" value="12"/>
+ <param name="local_l2_predictor_size" value="4"/>
+ <param name="local_predictor_entries" value="8192"/>
+ <param name="global_predictor_entries" value="8192"/>
+ <param name="global_predictor_bits" value="4"/>
+ <param name="chooser_predictor_entries" value="8192"/>
+ <param name="chooser_predictor_bits" value="4"/>
+ </component>
+ <component id="system.core0.itlb" name="itlb" type="InstructionTLB">
+ <param name="number_entries" value="512"/>
+ <param name="latency" value="8"/>
+ <param name="throughput" value="3"/>
+ <param name="assoc" value="0"/>
+ <param name="nbanks" value="1"/>
+ <stat name="total_accesses" value="50"/>
+ <stat name="total_misses" value="3"/>
+ <stat name="conflicts" value="3"/>
+ </component>
+ <component id="system.core0.icache" name="Instruction Cache" type="CacheUnit">
+ <param name="level" value="1"/>
+ <param name="size" value="32768"/>
+ <param name="block_size" value="64"/>
+ <param name="assoc" value="2"/>
+ <param name="num_banks" value="1"/>
+ <param name="latency" value="8"/>
+ <param name="throughput" value="3"/>
+ <param name="miss_buffer_size" value="2"/>
+ <param name="fetch_buffer_size" value="2"/>
+ <param name="prefetch_buffer_size" value="2"/>
+ <param name="writeback_buffer_size" value="0"/>
+ <param name="device_type" value="0"/>
+ <param name="clockrate" value="0"/>
+ <param name="tech_type" value="0"/>
+ <param name="Directory_type" value="2"/>
+ <param name="core_type" value="1"/>
+ <param name="wire_mat_type" value="2"/>
+ <param name="wire_type" value="0"/>
+ <param name="miss_buffer_assoc" value="0"/>
+ <param name="fetch_buffer_assoc" value="0"/>
+ <param name="prefetch_buffer_assoc" value="0"/>
+ <param name="writeback_buffer_assoc" value="0"/>
+ <param name="miss_buffer_banks" value="1"/>
+ <param name="fetch_buffer_banks" value="1"/>
+ <param name="prefetch_buffer_banks" value="1"/>
+ <param name="writeback_buffer_banks" value="1"/>
+ <param name="cache_access_mode" value="0"/>
+ <param name="miss_buff_access_mode" value="2"/>
+ <param name="fetch_buff_access_mode" value="2"/>
+ <param name="prefetch_buff_access_mode" value="2"/>
+ <param name="writeback_buff_access_mode"value="2"/>
+ <param name="cache_rw_ports" value="1"/>
+ <param name="cache_rd_ports" value="0"/>
+ <param name="cache_wr_ports" value="0"/>
+ <param name="cache_se_rd_ports" value="0"/>
+ <param name="cache_search_ports" value="0"/>
+ <param name="miss_buff_rw_ports" value="1"/>
+ <param name="miss_buff_rd_ports" value="0"/>
+ <param name="miss_buff_wr_ports" value="0"/>
+ <param name="miss_buff_se_rd_ports" value="0"/>
+ <param name="miss_buff_search_ports" value="1"/>
+ <param name="fetch_buff_rw_ports" value="1"/>
+ <param name="fetch_buff_rd_ports" value="0"/>
+ <param name="fetch_buff_wr_ports" value="0"/>
+ <param name="fetch_buff_se_rd_ports" value="0"/>
+ <param name="fetch_buff_search_ports" value="1"/>
+ <param name="pf_buff_rw_ports" value="1"/>
+ <param name="pf_buff_rd_ports" value="0"/>
+ <param name="pf_buff_wr_ports" value="0"/>
+ <param name="pf_buff_se_rd_ports" value="0"/>
+ <param name="pf_buff_search_ports" value="1"/>
+ <param name="wb_buff_rw_ports" value="1"/>
+ <param name="wb_buff_rd_ports" value="0"/>
+ <param name="wb_buff_wr_ports" value="0"/>
+ <param name="wb_buff_se_rd_ports" value="0"/>
+ <param name="wb_buff_search_ports" value="1"/>
+ <param name="pure_ram" value="0"/>
+ <stat name="read_accesses" value="50"/>
+ <stat name="read_misses" value="12"/>
+ <stat name="conflicts" value="1"/>
+ <stat name="duty_cycle" value="1"/>
+ </component>
+ <component id="system.core0.dtlb" name="dtlb" type="DataTLB">
+ <param name="number_entries" value="512"/>
+ <param name="latency" value="8"/>
+ <param name="throughput" value="3"/>
+ <param name="assoc" value="0"/>
+ <param name="nbanks" value="1"/>
+ <stat name="read_accesses" value="65"/>
+ <stat name="read_misses" value="1"/>
+ <stat name="conflicts" value="1"/>
+ </component>
+ <component id="system.core0.dcache" name="Data Cache" type="CacheUnit">
+ <param name="level" value="1"/>
+ <param name="size" value="32768"/>
+ <param name="block_size" value="64"/>
+ <param name="assoc" value="8"/>
+ <param name="num_banks" value="1"/>
+ <param name="latency" value="8"/>
+ <param name="throughput" value="3"/>
+ <param name="miss_buffer_size" value="8"/>
+ <param name="fetch_buffer_size" value="8"/>
+ <param name="prefetch_buffer_size" value="8"/>
+ <param name="writeback_buffer_size" value="8"/>
+ <param name="device_type" value="0"/>
+ <param name="clockrate" value="0"/>
+ <param name="tech_type" value="0"/>
+ <param name="Directory_type" value="2"/>
+ <param name="core_type" value="1"/>
+ <param name="wire_mat_type" value="2"/>
+ <param name="wire_type" value="0"/>
+ <param name="miss_buffer_assoc" value="0"/>
+ <param name="fetch_buffer_assoc" value="0"/>
+ <param name="prefetch_buffer_assoc" value="0"/>
+ <param name="writeback_buffer_assoc" value="0"/>
+ <param name="miss_buffer_banks" value="1"/>
+ <param name="fetch_buffer_banks" value="1"/>
+ <param name="prefetch_buffer_banks" value="1"/>
+ <param name="writeback_buffer_banks" value="1"/>
+ <param name="cache_access_mode" value="0"/>
+ <param name="miss_buff_access_mode" value="2"/>
+ <param name="fetch_buff_access_mode" value="2"/>
+ <param name="prefetch_buff_access_mode" value="2"/>
+ <param name="writeback_buff_access_mode"value="2"/>
+ <param name="cache_rw_ports" value="1"/>
+ <param name="cache_rd_ports" value="0"/>
+ <param name="cache_wr_ports" value="0"/>
+ <param name="cache_se_rd_ports" value="0"/>
+ <param name="cache_search_ports" value="0"/>
+ <param name="miss_buff_rw_ports" value="1"/>
+ <param name="miss_buff_rd_ports" value="0"/>
+ <param name="miss_buff_wr_ports" value="0"/>
+ <param name="miss_buff_se_rd_ports" value="0"/>
+ <param name="miss_buff_search_ports" value="1"/>
+ <param name="fetch_buff_rw_ports" value="1"/>
+ <param name="fetch_buff_rd_ports" value="0"/>
+ <param name="fetch_buff_wr_ports" value="0"/>
+ <param name="fetch_buff_se_rd_ports" value="0"/>
+ <param name="fetch_buff_search_ports" value="1"/>
+ <param name="pf_buff_rw_ports" value="1"/>
+ <param name="pf_buff_rd_ports" value="0"/>
+ <param name="pf_buff_wr_ports" value="0"/>
+ <param name="pf_buff_se_rd_ports" value="0"/>
+ <param name="pf_buff_search_ports" value="1"/>
+ <param name="wb_buff_rw_ports" value="1"/>
+ <param name="wb_buff_rd_ports" value="0"/>
+ <param name="wb_buff_wr_ports" value="0"/>
+ <param name="wb_buff_se_rd_ports" value="0"/>
+ <param name="wb_buff_search_ports" value="1"/>
+ <param name="pure_ram" value="0"/>
+ <stat name="read_accesses" value="50"/>
+ <stat name="write_accesses" value="15"/>
+ <stat name="read_misses" value="12"/>
+ <stat name="write_misses" value="3"/>
+ <stat name="conflicts" value="1"/>
+ <stat name="duty_cycle" value="1"/>
+ </component>
+ <component id="system.core0.btargetbuf" name="btargetbuf" type="BranchTargetBuffer">
+ <param name="size" value="8192"/>
+ <param name="block_size" value="4"/>
+ <param name="assoc" value="2"/>
+ <param name="num_banks" value="1"/>
+ <param name="latency" value="1"/>
+ <param name="throughput" value="3"/>
+ <param name="rw_ports" value="1"/>
+ <stat name="read_accesses" value="20"/>
+ <stat name="write_accesses" value="20"/>
+ </component>
+ </component>
+ </component>
+</component>
diff --git a/ext/mcpat/regression/test-5/region0.out.ref b/ext/mcpat/regression/test-5/region0.out.ref
new file mode 100644
index 000000000..bbaa937ab
--- /dev/null
+++ b/ext/mcpat/regression/test-5/region0.out.ref
@@ -0,0 +1,541 @@
+McPAT (version 0.8 of Aug, 2010) is computing the target processor...
+
+
+McPAT (version 0.8 of Aug, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 40 nm
+ Interconnect metal projection = conservative interconnect technology projection
+ Target Clock Rate (MHz) 1700
+
+*****************************************************************************************
+ System:
+ Area = 10.8081 mm^2
+ Peak Dynamic Power = 2.45616 W
+ Subthreshold Leakage Power = 4.64481 W
+ Gate Leakage Power = 0.193831 W
+ Runtime Dynamic Power = 1.32073 W
+ Runtime Dynamic Energy = 1.16535e-07 J
+ Total Runtime Energy = 5.43474e-07 J
+
+ Core 0:
+ Area = 10.8081 mm^2
+ Peak Dynamic Power = 2.45616 W
+ Subthreshold Leakage Power = 4.64481 W
+ Gate Leakage Power = 0.193831 W
+ Runtime Dynamic Power = 1.32073 W
+ Runtime Dynamic Energy = 1.16535e-07 J
+ Total Runtime Energy = 5.43474e-07 J
+
+ Instruction Fetch Unit:
+ Area = 2.10081 mm^2
+ Peak Dynamic Power = 0.33569 W
+ Subthreshold Leakage Power = 0.347428 W
+ Gate Leakage Power = 0.00868165 W
+ Runtime Dynamic Power = 0.213414 W
+ Runtime Dynamic Energy = 1.88306e-08 J
+ Total Runtime Energy = 5.0252e-08 J
+
+ Instruction Cache:
+ Area = 0.970326 mm^2
+ Peak Dynamic Power = 0.0549304 W
+ Subthreshold Leakage Power = 0.0678485 W
+ Gate Leakage Power = 0.00157419 W
+ Runtime Dynamic Power = 0.0505595 W
+ Runtime Dynamic Energy = 4.46113e-09 J
+ Total Runtime Energy = 1.05867e-08 J
+
+ Data and Tag Arrays:
+ Area = 0.25887 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0.0622886 W
+ Gate Leakage Power = 0.00129234 W
+ Runtime Dynamic Power = 0.0464002 W
+ Runtime Dynamic Energy = 4.09413e-09 J
+ Total Runtime Energy = 9.70422e-09 J
+
+ Miss Buffer:
+ Area = 0.262959 mm^2
+ Peak Dynamic Power = 0.0192867 W
+ Subthreshold Leakage Power = 0.00194663 W
+ Gate Leakage Power = 9.86469e-05 W
+ Runtime Dynamic Power = 0.00146456 W
+ Runtime Dynamic Energy = 1.29226e-10 J
+ Total Runtime Energy = 3.09692e-10 J
+
+ Fill Buffer:
+ Area = 0.224249 mm^2
+ Peak Dynamic Power = 0.0178218 W
+ Subthreshold Leakage Power = 0.00180662 W
+ Gate Leakage Power = 9.16038e-05 W
+ Runtime Dynamic Power = 0.00134737 W
+ Runtime Dynamic Energy = 1.18886e-10 J
+ Total Runtime Energy = 2.86376e-10 J
+
+ Prefetch Buffer:
+ Area = 0.224249 mm^2
+ Peak Dynamic Power = 0.0178218 W
+ Subthreshold Leakage Power = 0.00180662 W
+ Gate Leakage Power = 9.16038e-05 W
+ Runtime Dynamic Power = 0.00134737 W
+ Runtime Dynamic Energy = 1.18886e-10 J
+ Total Runtime Energy = 2.86376e-10 J
+
+ Branch Target Buffer:
+ Area = 0.526552 mm^2
+ Peak Dynamic Power = 0.0433889 W
+ Subthreshold Leakage Power = 0.0869885 W
+ Gate Leakage Power = 0.00266245 W
+ Runtime Dynamic Power = 0.0189877 W
+ Runtime Dynamic Energy = 1.67538e-09 J
+ Total Runtime Energy = 9.58576e-09 J
+
+ Branch Predictor:
+ Area = 0.594319 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0.186556 W
+ Gate Leakage Power = 0.00424723 W
+ Runtime Dynamic Power = 0.0647432 W
+ Runtime Dynamic Energy = 5.71264e-09 J
+ Total Runtime Energy = 2.25483e-08 J
+
+ Global Predictor:
+ Area = 0.129022 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0.0419609 W
+ Gate Leakage Power = 0.000962899 W
+ Runtime Dynamic Power = 0.0172467 W
+ Runtime Dynamic Energy = 1.52177e-09 J
+ Total Runtime Energy = 5.30916e-09 J
+
+ Local Predictor, Level 1:
+ Area = 0.191924 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0.0589594 W
+ Gate Leakage Power = 0.00130932 W
+ Runtime Dynamic Power = 0.0255552 W
+ Runtime Dynamic Energy = 2.25487e-09 J
+ Total Runtime Energy = 7.5727e-09 J
+
+ Local Predictor, Level 2:
+ Area = 0.129022 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0.0419609 W
+ Gate Leakage Power = 0.000962899 W
+ Runtime Dynamic Power = 0.0046946 W
+ Runtime Dynamic Energy = 4.1423e-10 J
+ Total Runtime Energy = 4.20162e-09 J
+
+ Predictor Chooser:
+ Area = 0.129022 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0.0419609 W
+ Gate Leakage Power = 0.000962899 W
+ Runtime Dynamic Power = 0.0172467 W
+ Runtime Dynamic Energy = 1.52177e-09 J
+ Total Runtime Energy = 5.30916e-09 J
+
+ RAS:
+ Area = 0.0153301 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0.0017144 W
+ Gate Leakage Power = 4.92127e-05 W
+ Runtime Dynamic Power = 0 W
+ Runtime Dynamic Energy = 0 J
+ Total Runtime Energy = 1.55613e-10 J
+
+ Instruction Buffer:
+ Area = 0.0034347 mm^2
+ Peak Dynamic Power = 0.011729 W
+ Subthreshold Leakage Power = 0.000650517 W
+ Gate Leakage Power = 1.85414e-05 W
+ Runtime Dynamic Power = 0.00390968 W
+ Runtime Dynamic Energy = 3.44972e-10 J
+ Total Runtime Energy = 4.04006e-10 J
+
+ Instruction Opcode Decoder:
+ Area = 0.00289896 mm^2
+ Peak Dynamic Power = 0.0752588 W
+ Subthreshold Leakage Power = 0.00253168 W
+ Gate Leakage Power = 8.37387e-05 W
+ Runtime Dynamic Power = 0.0250863 W
+ Runtime Dynamic Energy = 2.2135e-09 J
+ Total Runtime Energy = 2.44427e-09 J
+
+ Instruction Operand Decoder:
+ Area = 0.000377341 mm^2
+ Peak Dynamic Power = 0.0751236 W
+ Subthreshold Leakage Power = 0.00032028 W
+ Gate Leakage Power = 1.17596e-05 W
+ Runtime Dynamic Power = 0.0250412 W
+ Runtime Dynamic Energy = 2.20952e-09 J
+ Total Runtime Energy = 2.23881e-09 J
+
+ Instruction Microcode Decoder:
+ Area = 0.00289896 mm^2
+ Peak Dynamic Power = 0.0752588 W
+ Subthreshold Leakage Power = 0.00253168 W
+ Gate Leakage Power = 8.37387e-05 W
+ Runtime Dynamic Power = 0.0250863 W
+ Runtime Dynamic Energy = 2.2135e-09 J
+ Total Runtime Energy = 2.44427e-09 J
+
+ Load/Store Unit:
+ Area = 1.69899 mm^2
+ Peak Dynamic Power = 0.16674 W
+ Subthreshold Leakage Power = 0.0926715 W
+ Gate Leakage Power = 0.00259372 W
+ Runtime Dynamic Power = 0.142557 W
+ Runtime Dynamic Energy = 1.25786e-08 J
+ Total Runtime Energy = 2.09843e-08 J
+
+ Data Cache:
+ Area = 1.58391 mm^2
+ Peak Dynamic Power = 0.0928926 W
+ Subthreshold Leakage Power = 0.0789233 W
+ Gate Leakage Power = 0.00207502 W
+ Runtime Dynamic Power = 0.0922726 W
+ Runtime Dynamic Energy = 8.1417e-09 J
+ Total Runtime Energy = 1.52886e-08 J
+
+ Data and Tag Arrays:
+ Area = 0.619642 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0.0607406 W
+ Gate Leakage Power = 0.00122316 W
+ Runtime Dynamic Power = 0.0861866 W
+ Runtime Dynamic Energy = 7.6047e-09 J
+ Total Runtime Energy = 1.30721e-08 J
+
+ Miss Buffer:
+ Area = 0.270528 mm^2
+ Peak Dynamic Power = 0.0245073 W
+ Subthreshold Leakage Power = 0.0048041 W
+ Gate Leakage Power = 0.000224896 W
+ Runtime Dynamic Power = 0.00214283 W
+ Runtime Dynamic Energy = 1.89073e-10 J
+ Total Runtime Energy = 6.32808e-10 J
+
+ Fill Buffer:
+ Area = 0.231248 mm^2
+ Peak Dynamic Power = 0.0227951 W
+ Subthreshold Leakage Power = 0.00445956 W
+ Gate Leakage Power = 0.000208987 W
+ Runtime Dynamic Power = 0.00197161 W
+ Runtime Dynamic Energy = 1.73965e-10 J
+ Total Runtime Energy = 5.85896e-10 J
+
+ Prefetch Buffer:
+ Area = 0.231248 mm^2
+ Peak Dynamic Power = 0.0227951 W
+ Subthreshold Leakage Power = 0.00445956 W
+ Gate Leakage Power = 0.000208987 W
+ Runtime Dynamic Power = 0.00157729 W
+ Runtime Dynamic Energy = 1.39172e-10 J
+ Total Runtime Energy = 5.51103e-10 J
+
+ Writeback Buffer:
+ Area = 0.231248 mm^2
+ Peak Dynamic Power = 0.0227951 W
+ Subthreshold Leakage Power = 0.00445956 W
+ Gate Leakage Power = 0.000208987 W
+ Runtime Dynamic Power = 0.000394322 W
+ Runtime Dynamic Energy = 3.47931e-11 J
+ Total Runtime Energy = 4.46724e-10 J
+
+ Load Queue:
+ Area = 0.054217 mm^2
+ Peak Dynamic Power = 0.0316525 W
+ Subthreshold Leakage Power = 0.00559768 W
+ Gate Leakage Power = 0.00022125 W
+ Runtime Dynamic Power = 0.0137161 W
+ Runtime Dynamic Energy = 1.21024e-09 J
+ Total Runtime Energy = 1.72368e-09 J
+
+ Store Queue:
+ Area = 0.0608565 mm^2
+ Peak Dynamic Power = 0.0421944 W
+ Subthreshold Leakage Power = 0.00815046 W
+ Gate Leakage Power = 0.000297452 W
+ Runtime Dynamic Power = 0.0365685 W
+ Runtime Dynamic Energy = 3.22663e-09 J
+ Total Runtime Energy = 3.97204e-09 J
+
+ Memory Management Unit:
+ Area = 0.331371 mm^2
+ Peak Dynamic Power = 0.318513 W
+ Subthreshold Leakage Power = 0.097099 W
+ Gate Leakage Power = 0.00441089 W
+ Runtime Dynamic Power = 0.123948 W
+ Runtime Dynamic Energy = 1.09366e-08 J
+ Total Runtime Energy = 1.98933e-08 J
+
+ Instruction TLB:
+ Area = 0.131789 mm^2
+ Peak Dynamic Power = 0.151159 W
+ Subthreshold Leakage Power = 0.0446376 W
+ Gate Leakage Power = 0.00203139 W
+ Runtime Dynamic Power = 0.0511229 W
+ Runtime Dynamic Energy = 4.51085e-09 J
+ Total Runtime Energy = 8.62869e-09 J
+
+ Data TLB:
+ Area = 0.199582 mm^2
+ Peak Dynamic Power = 0.167354 W
+ Subthreshold Leakage Power = 0.0524614 W
+ Gate Leakage Power = 0.00237949 W
+ Runtime Dynamic Power = 0.072825 W
+ Runtime Dynamic Energy = 6.42574e-09 J
+ Total Runtime Energy = 1.12646e-08 J
+
+ Execution Unit:
+ Area = 2.36584 mm^2
+ Peak Dynamic Power = 1.52633 W
+ Subthreshold Leakage Power = 1.18035 W
+ Gate Leakage Power = 0.0498689 W
+ Runtime Dynamic Power = 0.517033 W
+ Runtime Dynamic Energy = 4.56205e-08 J
+ Total Runtime Energy = 1.5417e-07 J
+
+ Int Bypass Data:
+ Area = 0.0024813 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0 W
+ Gate Leakage Power = 0 W
+ Runtime Dynamic Power = 0.00618474 W
+ Runtime Dynamic Energy = 5.45712e-10 J
+ Total Runtime Energy = 5.45712e-10 J
+
+ Int Bypass Tag:
+ Area = 0.000291384 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0 W
+ Gate Leakage Power = 0 W
+ Runtime Dynamic Power = 0.000726287 W
+ Runtime Dynamic Energy = 6.40842e-11 J
+ Total Runtime Energy = 6.40842e-11 J
+
+ Mul Bypass Data:
+ Area = 0.00328459 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0 W
+ Gate Leakage Power = 0 W
+ Runtime Dynamic Power = 0.00818697 W
+ Runtime Dynamic Energy = 7.2238e-10 J
+ Total Runtime Energy = 7.2238e-10 J
+
+ Mul Bypass Tag:
+ Area = 0.000366693 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0 W
+ Gate Leakage Power = 0 W
+ Runtime Dynamic Power = 0.000913997 W
+ Runtime Dynamic Energy = 8.06468e-11 J
+ Total Runtime Energy = 8.06468e-11 J
+
+ FP Bypass Data:
+ Area = 0.0039374 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0 W
+ Gate Leakage Power = 0 W
+ Runtime Dynamic Power = 0.00981414 W
+ Runtime Dynamic Energy = 8.65954e-10 J
+ Total Runtime Energy = 8.65954e-10 J
+
+ FP Bypass Tag:
+ Area = 0.000508858 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0 W
+ Gate Leakage Power = 0 W
+ Runtime Dynamic Power = 0.00126835 W
+ Runtime Dynamic Energy = 1.11913e-10 J
+ Total Runtime Energy = 1.11913e-10 J
+
+ Register File Unit:
+ Area = 0.0603417 mm^2
+ Peak Dynamic Power = 0.094149 W
+ Subthreshold Leakage Power = 0.00361325 W
+ Gate Leakage Power = 0.000100838 W
+ Runtime Dynamic Power = 0.00713589 W
+ Runtime Dynamic Energy = 6.29638e-10 J
+ Total Runtime Energy = 9.57351e-10 J
+
+ Integer Register File:
+ Area = 0.0397387 mm^2
+ Peak Dynamic Power = 0.0858804 W
+ Subthreshold Leakage Power = 0.00167327 W
+ Gate Leakage Power = 4.73072e-05 W
+ Runtime Dynamic Power = 0.00340795 W
+ Runtime Dynamic Energy = 3.00702e-10 J
+ Total Runtime Energy = 4.52517e-10 J
+
+ FP Register File:
+ Area = 0.020603 mm^2
+ Peak Dynamic Power = 0.00826856 W
+ Subthreshold Leakage Power = 0.00193998 W
+ Gate Leakage Power = 5.35312e-05 W
+ Runtime Dynamic Power = 0.00372794 W
+ Runtime Dynamic Energy = 3.28936e-10 J
+ Total Runtime Energy = 5.04834e-10 J
+
+ Instruction Scheduler:
+ Area = 0.107117 mm^2
+ Peak Dynamic Power = 0.107009 W
+ Subthreshold Leakage Power = 0.00250283 W
+ Gate Leakage Power = 7.07223e-05 W
+ Runtime Dynamic Power = 0.0155966 W
+ Runtime Dynamic Energy = 1.37617e-09 J
+ Total Runtime Energy = 1.60325e-09 J
+
+ Integer Instruction Window:
+ Area = 0.0261741 mm^2
+ Peak Dynamic Power = 0.0268581 W
+ Subthreshold Leakage Power = 0 W
+ Gate Leakage Power = 0 W
+ Runtime Dynamic Power = 0.00223817 W
+ Runtime Dynamic Energy = 1.97486e-10 J
+ Total Runtime Energy = 1.97486e-10 J
+
+ FP Instruction Window:
+ Area = 0.0232716 mm^2
+ Peak Dynamic Power = 0.0133785 W
+ Subthreshold Leakage Power = 0 W
+ Gate Leakage Power = 0 W
+ Runtime Dynamic Power = 0.00222975 W
+ Runtime Dynamic Energy = 1.96742e-10 J
+ Total Runtime Energy = 1.96742e-10 J
+
+ Reorder Buffer:
+ Area = 0.0576711 mm^2
+ Peak Dynamic Power = 0.066772 W
+ Subthreshold Leakage Power = 0.00250283 W
+ Gate Leakage Power = 7.07223e-05 W
+ Runtime Dynamic Power = 0.0111287 W
+ Runtime Dynamic Energy = 9.81941e-10 J
+ Total Runtime Energy = 1.20902e-09 J
+
+ Integer ALU(s):
+ Area = 0.138846 mm^2
+ Peak Dynamic Power = 0.332578 W
+ Subthreshold Leakage Power = 0.201977 W
+ Gate Leakage Power = 0.00854828 W
+ Runtime Dynamic Power = 0.0778676 W
+ Runtime Dynamic Energy = 6.87067e-09 J
+ Total Runtime Energy = 2.54464e-08 J
+
+ Floating Point Unit(s):
+ Area = 1.8404 mm^2
+ Peak Dynamic Power = 0.595559 W
+ Subthreshold Leakage Power = 0.669296 W
+ Gate Leakage Power = 0.0283266 W
+ Runtime Dynamic Power = 0.233603 W
+ Runtime Dynamic Energy = 2.0612e-08 J
+ Total Runtime Energy = 8.21669e-08 J
+
+ Multiply/Divide Unit(s):
+ Area = 0.20827 mm^2
+ Peak Dynamic Power = 0.39704 W
+ Subthreshold Leakage Power = 0.302965 W
+ Gate Leakage Power = 0.0128224 W
+ Runtime Dynamic Power = 0.155735 W
+ Runtime Dynamic Energy = 1.37413e-08 J
+ Total Runtime Energy = 4.1605e-08 J
+
+ Undifferentiated Core:
+ Area = 3.88668 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 2.82694 W
+ Gate Leakage Power = 0.119645 W
+ Runtime Dynamic Power = 0 W
+ Runtime Dynamic Energy = 0 J
+ Total Runtime Energy = 2.59992e-07 J
+
+ Rename Unit:
+ Area = 0.333151 mm^2
+ Peak Dynamic Power = 0.10888 W
+ Subthreshold Leakage Power = 0.0255114 W
+ Gate Leakage Power = 0.000663381 W
+ Runtime Dynamic Power = 0.0538848 W
+ Runtime Dynamic Energy = 4.75454e-09 J
+ Total Runtime Energy = 7.06408e-09 J
+
+ Int Front RAT:
+ Area = 0.129348 mm^2
+ Peak Dynamic Power = 0.0382386 W
+ Subthreshold Leakage Power = 0.00828989 W
+ Gate Leakage Power = 0.000204367 W
+ Runtime Dynamic Power = 0.0063731 W
+ Runtime Dynamic Energy = 5.62332e-10 J
+ Total Runtime Energy = 1.31183e-09 J
+
+ FP Front RAT:
+ Area = 0.166163 mm^2
+ Peak Dynamic Power = 0.0432953 W
+ Subthreshold Leakage Power = 0.0126995 W
+ Gate Leakage Power = 0.000319816 W
+ Runtime Dynamic Power = 0.00721588 W
+ Runtime Dynamic Energy = 6.36696e-10 J
+ Total Runtime Energy = 1.78546e-09 J
+
+ Integer Free List:
+ Area = 0.0120305 mm^2
+ Peak Dynamic Power = 0.00394808 W
+ Subthreshold Leakage Power = 0.000639181 W
+ Gate Leakage Power = 2.0232e-05 W
+ Runtime Dynamic Power = 0.00131603 W
+ Runtime Dynamic Energy = 1.1612e-10 J
+ Total Runtime Energy = 1.74304e-10 J
+
+ Int Retire RAT:
+ Area = 0.00606308 mm^2
+ Peak Dynamic Power = 0.00325948 W
+ Subthreshold Leakage Power = 0.000496413 W
+ Gate Leakage Power = 1.45535e-05 W
+ Runtime Dynamic Power = 0.000543246 W
+ Runtime Dynamic Energy = 4.79335e-11 J
+ Total Runtime Energy = 9.30188e-11 J
+
+ FP Retire RAT:
+ Area = 0.00751656 mm^2
+ Peak Dynamic Power = 0.00404193 W
+ Subthreshold Leakage Power = 0.000709488 W
+ Gate Leakage Power = 1.94961e-05 W
+ Runtime Dynamic Power = 0.000673654 W
+ Runtime Dynamic Energy = 5.94401e-11 J
+ Total Runtime Energy = 1.23762e-10 J
+
+ FP Free List:
+ Area = 0.0120305 mm^2
+ Peak Dynamic Power = 0.00394808 W
+ Subthreshold Leakage Power = 0.000639181 W
+ Gate Leakage Power = 2.0232e-05 W
+ Runtime Dynamic Power = 0.00131603 W
+ Runtime Dynamic Energy = 1.1612e-10 J
+ Total Runtime Energy = 1.74304e-10 J
+
+ Instruction Dependency Check?:
+ Area = 0 mm^2
+ Peak Dynamic Power = 0.00607447 W
+ Subthreshold Leakage Power = 0.00101891 W
+ Gate Leakage Power = 3.23427e-05 W
+ Runtime Dynamic Power = 0.0242979 W
+ Runtime Dynamic Energy = 2.14393e-09 J
+ Total Runtime Energy = 2.23669e-09 J
+
+ FP Dependency Check?:
+ Area = 0 mm^2
+ Peak Dynamic Power = 0.00607447 W
+ Subthreshold Leakage Power = 0.00101891 W
+ Gate Leakage Power = 3.23427e-05 W
+ Runtime Dynamic Power = 0.0121489 W
+ Runtime Dynamic Energy = 1.07197e-09 J
+ Total Runtime Energy = 1.16472e-09 J
+
+ Pipeline?:
+ Area = 0.0912321 mm^2
+ Peak Dynamic Power = 0 W
+ Subthreshold Leakage Power = 0.0748063 W
+ Gate Leakage Power = 0.00796757 W
+ Runtime Dynamic Power = 0.269895 W
+ Runtime Dynamic Energy = 2.38143e-08 J
+ Total Runtime Energy = 3.11178e-08 J
+