summaryrefslogtreecommitdiff
path: root/ext/mcpat/results
diff options
context:
space:
mode:
Diffstat (limited to 'ext/mcpat/results')
-rw-r--r--ext/mcpat/results/A9_2000321
-rw-r--r--ext/mcpat/results/A9_2000_withIOC410
-rw-r--r--ext/mcpat/results/A9_800320
-rw-r--r--ext/mcpat/results/Alpha21364441
-rw-r--r--ext/mcpat/results/Alpha21364_90nm408
-rw-r--r--ext/mcpat/results/Penryn315
-rw-r--r--ext/mcpat/results/T1296
-rw-r--r--ext/mcpat/results/T1_DC_64270
-rw-r--r--ext/mcpat/results/T1_SBT_64252
-rw-r--r--ext/mcpat/results/T1_ST_64270
-rw-r--r--ext/mcpat/results/T2321
-rw-r--r--ext/mcpat/results/Xeon_core341
-rw-r--r--ext/mcpat/results/Xeon_uncore341
13 files changed, 4306 insertions, 0 deletions
diff --git a/ext/mcpat/results/A9_2000 b/ext/mcpat/results/A9_2000
new file mode 100644
index 000000000..e91243f6c
--- /dev/null
+++ b/ext/mcpat/results/A9_2000
@@ -0,0 +1,321 @@
+McPAT (version 0.8 of Aug, 2010) is computing the target processor...
+
+Warning: Branch Target Buffer array structure cannot satisfy latency constraint.
+
+McPAT (version 0.8 of Aug, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 40 nm
+ Using Long Channel Devices When Appropriate
+ Interconnect metal projection= conservative interconnect technology projection
+ Core clock Rate(MHz) 2000
+
+*****************************************************************************************
+Processor:
+ Area = 5.83937 mm^2
+ Peak Power = 1.32283 W
+ Total Leakage = 0.182558 W
+ Peak Dynamic = 1.14027 W
+ Subthreshold Leakage = 0.0869601 W
+ Gate Leakage = 0.095598 W
+ Runtime Dynamic = 2.86361 W
+
+ Total Cores:
+ Device Type= ITRS low operating power device type
+ Area = 5.33485 mm^2
+ Peak Dynamic = 1.07823 W
+ Subthreshold Leakage = 0.0827641 W
+ Gate Leakage = 0.0887315 W
+ Runtime Dynamic = 0.975395 W
+
+ Total First Level Directory:
+ Device Type= ITRS low operating power device type
+ Area = 0.489711 mm^2
+ Peak Dynamic = 0.0449752 W
+ Subthreshold Leakage = 0.00397708 W
+ Gate Leakage = 0.00655632 W
+ Runtime Dynamic = 1.80289 W
+
+ Total NoCs (Network/Bus):
+ Device Type= ITRS low operating power device type
+ Area = 0.0148119 mm^2
+ Peak Dynamic = 0.0170648 W
+ Subthreshold Leakage = 0.000218992 W
+ Gate Leakage = 0.000310207 W
+ Runtime Dynamic = 0.0853239 W
+
+*****************************************************************************************
+Core:
+ Area = 2.66742 mm^2
+ Peak Dynamic = 0.539116 W
+ Subthreshold Leakage = 0.041382 W
+ Gate Leakage = 0.0443657 W
+ Runtime Dynamic = 0.975395 W
+
+ Instruction Fetch Unit:
+ Area = 0.565848 mm^2
+ Peak Dynamic = 0.184724 W
+ Subthreshold Leakage = 0.00572394 W
+ Gate Leakage = 0.00380598 W
+ Runtime Dynamic = 0.283222 W
+
+ Instruction Cache:
+ Area = 0.235613 mm^2
+ Peak Dynamic = 0.0310428 W
+ Subthreshold Leakage = 0.00309635 W
+ Gate Leakage = 0.00216385 W
+ Runtime Dynamic = 0.0461626 W
+
+ Branch Target Buffer:
+ Area = 0.251259 mm^2
+ Peak Dynamic = 0.0174433 W
+ Subthreshold Leakage = 0.00170231 W
+ Gate Leakage = 0.000908123 W
+ Runtime Dynamic = 0.0697733 W
+
+ Branch Predictor:
+ Area = 0.064441 mm^2
+ Peak Dynamic = 0.00815792 W
+ Subthreshold Leakage = 0.00070444 W
+ Gate Leakage = 0.000477387 W
+ Runtime Dynamic = 0.0113878 W
+
+ Global Predictor:
+ Area = 0.0313969 mm^2
+ Peak Dynamic = 0.00374527 W
+ Subthreshold Leakage = 0.00034631 W
+ Gate Leakage = 0.000233555 W
+ Runtime Dynamic = 0.00545806 W
+
+ Local Predictor:
+ Area = 0.000711939 mm^2
+ Peak Dynamic = 0.000301014 W
+ Subthreshold Leakage = 6.13457e-06 W
+ Gate Leakage = 5.63471e-06 W
+ Runtime Dynamic = 0.000471566 W
+
+ Area = 0.000650815 mm^2
+ Peak Dynamic = 0.000230123 W
+ Subthreshold Leakage = 5.7769e-06 W
+ Gate Leakage = 4.75075e-06 W
+ Runtime Dynamic = 0.000354988 W
+
+ Chooser:
+ Area = 0.0313969 mm^2
+ Peak Dynamic = 0.00374527 W
+ Subthreshold Leakage = 0.00034631 W
+ Gate Leakage = 0.000233555 W
+ Runtime Dynamic = 0.00545806 W
+
+ RAS:
+ Area = 0.000996272 mm^2
+ Peak Dynamic = 0.000366372 W
+ Subthreshold Leakage = 5.68653e-06 W
+ Gate Leakage = 4.64147e-06 W
+ Runtime Dynamic = 6.23994e-08 W
+
+ Instruction Buffer:
+ Area = 0.00820192 mm^2
+ Peak Dynamic = 0.0669878 W
+ Subthreshold Leakage = 6.33536e-05 W
+ Gate Leakage = 4.34841e-05 W
+ Runtime Dynamic = 0.0382787 W
+
+ Instruction Decoder:
+ Area = 0.00468731 mm^2
+ Peak Dynamic = 0.05881 W
+ Subthreshold Leakage = 0.000127696 W
+ Gate Leakage = 0.000115494 W
+ Runtime Dynamic = 0.11762 W
+
+ Renaming Unit:
+ Area = 0.0903068 mm^2
+ Peak Dynamic = 0.0451514 W
+ Subthreshold Leakage = 0.000345688 W
+ Gate Leakage = 0.00032022 W
+ Runtime Dynamic = 0.0731287 W
+
+ Int Front End RAT:
+ Area = 0.0543672 mm^2
+ Peak Dynamic = 0.0237617 W
+ Subthreshold Leakage = 0.000175223 W
+ Gate Leakage = 0.000121525 W
+ Runtime Dynamic = 0.0475234 W
+
+ FP Front End RAT:
+ Area = 0.0185325 mm^2
+ Peak Dynamic = 0.00949419 W
+ Subthreshold Leakage = 0.000100325 W
+ Gate Leakage = 6.76251e-05 W
+ Runtime Dynamic = 0.00949419 W
+
+ Free List:
+ Area = 0.00599955 mm^2
+ Peak Dynamic = 0.00225065 W
+ Subthreshold Leakage = 1.24363e-05 W
+ Gate Leakage = 1.00844e-05 W
+ Runtime Dynamic = 0.0090026 W
+
+ Int Retire RAT:
+ Area = 0.00605969 mm^2
+ Peak Dynamic = 0.00448392 W
+ Subthreshold Leakage = 1.33231e-05 W
+ Gate Leakage = 1.16235e-05 W
+ Runtime Dynamic = 0.00448392 W
+
+ FP Retire RAT:
+ Area = 0.000650815 mm^2
+ Peak Dynamic = 0.00067334 W
+ Subthreshold Leakage = 5.7769e-06 W
+ Gate Leakage = 4.75075e-06 W
+ Runtime Dynamic = 0.00067334 W
+
+ FP Free List:
+ Area = 0.00305098 mm^2
+ Peak Dynamic = 0.00195124 W
+ Subthreshold Leakage = 8.81712e-06 W
+ Gate Leakage = 6.96054e-06 W
+ Runtime Dynamic = 0.00195124 W
+
+ Load Store Unit:
+ Area = 0.274913 mm^2
+ Peak Dynamic = 0.0347482 W
+ Subthreshold Leakage = 0.0032012 W
+ Gate Leakage = 0.00235752 W
+ Runtime Dynamic = 0.195304 W
+
+ Data Cache:
+ Area = 0.240878 mm^2
+ Peak Dynamic = 0.0293665 W
+ Subthreshold Leakage = 0.00312878 W
+ Gate Leakage = 0.00220794 W
+ Runtime Dynamic = 0.19026 W
+
+ StoreQ:
+ Area = 0.00754674 mm^2
+ Peak Dynamic = 0.00358087 W
+ Subthreshold Leakage = 4.2633e-05 W
+ Gate Leakage = 5.19212e-05 W
+ Runtime Dynamic = 0.00504348 W
+
+ Memory Management Unit:
+ Area = 0.021508 mm^2
+ Peak Dynamic = 0.0127337 W
+ Subthreshold Leakage = 0.000210621 W
+ Gate Leakage = 0.000290666 W
+ Runtime Dynamic = 0.037071 W
+
+ Itlb:
+ Area = 0.00993091 mm^2
+ Peak Dynamic = 0.00617846 W
+ Subthreshold Leakage = 9.04168e-05 W
+ Gate Leakage = 9.65082e-05 W
+ Runtime Dynamic = 0.012357 W
+
+ Dtlb:
+ Area = 0.00993091 mm^2
+ Peak Dynamic = 0.00438671 W
+ Subthreshold Leakage = 9.04168e-05 W
+ Gate Leakage = 9.65082e-05 W
+ Runtime Dynamic = 0.0247139 W
+
+ Execution Unit:
+ Area = 1.65498 mm^2
+ Peak Dynamic = 0.261758 W
+ Subthreshold Leakage = 0.0305522 W
+ Gate Leakage = 0.0360036 W
+ Runtime Dynamic = 0.386669 W
+
+ Register Files:
+ Area = 0.203203 mm^2
+ Peak Dynamic = 0.0763282 W
+ Subthreshold Leakage = 0.000197046 W
+ Gate Leakage = 0.00016338 W
+ Runtime Dynamic = 0.0386066 W
+
+ Integer RF:
+ Area = 0.146073 mm^2
+ Peak Dynamic = 0.0763282 W
+ Subthreshold Leakage = 0.000120303 W
+ Gate Leakage = 9.97867e-05 W
+ Runtime Dynamic = 0.0345689 W
+
+ Floating Point RF:
+ Area = 0.05713 mm^2
+ Peak Dynamic = 0 W
+ Subthreshold Leakage = 7.67427e-05 W
+ Gate Leakage = 6.35938e-05 W
+ Runtime Dynamic = 0.00403765 W
+
+ Instruction Scheduler:
+ Area = 0.0582889 mm^2
+ Peak Dynamic = 0.0522571 W
+ Subthreshold Leakage = 0.000128698 W
+ Gate Leakage = 0.000185714 W
+ Runtime Dynamic = 0.0787473 W
+
+ Instruction Window:
+ Area = 0.053925 mm^2
+ Peak Dynamic = 0.0445895 W
+ Subthreshold Leakage = 9.52936e-05 W
+ Gate Leakage = 0.000130718 W
+ Runtime Dynamic = 0.0602231 W
+
+ FP Instruction Window:
+ Area = 0.00436388 mm^2
+ Peak Dynamic = 0.00766759 W
+ Subthreshold Leakage = 3.34043e-05 W
+ Gate Leakage = 5.49962e-05 W
+ Runtime Dynamic = 0.0185242 W
+
+ Integer ALUs (Count: 3 ):
+ Area = 0.312404 mm^2
+ Peak Dynamic = 0.0283684 W
+ Subthreshold Leakage = 0.0140724 W
+ Gate Leakage = 0.0165703 W
+ Runtime Dynamic = 0.0373268 W
+
+ Floating Point Units (FPUs) (Count: 1 ):
+ Area = 0.971259 mm^2
+ Peak Dynamic = 0 W
+ Subthreshold Leakage = 0.0109377 W
+ Gate Leakage = 0.0128792 W
+ Runtime Dynamic = 0.0373268 W
+
+ Complex ALUs (Mul/Div) (Count: 1 ):
+ Area = 0.104135 mm^2
+ Peak Dynamic = 0.0204053 W
+ Subthreshold Leakage = 0.00469079 W
+ Gate Leakage = 0.00552345 W
+ Runtime Dynamic = 0.049769 W
+
+ Results Broadcast Bus:
+ Area Overhead = 0.00404385 mm^2
+ Peak Dynamic = 0.0824719 W
+ Subthreshold Leakage = 0.000495836 W
+ Gate Leakage = 0.000583852 W
+ Runtime Dynamic = 0.144892 W
+
+*****************************************************************************************
+First Level Directory
+ Area = 0.244856 mm^2
+ Peak Dynamic = 0.0224876 W
+ Subthreshold Leakage = 0.00198854 W
+ Gate Leakage = 0.00327816 W
+ Runtime Dynamic = 1.80289 W
+
+*****************************************************************************************
+BUSES
+ Area = 0.0148119 mm^2
+ Peak Dynamic = 0.0170648 W
+ Subthreshold Leakage = 0.000218992 W
+ Gate Leakage = 0.000310207 W
+ Runtime Dynamic = 0.0853239 W
+
+ Bus:
+ Area = 0.0148119 mm^2
+ Peak Dynamic = 0.0170648 W
+ Subthreshold Leakage = 0.000218992 W
+ Gate Leakage = 0.000310207 W
+ Runtime Dynamic = 0.0853239 W
+
+*****************************************************************************************
diff --git a/ext/mcpat/results/A9_2000_withIOC b/ext/mcpat/results/A9_2000_withIOC
new file mode 100644
index 000000000..b47509320
--- /dev/null
+++ b/ext/mcpat/results/A9_2000_withIOC
@@ -0,0 +1,410 @@
+McPAT (version 0.8 of Aug, 2010) is computing the target processor...
+
+Warning: Branch Target Buffer array structure cannot satisfy latency constraint.
+SerDer_dyn 0.00216115
+ctrl_dyn 0.0278216
+ctrl_dyn 6.14856e-11
+SerDer_dyn 1.54368e-11
+
+McPAT (version 0.8 of Aug, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 40 nm
+ Using Long Channel Devices When Appropriate
+ Interconnect metal projection= conservative interconnect technology projection
+ Core clock Rate(MHz) 2000
+
+*****************************************************************************************
+Processor:
+ Area = 7.05775 mm^2
+ Peak Power = 2.06734 W
+ Total Leakage = 0.204814 W
+ Peak Dynamic = 1.86253 W
+ Subthreshold Leakage = 0.0916805 W
+ Gate Leakage = 0.113134 W
+ Runtime Dynamic = 5.3744 W
+
+ Total Cores: 2 cores
+ Device Type= ITRS low operating power device type
+ Area = 5.33485 mm^2
+ Peak Dynamic = 1.07823 W
+ Subthreshold Leakage = 0.0827641 W
+ Gate Leakage = 0.0887315 W
+ Runtime Dynamic = 0.975395 W
+
+ Total First Level Directory:
+ Device Type= ITRS low operating power device type
+ Area = 0.489711 mm^2
+ Peak Dynamic = 0.0449752 W
+ Subthreshold Leakage = 0.00397708 W
+ Gate Leakage = 0.00655632 W
+ Runtime Dynamic = 1.80289 W
+
+ Total NoCs (Network/Bus):
+ Device Type= ITRS low operating power device type
+ Area = 0.0162858 mm^2
+ Peak Dynamic = 0.0187629 W
+ Subthreshold Leakage = 0.000240784 W
+ Gate Leakage = 0.000341076 W
+ Runtime Dynamic = 0.0938146 W
+
+ Total MCs: 1 Memory Controllers
+ Device Type= ITRS low operating power device type
+ Area = 0.554183 mm^2
+ Peak Dynamic = 0.31033 W
+ Subthreshold Leakage = 0.0020922 W
+ Gate Leakage = 0.00751531 W
+ Runtime Dynamic = 2.21514 W
+
+ Total Flash/SSD Controllers: 1 Flash/SSD Controllers
+ Device Type= ITRS low operating power device type
+ Area = 0.109065 mm^2
+ Peak Dynamic = 0.0299827 W
+ Subthreshold Leakage = 0.000522213 W
+ Gate Leakage = 0.0020015 W
+ Runtime Dynamic = 0.0209879 W
+
+ Total NIUs: 1 Network Interface Units
+ Device Type= ITRS low operating power device type
+ Area = 0.261302 mm^2
+ Peak Dynamic = 0.164859 W
+ Subthreshold Leakage = 0.000730171 W
+ Gate Leakage = 0.00279855 W
+ Runtime Dynamic = 0.115402 W
+
+ Total PCIes: 1 PCIe Controllers
+ Device Type= ITRS low operating power device type
+ Area = 0.292355 mm^2
+ Peak Dynamic = 0.215383 W
+ Subthreshold Leakage = 0.00135405 W
+ Gate Leakage = 0.00518971 W
+ Runtime Dynamic = 0.150768 W
+
+*****************************************************************************************
+Core:
+ Area = 2.66742 mm^2
+ Peak Dynamic = 0.539116 W
+ Subthreshold Leakage = 0.041382 W
+ Gate Leakage = 0.0443657 W
+ Runtime Dynamic = 0.975395 W
+
+ Instruction Fetch Unit:
+ Area = 0.565848 mm^2
+ Peak Dynamic = 0.184724 W
+ Subthreshold Leakage = 0.00572394 W
+ Gate Leakage = 0.00380598 W
+ Runtime Dynamic = 0.283222 W
+
+ Instruction Cache:
+ Area = 0.235613 mm^2
+ Peak Dynamic = 0.0310428 W
+ Subthreshold Leakage = 0.00309635 W
+ Gate Leakage = 0.00216385 W
+ Runtime Dynamic = 0.0461626 W
+
+ Branch Target Buffer:
+ Area = 0.251259 mm^2
+ Peak Dynamic = 0.0174433 W
+ Subthreshold Leakage = 0.00170231 W
+ Gate Leakage = 0.000908123 W
+ Runtime Dynamic = 0.0697733 W
+
+ Branch Predictor:
+ Area = 0.064441 mm^2
+ Peak Dynamic = 0.00815792 W
+ Subthreshold Leakage = 0.00070444 W
+ Gate Leakage = 0.000477387 W
+ Runtime Dynamic = 0.0113878 W
+
+ Global Predictor:
+ Area = 0.0313969 mm^2
+ Peak Dynamic = 0.00374527 W
+ Subthreshold Leakage = 0.00034631 W
+ Gate Leakage = 0.000233555 W
+ Runtime Dynamic = 0.00545806 W
+
+ Local Predictor:
+ Area = 0.000711939 mm^2
+ Peak Dynamic = 0.000301014 W
+ Subthreshold Leakage = 6.13457e-06 W
+ Gate Leakage = 5.63471e-06 W
+ Runtime Dynamic = 0.000471566 W
+
+ Area = 0.000650815 mm^2
+ Peak Dynamic = 0.000230123 W
+ Subthreshold Leakage = 5.7769e-06 W
+ Gate Leakage = 4.75075e-06 W
+ Runtime Dynamic = 0.000354988 W
+
+ Chooser:
+ Area = 0.0313969 mm^2
+ Peak Dynamic = 0.00374527 W
+ Subthreshold Leakage = 0.00034631 W
+ Gate Leakage = 0.000233555 W
+ Runtime Dynamic = 0.00545806 W
+
+ RAS:
+ Area = 0.000996272 mm^2
+ Peak Dynamic = 0.000366372 W
+ Subthreshold Leakage = 5.68653e-06 W
+ Gate Leakage = 4.64147e-06 W
+ Runtime Dynamic = 6.23994e-08 W
+
+ Instruction Buffer:
+ Area = 0.00820192 mm^2
+ Peak Dynamic = 0.0669878 W
+ Subthreshold Leakage = 6.33536e-05 W
+ Gate Leakage = 4.34841e-05 W
+ Runtime Dynamic = 0.0382787 W
+
+ Instruction Decoder:
+ Area = 0.00468731 mm^2
+ Peak Dynamic = 0.05881 W
+ Subthreshold Leakage = 0.000127696 W
+ Gate Leakage = 0.000115494 W
+ Runtime Dynamic = 0.11762 W
+
+ Renaming Unit:
+ Area = 0.0903068 mm^2
+ Peak Dynamic = 0.0451514 W
+ Subthreshold Leakage = 0.000345688 W
+ Gate Leakage = 0.00032022 W
+ Runtime Dynamic = 0.0731287 W
+
+ Int Front End RAT:
+ Area = 0.0543672 mm^2
+ Peak Dynamic = 0.0237617 W
+ Subthreshold Leakage = 0.000175223 W
+ Gate Leakage = 0.000121525 W
+ Runtime Dynamic = 0.0475234 W
+
+ FP Front End RAT:
+ Area = 0.0185325 mm^2
+ Peak Dynamic = 0.00949419 W
+ Subthreshold Leakage = 0.000100325 W
+ Gate Leakage = 6.76251e-05 W
+ Runtime Dynamic = 0.00949419 W
+
+ Free List:
+ Area = 0.00599955 mm^2
+ Peak Dynamic = 0.00225065 W
+ Subthreshold Leakage = 1.24363e-05 W
+ Gate Leakage = 1.00844e-05 W
+ Runtime Dynamic = 0.0090026 W
+
+ Int Retire RAT:
+ Area = 0.00605969 mm^2
+ Peak Dynamic = 0.00448392 W
+ Subthreshold Leakage = 1.33231e-05 W
+ Gate Leakage = 1.16235e-05 W
+ Runtime Dynamic = 0.00448392 W
+
+ FP Retire RAT:
+ Area = 0.000650815 mm^2
+ Peak Dynamic = 0.00067334 W
+ Subthreshold Leakage = 5.7769e-06 W
+ Gate Leakage = 4.75075e-06 W
+ Runtime Dynamic = 0.00067334 W
+
+ FP Free List:
+ Area = 0.00305098 mm^2
+ Peak Dynamic = 0.00195124 W
+ Subthreshold Leakage = 8.81712e-06 W
+ Gate Leakage = 6.96054e-06 W
+ Runtime Dynamic = 0.00195124 W
+
+ Load Store Unit:
+ Area = 0.274913 mm^2
+ Peak Dynamic = 0.0347482 W
+ Subthreshold Leakage = 0.0032012 W
+ Gate Leakage = 0.00235752 W
+ Runtime Dynamic = 0.195304 W
+
+ Data Cache:
+ Area = 0.240878 mm^2
+ Peak Dynamic = 0.0293665 W
+ Subthreshold Leakage = 0.00312878 W
+ Gate Leakage = 0.00220794 W
+ Runtime Dynamic = 0.19026 W
+
+ StoreQ:
+ Area = 0.00754674 mm^2
+ Peak Dynamic = 0.00358087 W
+ Subthreshold Leakage = 4.2633e-05 W
+ Gate Leakage = 5.19212e-05 W
+ Runtime Dynamic = 0.00504348 W
+
+ Memory Management Unit:
+ Area = 0.021508 mm^2
+ Peak Dynamic = 0.0127337 W
+ Subthreshold Leakage = 0.000210621 W
+ Gate Leakage = 0.000290666 W
+ Runtime Dynamic = 0.037071 W
+
+ Itlb:
+ Area = 0.00993091 mm^2
+ Peak Dynamic = 0.00617846 W
+ Subthreshold Leakage = 9.04168e-05 W
+ Gate Leakage = 9.65082e-05 W
+ Runtime Dynamic = 0.012357 W
+
+ Dtlb:
+ Area = 0.00993091 mm^2
+ Peak Dynamic = 0.00438671 W
+ Subthreshold Leakage = 9.04168e-05 W
+ Gate Leakage = 9.65082e-05 W
+ Runtime Dynamic = 0.0247139 W
+
+ Execution Unit:
+ Area = 1.65498 mm^2
+ Peak Dynamic = 0.261758 W
+ Subthreshold Leakage = 0.0305522 W
+ Gate Leakage = 0.0360036 W
+ Runtime Dynamic = 0.386669 W
+
+ Register Files:
+ Area = 0.203203 mm^2
+ Peak Dynamic = 0.0763282 W
+ Subthreshold Leakage = 0.000197046 W
+ Gate Leakage = 0.00016338 W
+ Runtime Dynamic = 0.0386066 W
+
+ Integer RF:
+ Area = 0.146073 mm^2
+ Peak Dynamic = 0.0763282 W
+ Subthreshold Leakage = 0.000120303 W
+ Gate Leakage = 9.97867e-05 W
+ Runtime Dynamic = 0.0345689 W
+
+ Floating Point RF:
+ Area = 0.05713 mm^2
+ Peak Dynamic = 0 W
+ Subthreshold Leakage = 7.67427e-05 W
+ Gate Leakage = 6.35938e-05 W
+ Runtime Dynamic = 0.00403765 W
+
+ Instruction Scheduler:
+ Area = 0.0582889 mm^2
+ Peak Dynamic = 0.0522571 W
+ Subthreshold Leakage = 0.000128698 W
+ Gate Leakage = 0.000185714 W
+ Runtime Dynamic = 0.0787473 W
+
+ Instruction Window:
+ Area = 0.053925 mm^2
+ Peak Dynamic = 0.0445895 W
+ Subthreshold Leakage = 9.52936e-05 W
+ Gate Leakage = 0.000130718 W
+ Runtime Dynamic = 0.0602231 W
+
+ FP Instruction Window:
+ Area = 0.00436388 mm^2
+ Peak Dynamic = 0.00766759 W
+ Subthreshold Leakage = 3.34043e-05 W
+ Gate Leakage = 5.49962e-05 W
+ Runtime Dynamic = 0.0185242 W
+
+ Integer ALUs (Count: 3 ):
+ Area = 0.312404 mm^2
+ Peak Dynamic = 0.0283684 W
+ Subthreshold Leakage = 0.0140724 W
+ Gate Leakage = 0.0165703 W
+ Runtime Dynamic = 0.0373268 W
+
+ Floating Point Units (FPUs) (Count: 1 ):
+ Area = 0.971259 mm^2
+ Peak Dynamic = 0 W
+ Subthreshold Leakage = 0.0109377 W
+ Gate Leakage = 0.0128792 W
+ Runtime Dynamic = 0.0373268 W
+
+ Complex ALUs (Mul/Div) (Count: 1 ):
+ Area = 0.104135 mm^2
+ Peak Dynamic = 0.0204053 W
+ Subthreshold Leakage = 0.00469079 W
+ Gate Leakage = 0.00552345 W
+ Runtime Dynamic = 0.049769 W
+
+ Results Broadcast Bus:
+ Area Overhead = 0.00404385 mm^2
+ Peak Dynamic = 0.0824719 W
+ Subthreshold Leakage = 0.000495836 W
+ Gate Leakage = 0.000583852 W
+ Runtime Dynamic = 0.144892 W
+
+*****************************************************************************************
+First Level Directory
+ Area = 0.244856 mm^2
+ Peak Dynamic = 0.0224876 W
+ Subthreshold Leakage = 0.00198854 W
+ Gate Leakage = 0.00327816 W
+ Runtime Dynamic = 1.80289 W
+
+*****************************************************************************************
+Memory Controller:
+ Area = 0.554183 mm^2
+ Peak Dynamic = 0.31033 W
+ Subthreshold Leakage = 0.0020922 W
+ Gate Leakage = 0.00751531 W
+ Runtime Dynamic = 2.21514 W
+
+ Front End Engine:
+ Area = 0.111447 mm^2
+ Peak Dynamic = 0.0117646 W
+ Subthreshold Leakage = 0.000188068 W
+ Gate Leakage = 0.000217277 W
+ Runtime Dynamic = 0.0796061 W
+
+ Transaction Engine:
+ Area = 0.113609 mm^2
+ Peak Dynamic = 0.160252 W
+ Subthreshold Leakage = 0.000380826 W
+ Gate Leakage = 0.00145961 W
+ Runtime Dynamic = 1.08436 W
+
+ PHY:
+ Area = 0.329127 mm^2
+ Peak Dynamic = 0.138314 W
+ Subthreshold Leakage = 0.00152331 W
+ Gate Leakage = 0.00583843 W
+ Runtime Dynamic = 1.05117 W
+
+*****************************************************************************************
+Flash Controller:
+ Area = 0.109065 mm^2
+ Peak Dynamic = 0.0299827 W
+ Subthreshold Leakage = 0.000522213 W
+ Gate Leakage = 0.0020015 W
+ Runtime Dynamic = 0.0209879 W
+
+*****************************************************************************************
+NIU:
+ Area = 0.261302 mm^2
+ Peak Dynamic = 0.164859 W
+ Subthreshold Leakage = 0.000730171 W
+ Gate Leakage = 0.00279855 W
+ Runtime Dynamic = 0.115402 W
+
+*****************************************************************************************
+PCIe:
+ Area = 0.292355 mm^2
+ Peak Dynamic = 0.215383 W
+ Subthreshold Leakage = 0.00135405 W
+ Gate Leakage = 0.00518971 W
+ Runtime Dynamic = 0.150768 W
+
+*****************************************************************************************
+BUSES
+ Area = 0.0162858 mm^2
+ Peak Dynamic = 0.0187629 W
+ Subthreshold Leakage = 0.000240784 W
+ Gate Leakage = 0.000341076 W
+ Runtime Dynamic = 0.0938146 W
+
+ Bus:
+ Area = 0.0162858 mm^2
+ Peak Dynamic = 0.0187629 W
+ Subthreshold Leakage = 0.000240784 W
+ Gate Leakage = 0.000341076 W
+ Runtime Dynamic = 0.0938146 W
+
+*****************************************************************************************
diff --git a/ext/mcpat/results/A9_800 b/ext/mcpat/results/A9_800
new file mode 100644
index 000000000..e8f3301b5
--- /dev/null
+++ b/ext/mcpat/results/A9_800
@@ -0,0 +1,320 @@
+McPAT (version 0.8 of Aug, 2010) is computing the target processor...
+
+
+McPAT (version 0.8 of Aug, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 40 nm
+ Using Long Channel Devices When Appropriate
+ Interconnect metal projection= conservative interconnect technology projection
+ Core clock Rate(MHz) 800
+
+*****************************************************************************************
+Processor:
+ Area = 5.48929 mm^2
+ Peak Power = 0.577263 W
+ Total Leakage = 0.127046 W
+ Peak Dynamic = 0.450217 W
+ Subthreshold Leakage = 0.0608257 W
+ Gate Leakage = 0.0662198 W
+ Runtime Dynamic = 1.13304 W
+
+ Total Cores:
+ Device Type= ITRS low operating power device type
+ Area = 4.98521 mm^2
+ Peak Dynamic = 0.425609 W
+ Subthreshold Leakage = 0.0577408 W
+ Gate Leakage = 0.061241 W
+ Runtime Dynamic = 0.37879 W
+
+ Total First Level Directory:
+ Device Type= ITRS low operating power device type
+ Area = 0.489711 mm^2
+ Peak Dynamic = 0.0179901 W
+ Subthreshold Leakage = 0.0029286 W
+ Gate Leakage = 0.00476045 W
+ Runtime Dynamic = 0.721156 W
+
+ Total NoCs (Network/Bus):
+ Device Type= ITRS low operating power device type
+ Area = 0.0143604 mm^2
+ Peak Dynamic = 0.00661787 W
+ Subthreshold Leakage = 0.000156344 W
+ Gate Leakage = 0.000218372 W
+ Runtime Dynamic = 0.0330893 W
+
+*****************************************************************************************
+Core:
+ Area = 2.49261 mm^2
+ Peak Dynamic = 0.212805 W
+ Subthreshold Leakage = 0.0288704 W
+ Gate Leakage = 0.0306205 W
+ Runtime Dynamic = 0.37879 W
+
+ Instruction Fetch Unit:
+ Area = 0.450898 mm^2
+ Peak Dynamic = 0.0710479 W
+ Subthreshold Leakage = 0.00360576 W
+ Gate Leakage = 0.00232348 W
+ Runtime Dynamic = 0.101921 W
+
+ Instruction Cache:
+ Area = 0.235613 mm^2
+ Peak Dynamic = 0.0124171 W
+ Subthreshold Leakage = 0.00228006 W
+ Gate Leakage = 0.00157114 W
+ Runtime Dynamic = 0.018465 W
+
+ Branch Target Buffer:
+ Area = 0.136309 mm^2
+ Peak Dynamic = 0.00413545 W
+ Subthreshold Leakage = 0.000644359 W
+ Gate Leakage = 0.000219381 W
+ Runtime Dynamic = 0.0165418 W
+
+ Branch Predictor:
+ Area = 0.064441 mm^2
+ Peak Dynamic = 0.00326317 W
+ Subthreshold Leakage = 0.000518728 W
+ Gate Leakage = 0.000346624 W
+ Runtime Dynamic = 0.0045551 W
+
+ Global Predictor:
+ Area = 0.0313969 mm^2
+ Peak Dynamic = 0.00149811 W
+ Subthreshold Leakage = 0.000255012 W
+ Gate Leakage = 0.000169581 W
+ Runtime Dynamic = 0.00218323 W
+
+ Local Predictor:
+ Area = 0.000711939 mm^2
+ Peak Dynamic = 0.000120406 W
+ Subthreshold Leakage = 4.51731e-06 W
+ Gate Leakage = 4.09128e-06 W
+ Runtime Dynamic = 0.000188626 W
+
+ Area = 0.000650815 mm^2
+ Peak Dynamic = 9.20494e-05 W
+ Subthreshold Leakage = 4.25393e-06 W
+ Gate Leakage = 3.44945e-06 W
+ Runtime Dynamic = 0.000141995 W
+
+ Chooser:
+ Area = 0.0313969 mm^2
+ Peak Dynamic = 0.00149811 W
+ Subthreshold Leakage = 0.000255012 W
+ Gate Leakage = 0.000169581 W
+ Runtime Dynamic = 0.00218323 W
+
+ RAS:
+ Area = 0.000996272 mm^2
+ Peak Dynamic = 0.000146549 W
+ Subthreshold Leakage = 4.18739e-06 W
+ Gate Leakage = 3.3701e-06 W
+ Runtime Dynamic = 2.49598e-08 W
+
+ Instruction Buffer:
+ Area = 0.00820192 mm^2
+ Peak Dynamic = 0.0267951 W
+ Subthreshold Leakage = 4.66516e-05 W
+ Gate Leakage = 3.15732e-05 W
+ Runtime Dynamic = 0.0153115 W
+
+ Instruction Decoder:
+ Area = 0.00468731 mm^2
+ Peak Dynamic = 0.023524 W
+ Subthreshold Leakage = 9.40317e-05 W
+ Gate Leakage = 8.38587e-05 W
+ Runtime Dynamic = 0.047048 W
+
+ Renaming Unit:
+ Area = 0.0903068 mm^2
+ Peak Dynamic = 0.0180606 W
+ Subthreshold Leakage = 0.000254554 W
+ Gate Leakage = 0.000232507 W
+ Runtime Dynamic = 0.0292515 W
+
+ Int Front End RAT:
+ Area = 0.0543672 mm^2
+ Peak Dynamic = 0.00950468 W
+ Subthreshold Leakage = 0.000129029 W
+ Gate Leakage = 8.82378e-05 W
+ Runtime Dynamic = 0.0190094 W
+
+ FP Front End RAT:
+ Area = 0.0185325 mm^2
+ Peak Dynamic = 0.00379768 W
+ Subthreshold Leakage = 7.38761e-05 W
+ Gate Leakage = 4.91016e-05 W
+ Runtime Dynamic = 0.00379768 W
+
+ Free List:
+ Area = 0.00599955 mm^2
+ Peak Dynamic = 0.00090026 W
+ Subthreshold Leakage = 9.15772e-06 W
+ Gate Leakage = 7.32213e-06 W
+ Runtime Dynamic = 0.00360104 W
+
+ Int Retire RAT:
+ Area = 0.00605969 mm^2
+ Peak Dynamic = 0.00179357 W
+ Subthreshold Leakage = 9.8107e-06 W
+ Gate Leakage = 8.43969e-06 W
+ Runtime Dynamic = 0.00179357 W
+
+ FP Retire RAT:
+ Area = 0.000650815 mm^2
+ Peak Dynamic = 0.000269336 W
+ Subthreshold Leakage = 4.25393e-06 W
+ Gate Leakage = 3.44945e-06 W
+ Runtime Dynamic = 0.000269336 W
+
+ FP Free List:
+ Area = 0.00305098 mm^2
+ Peak Dynamic = 0.000780497 W
+ Subthreshold Leakage = 6.49266e-06 W
+ Gate Leakage = 5.05395e-06 W
+ Runtime Dynamic = 0.000780497 W
+
+ Load Store Unit:
+ Area = 0.274913 mm^2
+ Peak Dynamic = 0.0138993 W
+ Subthreshold Leakage = 0.00235727 W
+ Gate Leakage = 0.00171176 W
+ Runtime Dynamic = 0.0781216 W
+
+ Data Cache:
+ Area = 0.240878 mm^2
+ Peak Dynamic = 0.0117466 W
+ Subthreshold Leakage = 0.00230394 W
+ Gate Leakage = 0.00160316 W
+ Runtime Dynamic = 0.0761042 W
+
+ StoreQ:
+ Area = 0.00754674 mm^2
+ Peak Dynamic = 0.00143235 W
+ Subthreshold Leakage = 3.13936e-05 W
+ Gate Leakage = 3.76992e-05 W
+ Runtime Dynamic = 0.00201739 W
+
+ Memory Management Unit:
+ Area = 0.021508 mm^2
+ Peak Dynamic = 0.0050935 W
+ Subthreshold Leakage = 0.000155095 W
+ Gate Leakage = 0.000211049 W
+ Runtime Dynamic = 0.0148284 W
+
+ Itlb:
+ Area = 0.00993091 mm^2
+ Peak Dynamic = 0.00247139 W
+ Subthreshold Leakage = 6.65801e-05 W
+ Gate Leakage = 7.00732e-05 W
+ Runtime Dynamic = 0.0049428 W
+
+ Dtlb:
+ Area = 0.00993091 mm^2
+ Peak Dynamic = 0.00175468 W
+ Subthreshold Leakage = 6.65801e-05 W
+ Gate Leakage = 7.00732e-05 W
+ Runtime Dynamic = 0.00988557 W
+
+ Execution Unit:
+ Area = 1.65498 mm^2
+ Peak Dynamic = 0.104703 W
+ Subthreshold Leakage = 0.0224977 W
+ Gate Leakage = 0.0261417 W
+ Runtime Dynamic = 0.154667 W
+
+ Register Files:
+ Area = 0.203203 mm^2
+ Peak Dynamic = 0.0305313 W
+ Subthreshold Leakage = 0.000145099 W
+ Gate Leakage = 0.000118628 W
+ Runtime Dynamic = 0.0154426 W
+
+ Integer RF:
+ Area = 0.146073 mm^2
+ Peak Dynamic = 0.0305313 W
+ Subthreshold Leakage = 8.85877e-05 W
+ Gate Leakage = 7.24537e-05 W
+ Runtime Dynamic = 0.0138276 W
+
+ Floating Point RF:
+ Area = 0.05713 mm^2
+ Peak Dynamic = 0 W
+ Subthreshold Leakage = 5.6511e-05 W
+ Gate Leakage = 4.61745e-05 W
+ Runtime Dynamic = 0.00161506 W
+
+ Instruction Scheduler:
+ Area = 0.0582889 mm^2
+ Peak Dynamic = 0.0209028 W
+ Subthreshold Leakage = 9.47693e-05 W
+ Gate Leakage = 0.000134844 W
+ Runtime Dynamic = 0.0314989 W
+
+ Instruction Window:
+ Area = 0.053925 mm^2
+ Peak Dynamic = 0.0178358 W
+ Subthreshold Leakage = 7.01713e-05 W
+ Gate Leakage = 9.49122e-05 W
+ Runtime Dynamic = 0.0240893 W
+
+ FP Instruction Window:
+ Area = 0.00436388 mm^2
+ Peak Dynamic = 0.00306704 W
+ Subthreshold Leakage = 2.45979e-05 W
+ Gate Leakage = 3.99319e-05 W
+ Runtime Dynamic = 0.00740966 W
+
+ Integer ALUs (Count: 3 ):
+ Area = 0.312404 mm^2
+ Peak Dynamic = 0.0113473 W
+ Subthreshold Leakage = 0.0103625 W
+ Gate Leakage = 0.0120315 W
+ Runtime Dynamic = 0.0149307 W
+
+ Floating Point Units (FPUs) (Count: 1 ):
+ Area = 0.971259 mm^2
+ Peak Dynamic = 0 W
+ Subthreshold Leakage = 0.00805417 W
+ Gate Leakage = 0.00935142 W
+ Runtime Dynamic = 0.0149307 W
+
+ Complex ALUs (Mul/Div) (Count: 1 ):
+ Area = 0.104135 mm^2
+ Peak Dynamic = 0.00816212 W
+ Subthreshold Leakage = 0.00345415 W
+ Gate Leakage = 0.0040105 W
+ Runtime Dynamic = 0.0199076 W
+
+ Results Broadcast Bus:
+ Area Overhead = 0.00404385 mm^2
+ Peak Dynamic = 0.0329888 W
+ Subthreshold Leakage = 0.000365119 W
+ Gate Leakage = 0.000423926 W
+ Runtime Dynamic = 0.0579569 W
+
+*****************************************************************************************
+First Level Directory
+ Area = 0.244856 mm^2
+ Peak Dynamic = 0.00899504 W
+ Subthreshold Leakage = 0.0014643 W
+ Gate Leakage = 0.00238022 W
+ Runtime Dynamic = 0.721156 W
+
+*****************************************************************************************
+BUSES
+ Area = 0.0143604 mm^2
+ Peak Dynamic = 0.00661787 W
+ Subthreshold Leakage = 0.000156344 W
+ Gate Leakage = 0.000218372 W
+ Runtime Dynamic = 0.0330893 W
+
+ Bus:
+ Area = 0.0143604 mm^2
+ Peak Dynamic = 0.00661787 W
+ Subthreshold Leakage = 0.000156344 W
+ Gate Leakage = 0.000218372 W
+ Runtime Dynamic = 0.0330893 W
+
+*****************************************************************************************
diff --git a/ext/mcpat/results/Alpha21364 b/ext/mcpat/results/Alpha21364
new file mode 100644
index 000000000..1b3d9e4bd
--- /dev/null
+++ b/ext/mcpat/results/Alpha21364
@@ -0,0 +1,441 @@
+McPAT (version 0.7 of May, 2010) is computing the target processor...
+
+Warning: icache array structure cannot satisfy throughput constraint.
+Warning: icache array structure cannot satisfy latency constraint.
+Warning: InstBuffer array structure cannot satisfy throughput constraint.
+Warning: InstBuffer array structure cannot satisfy latency constraint.
+Warning: Branch Target Buffer array structure cannot satisfy throughput constraint.
+Warning: Branch Target Buffer array structure cannot satisfy latency constraint.
+Warning: Global Predictor array structure cannot satisfy throughput constraint.
+Warning: Global Predictor array structure cannot satisfy latency constraint.
+Warning: L1 local Predictor array structure cannot satisfy throughput constraint.
+Warning: L1 local Predictor array structure cannot satisfy latency constraint.
+Warning: L2 local Predictor array structure cannot satisfy throughput constraint.
+Warning: L2 local Predictor array structure cannot satisfy latency constraint.
+Warning: Predictor Chooser array structure cannot satisfy throughput constraint.
+Warning: Predictor Chooser array structure cannot satisfy latency constraint.
+Warning: RAS array structure cannot satisfy throughput constraint.
+Warning: RAS array structure cannot satisfy latency constraint.
+Warning: dcache array structure cannot satisfy throughput constraint.
+Warning: dcache array structure cannot satisfy latency constraint.
+Warning: Integer Register File array structure cannot satisfy throughput constraint.
+Warning: Integer Register File array structure cannot satisfy latency constraint.
+Warning: Floating point Register File array structure cannot satisfy throughput constraint.
+Warning: Floating point Register File array structure cannot satisfy latency constraint.
+Warning: ReorderBuffer array structure cannot satisfy throughput constraint.
+Warning: ReorderBuffer array structure cannot satisfy latency constraint.
+Warning: Int RetireRAT array structure cannot satisfy throughput constraint.
+Warning: Int RetireRAT array structure cannot satisfy latency constraint.
+Warning: Int RetireRAT array structure cannot satisfy latency constraint.
+Warning: Int Free List array structure cannot satisfy throughput constraint.
+Warning: Int Free List array structure cannot satisfy latency constraint.
+Warning: Int Free List array structure cannot satisfy throughput constraint.
+Warning: Int Free List array structure cannot satisfy latency constraint.
+Warning: MC ReadBuffer array structure cannot satisfy throughput constraint.
+Warning: MC ReadBuffer array structure cannot satisfy latency constraint.
+Warning: MC writeBuffer array structure cannot satisfy throughput constraint.
+Warning: MC writeBuffer array structure cannot satisfy latency constraint.
+
+McPAT (version 0.7 of May, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 180 nm
+ Interconnect metal projection= aggressive interconnect technology projection
+ Core clock Rate(MHz) 1200
+
+*****************************************************************************************
+Processor:
+ Area = 323.859 mm^2
+ Peak Power = 90.0375 W
+ Total Leakage = 0.156795 W
+ Peak Dynamic = 89.8807 W
+ Subthreshold Leakage = 0.151936 W
+ Gate Leakage = 0.00485969 W
+ Runtime Dynamic = 85.2036 W
+
+ Total Cores:
+ Device Type= ITRS high performance device type
+ Area = 137.839 mm^2
+ Peak Dynamic = 60.6776 W
+ Subthreshold Leakage = 0.067186 W
+ Gate Leakage = 0.00428355 W
+ Runtime Dynamic = 73.9555 W
+
+ Total L2s:
+ Device Type= ITRS high performance device type
+ Area = 137.063 mm^2
+ Peak Dynamic = 3.55835 W
+ Subthreshold Leakage = 0.0778886 W
+ Gate Leakage = 0.00016078 W
+ Runtime Dynamic = 6.34872 W
+
+ Total First Level Directory:
+ Device Type= ITRS high performance device type
+ Area = 1.59954 mm^2
+ Peak Dynamic = 0.805902 W
+ Subthreshold Leakage = 0.000311783 W
+ Gate Leakage = 2.63568e-05 W
+ Runtime Dynamic = 0.547665 W
+
+ Total NoCs (Network/Bus):
+ Device Type= ITRS high performance device type
+ Area = 29.1057 mm^2
+ Peak Dynamic = 16.5188 W
+ Subthreshold Leakage = 0.00292556 W
+ Gate Leakage = 0.000166293 W
+ Runtime Dynamic = 2.54446 W
+
+ Total MCs:
+ Device Type= ITRS high performance device type
+ Area = 18.2519 mm^2
+ Peak Dynamic = 8.32001 W
+ Subthreshold Leakage = 0.00362353 W
+ Gate Leakage = 0.000222708 W
+ Runtime Dynamic = 1.80731 W
+
+*****************************************************************************************
+Core:
+ Area = 137.839 mm^2
+ Peak Dynamic = 60.6776 W
+ Subthreshold Leakage = 0.067186 W
+ Gate Leakage = 0.00428355 W
+ Runtime Dynamic = 73.9555 W
+
+ Instruction Fetch Unit:
+ Area = 27.6096 mm^2
+ Peak Dynamic = 9.86655 W
+ Subthreshold Leakage = 0.00622106 W
+ Gate Leakage = 0.000344671 W
+ Runtime Dynamic = 10.0567 W
+
+ Instruction Cache:
+ Area = 11.4511 mm^2
+ Peak Dynamic = 1.53259 W
+ Subthreshold Leakage = 0.00371341 W
+ Gate Leakage = 0.000171069 W
+ Runtime Dynamic = 2.13168 W
+
+ Branch Target Buffer:
+ Area = 13.3377 mm^2
+ Peak Dynamic = 0.56236 W
+ Subthreshold Leakage = 0.001581 W
+ Gate Leakage = 9.5198e-05 W
+ Runtime Dynamic = 2.24944 W
+
+ Branch Predictor:
+ Area = 2.1618 mm^2
+ Peak Dynamic = 0.234643 W
+ Subthreshold Leakage = 0.000469396 W
+ Gate Leakage = 2.01907e-05 W
+ Runtime Dynamic = 0.198646 W
+
+ Global Predictor:
+ Area = 0.893575 mm^2
+ Peak Dynamic = 0.0726984 W
+ Subthreshold Leakage = 0.000182866 W
+ Gate Leakage = 7.91951e-06 W
+ Runtime Dynamic = 0.0726984 W
+
+ Local Predictor:
+ Area = 0.420241 mm^2
+ Peak Dynamic = 0.0532456 W
+ Subthreshold Leakage = 9.20027e-05 W
+ Gate Leakage = 3.89162e-06 W
+ Runtime Dynamic = 0.0532456 W
+
+ Area = 0.291886 mm^2
+ Peak Dynamic = 0.0292091 W
+ Subthreshold Leakage = 5.262e-05 W
+ Gate Leakage = 2.51093e-06 W
+ Runtime Dynamic = 0.0292091 W
+
+ Chooser:
+ Area = 0.893575 mm^2
+ Peak Dynamic = 0.0726984 W
+ Subthreshold Leakage = 0.000182866 W
+ Gate Leakage = 7.91951e-06 W
+ Runtime Dynamic = 0.0726984 W
+
+ RAS:
+ Area = 0.0827607 mm^2
+ Peak Dynamic = 0.0360009 W
+ Subthreshold Leakage = 1.16623e-05 W
+ Gate Leakage = 4.60036e-07 W
+ Runtime Dynamic = 3.58028e-06 W
+
+ Instruction Buffer:
+ Area = 0.465385 mm^2
+ Peak Dynamic = 2.10455 W
+ Subthreshold Leakage = 6.13248e-05 W
+ Gate Leakage = 4.88113e-06 W
+ Runtime Dynamic = 1.40303 W
+
+ Instruction Decoder:
+ Area = 0.146031 mm^2
+ Peak Dynamic = 4.07384 W
+ Subthreshold Leakage = 7.07416e-05 W
+ Gate Leakage = 3.32268e-06 W
+ Runtime Dynamic = 4.07384 W
+
+ Renaming Unit:
+ Area = 11.7262 mm^2
+ Peak Dynamic = 12.5584 W
+ Subthreshold Leakage = 0.000886804 W
+ Gate Leakage = 9.92419e-05 W
+ Runtime Dynamic = 9.90647 W
+
+ Int Front End RAT:
+ Area = 8.24345 mm^2
+ Peak Dynamic = 8.04227 W
+ Subthreshold Leakage = 0.000376247 W
+ Gate Leakage = 3.40623e-05 W
+ Runtime Dynamic = 8.04227 W
+
+ FP Front End RAT:
+ Area = 2.549 mm^2
+ Peak Dynamic = 2.75082 W
+ Subthreshold Leakage = 0.000149367 W
+ Gate Leakage = 1.30084e-05 W
+ Runtime Dynamic = 1.37541 W
+
+ Free List:
+ Area = 0.446019 mm^2
+ Peak Dynamic = 0.156051 W
+ Subthreshold Leakage = 1.32133e-05 W
+ Gate Leakage = 7.4667e-07 W
+ Runtime Dynamic = 0.312102 W
+
+ Int Retire RAT:
+ Area = 0.184445 mm^2
+ Peak Dynamic = 0.102656 W
+ Subthreshold Leakage = 8.50239e-06 W
+ Gate Leakage = 5.28869e-07 W
+ Runtime Dynamic = 0.102656 W
+
+ FP Retire RAT:
+ Area = 0.0567228 mm^2
+ Peak Dynamic = 0.0367258 W
+ Subthreshold Leakage = 5.67894e-06 W
+ Gate Leakage = 3.75578e-07 W
+ Runtime Dynamic = 0.0183629 W
+
+ FP Free List:
+ Area = 0.198929 mm^2
+ Peak Dynamic = 0.111293 W
+ Subthreshold Leakage = 8.61952e-06 W
+ Gate Leakage = 5.10875e-07 W
+ Runtime Dynamic = 0.0556467 W
+
+ Load Store Unit:
+ Area = 49.742 mm^2
+ Peak Dynamic = 11.7952 W
+ Subthreshold Leakage = 0.00715349 W
+ Gate Leakage = 0.00052778 W
+ Runtime Dynamic = 31.7658 W
+
+ Data Cache:
+ Area = 36.106 mm^2
+ Peak Dynamic = 9.28008 W
+ Subthreshold Leakage = 0.00663485 W
+ Gate Leakage = 0.000466572 W
+ Runtime Dynamic = 31.332 W
+
+ LoadQ:
+ Area = 2.60005 mm^2
+ Peak Dynamic = 0.578279 W
+ Subthreshold Leakage = 9.67302e-05 W
+ Gate Leakage = 5.59905e-06 W
+ Runtime Dynamic = 0.14457 W
+
+ StoreQ:
+ Area = 2.60005 mm^2
+ Peak Dynamic = 0.578279 W
+ Subthreshold Leakage = 9.67302e-05 W
+ Gate Leakage = 5.59905e-06 W
+ Runtime Dynamic = 0.289139 W
+
+ Memory Management Unit:
+ Area = 8.74543 mm^2
+ Peak Dynamic = 3.77198 W
+ Subthreshold Leakage = 0.00119904 W
+ Gate Leakage = 0.000127183 W
+ Runtime Dynamic = 4.82688 W
+
+ Itlb:
+ Area = 1.97969 mm^2
+ Peak Dynamic = 0.537563 W
+ Subthreshold Leakage = 0.000270576 W
+ Gate Leakage = 2.0845e-05 W
+ Runtime Dynamic = 1.07513 W
+
+ Dtlb:
+ Area = 6.71814 mm^2
+ Peak Dynamic = 1.87586 W
+ Subthreshold Leakage = 0.00060329 W
+ Gate Leakage = 5.63286e-05 W
+ Runtime Dynamic = 3.75174 W
+
+ Execution Unit:
+ Area = 31.4918 mm^2
+ Peak Dynamic = 22.6855 W
+ Subthreshold Leakage = 0.0320294 W
+ Gate Leakage = 0.00198102 W
+ Runtime Dynamic = 17.3997 W
+
+ Register Files:
+ Area = 9.9318 mm^2
+ Peak Dynamic = 3.92301 W
+ Subthreshold Leakage = 0.000295352 W
+ Gate Leakage = 1.33517e-05 W
+ Runtime Dynamic = 1.7929 W
+
+ Integer RF:
+ Area = 6.76678 mm^2
+ Peak Dynamic = 2.35597 W
+ Subthreshold Leakage = 0.000185762 W
+ Gate Leakage = 8.51701e-06 W
+ Runtime Dynamic = 1.60634 W
+
+ Floating Point RF:
+ Area = 3.16503 mm^2
+ Peak Dynamic = 1.56704 W
+ Subthreshold Leakage = 0.00010959 W
+ Gate Leakage = 4.83467e-06 W
+ Runtime Dynamic = 0.186553 W
+
+ Instruction Scheduler:
+ Area = 5.20691 mm^2
+ Peak Dynamic = 2.77224 W
+ Subthreshold Leakage = 0.000202187 W
+ Gate Leakage = 1.05832e-05 W
+ Runtime Dynamic = 3.11355 W
+
+ Instruction Window:
+ Area = 1.23862 mm^2
+ Peak Dynamic = 0.985117 W
+ Subthreshold Leakage = 5.55506e-05 W
+ Gate Leakage = 3.78978e-06 W
+ Runtime Dynamic = 1.23906 W
+
+ FP Instruction Window:
+ Area = 0.481718 mm^2
+ Peak Dynamic = 0.438839 W
+ Subthreshold Leakage = 2.5962e-05 W
+ Gate Leakage = 2.00351e-06 W
+ Runtime Dynamic = 0.526208 W
+
+ ROB:
+ Area = 3.48657 mm^2
+ Peak Dynamic = 1.34828 W
+ Subthreshold Leakage = 0.000120674 W
+ Gate Leakage = 4.78991e-06 W
+ Runtime Dynamic = 1.34828 W
+
+ Integer ALUs (Count: 4 ):
+ Area = 3.4944 mm^2
+ Peak Dynamic = 4.23312 W
+ Subthreshold Leakage = 0.016149 W
+ Gate Leakage = 0.000986885 W
+ Runtime Dynamic = 3.21343 W
+
+ Floating Point Units (FPUs) (Count: 1 ):
+ Area = 12.705 mm^2
+ Peak Dynamic = 3.52215 W
+ Subthreshold Leakage = 0.0146787 W
+ Gate Leakage = 0.000897034 W
+ Runtime Dynamic = 3.52215 W
+
+ Results Broadcast Bus:
+ Area Overhead = 0.106062 mm^2
+ Peak Dynamic = 6.87645 W
+ Subthreshold Leakage = 0.000378957 W
+ Gate Leakage = 2.31585e-05 W
+ Runtime Dynamic = 5.75766 W
+
+*****************************************************************************************
+L2
+ Area = 137.063 mm^2
+ Peak Dynamic = 3.55835 W
+ Subthreshold Leakage = 0.0778886 W
+ Gate Leakage = 0.00016078 W
+ Runtime Dynamic = 6.34872 W
+
+*****************************************************************************************
+Second Level Directory
+ Area = 1.59954 mm^2
+ Peak Dynamic = 0.805902 W
+ Subthreshold Leakage = 0.000311783 W
+ Gate Leakage = 2.63568e-05 W
+ Runtime Dynamic = 0.547665 W
+
+*****************************************************************************************
+Memory Controller:
+ Area = 9.12595 mm^2
+ Peak Dynamic = 4.16 W
+ Subthreshold Leakage = 0.00181177 W
+ Gate Leakage = 0.000111354 W
+ Runtime Dynamic = 1.80731 W
+
+ Front End Engine:
+ Area = 5.49326 mm^2
+ Peak Dynamic = 1.42883 W
+ Subthreshold Leakage = 0.000132955 W
+ Gate Leakage = 8.76015e-06 W
+ Runtime Dynamic = 0.348049 W
+
+ Transaction Engine:
+ Area = 1.50616 mm^2
+ Peak Dynamic = 1.93117 W
+ Subthreshold Leakage = 0.000696058 W
+ Gate Leakage = 4.25369e-05 W
+ Runtime Dynamic = 0.579332 W
+
+ PHY:
+ Area = 2.12653 mm^2
+ Peak Dynamic = 0.8 W
+ Subthreshold Leakage = 0.000982753 W
+ Gate Leakage = 6.00571e-05 W
+ Runtime Dynamic = 0.879928 W
+
+*****************************************************************************************
+NOC
+ Area = 29.1057 mm^2
+ Peak Dynamic = 16.5188 W
+ Subthreshold Leakage = 0.00292556 W
+ Gate Leakage = 0.000166293 W
+ Runtime Dynamic = 2.54446 W
+
+ Router:
+ Area = 28.4197 mm^2
+ Peak Dynamic = 8.76431 W
+ Subthreshold Leakage = 0.00199965 W
+ Gate Leakage = 0.000109709 W
+ Runtime Dynamic = 1.25204 W
+
+ Virtual Channel Buffer:
+ Area = 17.0424 mm^2
+ Peak Dynamic = 7.30291 W
+ Subthreshold Leakage = 0.00119658 W
+ Gate Leakage = 4.15511e-05 W
+ Runtime Dynamic = 1.04327 W
+
+ Crossbar:
+ Area = 0.357655 mm^2
+ Peak Dynamic = 1.27997 W
+ Subthreshold Leakage = 0.000801415 W
+ Gate Leakage = 6.80527e-05 W
+ Runtime Dynamic = 0.182853 W
+
+ Arbiter:
+ Peak Dynamic = 0.18143 W
+ Subthreshold Leakage = 1.65956e-06 W
+ Gate Leakage = 1.05559e-07 W
+ Runtime Dynamic = 0.0259186 W
+
+ Per Router :
+ Area = 0.685989 mm^2
+ Peak Dynamic = 7.75447 W
+ Subthreshold Leakage = 0.000925911 W
+ Gate Leakage = 5.65834e-05 W
+ Runtime Dynamic = 1.29241 W
+
+*****************************************************************************************
diff --git a/ext/mcpat/results/Alpha21364_90nm b/ext/mcpat/results/Alpha21364_90nm
new file mode 100644
index 000000000..2a97d7732
--- /dev/null
+++ b/ext/mcpat/results/Alpha21364_90nm
@@ -0,0 +1,408 @@
+McPAT (version 0.8 of Aug, 2010) is computing the target processor...
+
+Warning: icache array structure cannot satisfy latency constraint.
+Warning: dcache array structure cannot satisfy latency constraint.
+
+McPAT (version 0.8 of Aug, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 90 nm
+ Interconnect metal projection= aggressive interconnect technology projection
+ Core clock Rate(MHz) 1200
+
+*****************************************************************************************
+Processor:
+ Area = 139.86 mm^2
+ Peak Power = 34.9936 W
+ Total Leakage = 4.16949 W
+ Peak Dynamic = 30.8241 W
+ Subthreshold Leakage = 3.86203 W
+ Gate Leakage = 0.307463 W
+ Runtime Dynamic = 34.0612 W
+
+ Total Cores:
+ Device Type= ITRS high performance device type
+ Area = 61.1957 mm^2
+ Peak Dynamic = 19.6269 W
+ Subthreshold Leakage = 2.04452 W
+ Gate Leakage = 0.277429 W
+ Runtime Dynamic = 29.5972 W
+
+ Total L2s:
+ Device Type= ITRS high performance device type
+ Area = 62.2653 mm^2
+ Peak Dynamic = 1.42987 W
+ Subthreshold Leakage = 1.65481 W
+ Gate Leakage = 0.00860545 W
+ Runtime Dynamic = 2.73329 W
+
+ Total First Level Directory:
+ Device Type= ITRS high performance device type
+ Area = 0.533824 mm^2
+ Peak Dynamic = 0.275566 W
+ Subthreshold Leakage = 0.00929753 W
+ Gate Leakage = 0.00179126 W
+ Runtime Dynamic = 0.193681 W
+
+ Total NoCs (Network/Bus):
+ Device Type= ITRS high performance device type
+ Area = 8.77595 mm^2
+ Peak Dynamic = 6.17873 W
+ Subthreshold Leakage = 0.108357 W
+ Gate Leakage = 0.0139259 W
+ Runtime Dynamic = 0.963385 W
+
+ Total MCs:
+ Device Type= ITRS high performance device type
+ Area = 7.08925 mm^2
+ Peak Dynamic = 3.3131 W
+ Subthreshold Leakage = 0.0450389 W
+ Gate Leakage = 0.00571171 W
+ Runtime Dynamic = 0.573656 W
+
+*****************************************************************************************
+Core:
+ Area = 61.1957 mm^2
+ Peak Dynamic = 19.6269 W
+ Subthreshold Leakage = 2.04452 W
+ Gate Leakage = 0.277429 W
+ Runtime Dynamic = 29.5972 W
+
+ Instruction Fetch Unit:
+ Area = 7.40352 mm^2
+ Peak Dynamic = 2.10646 W
+ Subthreshold Leakage = 0.126581 W
+ Gate Leakage = 0.0150397 W
+ Runtime Dynamic = 2.55478 W
+
+ Instruction Cache:
+ Area = 5.01657 mm^2
+ Peak Dynamic = 0.745807 W
+ Subthreshold Leakage = 0.0906167 W
+ Gate Leakage = 0.010922 W
+ Runtime Dynamic = 1.22193 W
+
+ Branch Target Buffer:
+ Area = 1.63475 mm^2
+ Peak Dynamic = 0.0974373 W
+ Subthreshold Leakage = 0.0188281 W
+ Gate Leakage = 0.00126965 W
+ Runtime Dynamic = 0.389749 W
+
+ Branch Predictor:
+ Area = 0.474272 mm^2
+ Peak Dynamic = 0.0682449 W
+ Subthreshold Leakage = 0.00901262 W
+ Gate Leakage = 0.00067136 W
+ Runtime Dynamic = 0.0636543 W
+
+ Global Predictor:
+ Area = 0.190297 mm^2
+ Peak Dynamic = 0.0224229 W
+ Subthreshold Leakage = 0.00351842 W
+ Gate Leakage = 0.000260107 W
+ Runtime Dynamic = 0.0239711 W
+
+ Local Predictor:
+ Area = 0.0959237 mm^2
+ Peak Dynamic = 0.0143301 W
+ Subthreshold Leakage = 0.00171829 W
+ Gate Leakage = 0.00012889 W
+ Runtime Dynamic = 0.015711 W
+
+ Area = 0.0484908 mm^2
+ Peak Dynamic = 0.0077514 W
+ Subthreshold Leakage = 0.000926283 W
+ Gate Leakage = 7.55051e-05 W
+ Runtime Dynamic = 0.00850163 W
+
+ Chooser:
+ Area = 0.190297 mm^2
+ Peak Dynamic = 0.0224229 W
+ Subthreshold Leakage = 0.00351842 W
+ Gate Leakage = 0.000260107 W
+ Runtime Dynamic = 0.0239711 W
+
+ RAS:
+ Area = 0.0451868 mm^2
+ Peak Dynamic = 0.00906891 W
+ Subthreshold Leakage = 0.00025749 W
+ Gate Leakage = 2.22565e-05 W
+ Runtime Dynamic = 1.06361e-06 W
+
+ Instruction Buffer:
+ Area = 0.11139 mm^2
+ Peak Dynamic = 0.30298 W
+ Subthreshold Leakage = 0.000556928 W
+ Gate Leakage = 4.34124e-05 W
+ Runtime Dynamic = 0.201987 W
+
+ Instruction Decoder:
+ Area = 0.0481902 mm^2
+ Peak Dynamic = 0.677465 W
+ Subthreshold Leakage = 0.00135195 W
+ Gate Leakage = 0.000132907 W
+ Runtime Dynamic = 0.677465 W
+
+ Renaming Unit:
+ Area = 4.5037 mm^2
+ Peak Dynamic = 4.11785 W
+ Subthreshold Leakage = 0.0296009 W
+ Gate Leakage = 0.00668098 W
+ Runtime Dynamic = 3.24944 W
+
+ Int Front End RAT:
+ Area = 2.76467 mm^2
+ Peak Dynamic = 2.43279 W
+ Subthreshold Leakage = 0.0129405 W
+ Gate Leakage = 0.00255854 W
+ Runtime Dynamic = 2.43279 W
+
+ FP Front End RAT:
+ Area = 1.39233 mm^2
+ Peak Dynamic = 1.35403 W
+ Subthreshold Leakage = 0.00981219 W
+ Gate Leakage = 0.00205621 W
+ Runtime Dynamic = 0.677017 W
+
+ Free List:
+ Area = 0.116928 mm^2
+ Peak Dynamic = 0.0436483 W
+ Subthreshold Leakage = 0.000259915 W
+ Gate Leakage = 2.53395e-05 W
+ Runtime Dynamic = 0.0872966 W
+
+ Int Retire RAT:
+ Area = 0.0429772 mm^2
+ Peak Dynamic = 0.0318091 W
+ Subthreshold Leakage = 0.000152798 W
+ Gate Leakage = 1.86722e-05 W
+ Runtime Dynamic = 0.0318091 W
+
+ FP Retire RAT:
+ Area = 0.0153516 mm^2
+ Peak Dynamic = 0.00997874 W
+ Subthreshold Leakage = 8.06509e-05 W
+ Gate Leakage = 7.17049e-06 W
+ Runtime Dynamic = 0.00498937 W
+
+ FP Free List:
+ Area = 0.0530951 mm^2
+ Peak Dynamic = 0.0310624 W
+ Subthreshold Leakage = 0.000140326 W
+ Gate Leakage = 1.46766e-05 W
+ Runtime Dynamic = 0.0155312 W
+
+ Load Store Unit:
+ Area = 20.5622 mm^2
+ Peak Dynamic = 5.14439 W
+ Subthreshold Leakage = 0.207699 W
+ Gate Leakage = 0.0357344 W
+ Runtime Dynamic = 16.0217 W
+
+ Data Cache:
+ Area = 15.2468 mm^2
+ Peak Dynamic = 4.5468 W
+ Subthreshold Leakage = 0.19694 W
+ Gate Leakage = 0.0331746 W
+ Runtime Dynamic = 15.8781 W
+
+ LoadQ:
+ Area = 0.863734 mm^2
+ Peak Dynamic = 0.191536 W
+ Subthreshold Leakage = 0.00227213 W
+ Gate Leakage = 0.000279753 W
+ Runtime Dynamic = 0.047884 W
+
+ StoreQ:
+ Area = 0.863734 mm^2
+ Peak Dynamic = 0.191536 W
+ Subthreshold Leakage = 0.00227213 W
+ Gate Leakage = 0.000279753 W
+ Runtime Dynamic = 0.0957681 W
+
+ Memory Management Unit:
+ Area = 3.49533 mm^2
+ Peak Dynamic = 1.34391 W
+ Subthreshold Leakage = 0.0412098 W
+ Gate Leakage = 0.00931467 W
+ Runtime Dynamic = 2.25879 W
+
+ Itlb:
+ Area = 1.12903 mm^2
+ Peak Dynamic = 0.425717 W
+ Subthreshold Leakage = 0.0152632 W
+ Gate Leakage = 0.00308734 W
+ Runtime Dynamic = 0.851444 W
+
+ Dtlb:
+ Area = 2.24796 mm^2
+ Peak Dynamic = 0.703668 W
+ Subthreshold Leakage = 0.0197321 W
+ Gate Leakage = 0.00422696 W
+ Runtime Dynamic = 1.40735 W
+
+ Execution Unit:
+ Area = 18.9802 mm^2
+ Peak Dynamic = 6.91426 W
+ Subthreshold Leakage = 1.01207 W
+ Gate Leakage = 0.130415 W
+ Runtime Dynamic = 5.51245 W
+
+ Register Files:
+ Area = 4.63431 mm^2
+ Peak Dynamic = 1.07973 W
+ Subthreshold Leakage = 0.00557121 W
+ Gate Leakage = 0.000534421 W
+ Runtime Dynamic = 0.491409 W
+
+ Integer RF:
+ Area = 3.11444 mm^2
+ Peak Dynamic = 0.64479 W
+ Subthreshold Leakage = 0.00348926 W
+ Gate Leakage = 0.000338898 W
+ Runtime Dynamic = 0.43963 W
+
+ Floating Point RF:
+ Area = 1.51987 mm^2
+ Peak Dynamic = 0.434944 W
+ Subthreshold Leakage = 0.00208194 W
+ Gate Leakage = 0.000195523 W
+ Runtime Dynamic = 0.051779 W
+
+ Instruction Scheduler:
+ Area = 2.2958 mm^2
+ Peak Dynamic = 0.682653 W
+ Subthreshold Leakage = 0.0043779 W
+ Gate Leakage = 0.000496354 W
+ Runtime Dynamic = 0.783433 W
+
+ Instruction Window:
+ Area = 0.416485 mm^2
+ Peak Dynamic = 0.230852 W
+ Subthreshold Leakage = 0.001531 W
+ Gate Leakage = 0.000214549 W
+ Runtime Dynamic = 0.308242 W
+
+ FP Instruction Window:
+ Area = 0.160067 mm^2
+ Peak Dynamic = 0.0899719 W
+ Subthreshold Leakage = 0.000573841 W
+ Gate Leakage = 9.08104e-05 W
+ Runtime Dynamic = 0.113361 W
+
+ ROB:
+ Area = 1.71925 mm^2
+ Peak Dynamic = 0.361829 W
+ Subthreshold Leakage = 0.00227307 W
+ Gate Leakage = 0.000190995 W
+ Runtime Dynamic = 0.361829 W
+
+ Integer ALUs (Count: 4 ):
+ Area = 2.56256 mm^2
+ Peak Dynamic = 1.45952 W
+ Subthreshold Leakage = 0.514377 W
+ Gate Leakage = 0.0657924 W
+ Runtime Dynamic = 1.12031 W
+
+ Floating Point Units (FPUs) (Count: 1 ):
+ Area = 9.317 mm^2
+ Peak Dynamic = 1.32571 W
+ Subthreshold Leakage = 0.467545 W
+ Gate Leakage = 0.0598023 W
+ Runtime Dynamic = 1.32571 W
+
+ Results Broadcast Bus:
+ Area Overhead = 0.0521609 mm^2
+ Peak Dynamic = 2.15212 W
+ Subthreshold Leakage = 0.0139887 W
+ Gate Leakage = 0.00178925 W
+ Runtime Dynamic = 1.79159 W
+
+*****************************************************************************************
+L2
+ Area = 62.2653 mm^2
+ Peak Dynamic = 1.42987 W
+ Subthreshold Leakage = 1.65481 W
+ Gate Leakage = 0.00860545 W
+ Runtime Dynamic = 2.73329 W
+
+*****************************************************************************************
+Second Level Directory
+ Area = 0.533824 mm^2
+ Peak Dynamic = 0.275566 W
+ Subthreshold Leakage = 0.00929753 W
+ Gate Leakage = 0.00179126 W
+ Runtime Dynamic = 0.193681 W
+
+*****************************************************************************************
+Memory Controller:
+ Area = 3.54463 mm^2
+ Peak Dynamic = 1.65655 W
+ Subthreshold Leakage = 0.0225194 W
+ Gate Leakage = 0.00285586 W
+ Runtime Dynamic = 0.573656 W
+
+ Front End Engine:
+ Area = 1.72828 mm^2
+ Peak Dynamic = 0.389588 W
+ Subthreshold Leakage = 0.00246696 W
+ Gate Leakage = 0.000291005 W
+ Runtime Dynamic = 0.0911898 W
+
+ Transaction Engine:
+ Area = 0.75308 mm^2
+ Peak Dynamic = 1.13896 W
+ Subthreshold Leakage = 0.00831402 W
+ Gate Leakage = 0.00106342 W
+ Runtime Dynamic = 0.341678 W
+
+ PHY:
+ Area = 1.06326 mm^2
+ Peak Dynamic = 0.128 W
+ Subthreshold Leakage = 0.0117384 W
+ Gate Leakage = 0.00150143 W
+ Runtime Dynamic = 0.140788 W
+
+*****************************************************************************************
+NOC
+ Area = 8.77595 mm^2
+ Peak Dynamic = 6.17873 W
+ Subthreshold Leakage = 0.108357 W
+ Gate Leakage = 0.0139259 W
+ Runtime Dynamic = 0.963385 W
+
+ Router:
+ Area = 8.3047 mm^2
+ Peak Dynamic = 2.78895 W
+ Subthreshold Leakage = 0.0606175 W
+ Gate Leakage = 0.00781974 W
+ Runtime Dynamic = 0.398421 W
+
+ Virtual Channel Buffer:
+ Area = 4.2978 mm^2
+ Peak Dynamic = 2.31409 W
+ Subthreshold Leakage = 0.028002 W
+ Gate Leakage = 0.00227471 W
+ Runtime Dynamic = 0.330584 W
+
+ Crossbar:
+ Area = 0.160538 mm^2
+ Peak Dynamic = 0.437862 W
+ Subthreshold Leakage = 0.0325996 W
+ Gate Leakage = 0.00554292 W
+ Runtime Dynamic = 0.0625517 W
+
+ Arbiter:
+ Peak Dynamic = 0.0370018 W
+ Subthreshold Leakage = 1.5858e-05 W
+ Gate Leakage = 2.11117e-06 W
+ Runtime Dynamic = 0.00528597 W
+
+ Per Router Links:
+ Area = 0.471256 mm^2
+ Peak Dynamic = 3.38978 W
+ Subthreshold Leakage = 0.0477391 W
+ Gate Leakage = 0.00610616 W
+ Runtime Dynamic = 0.564963 W
+
+*****************************************************************************************
diff --git a/ext/mcpat/results/Penryn b/ext/mcpat/results/Penryn
new file mode 100644
index 000000000..af39390d1
--- /dev/null
+++ b/ext/mcpat/results/Penryn
@@ -0,0 +1,315 @@
+McPAT (version 0.8 of Aug, 2010) is computing the target processor...
+
+
+McPAT (version 0.8 of Aug, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 45 nm
+ Using Long Channel Devices When Appropriate
+ Interconnect metal projection= aggressive interconnect technology projection
+ Core clock Rate(MHz) 3700
+
+*****************************************************************************************
+Processor:
+ Area = 92.2661 mm^2
+ Peak Power = 61.0228 W
+ Total Leakage = 10.8609 W
+ Peak Dynamic = 50.1619 W
+ Subthreshold Leakage = 10.2773 W
+ Gate Leakage = 0.583567 W
+ Runtime Dynamic = 69.6347 W
+
+ Total Cores: 2 cores
+ Device Type= ITRS high performance device type
+ Area = 48.2438 mm^2
+ Peak Dynamic = 39.6676 W
+ Subthreshold Leakage = 6.96165 W
+ Gate Leakage = 0.541077 W
+ Runtime Dynamic = 51.4987 W
+
+ Total L2s:
+ Device Type= ITRS high performance device type
+ Area = 43.1009 mm^2
+ Peak Dynamic = 6.43272 W
+ Subthreshold Leakage = 3.28049 W
+ Gate Leakage = 0.0386655 W
+ Runtime Dynamic = 13.716 W
+
+ Total NoCs (Network/Bus):
+ Device Type= ITRS high performance device type
+ Area = 0.921404 mm^2
+ Peak Dynamic = 4.06164 W
+ Subthreshold Leakage = 0.035183 W
+ Gate Leakage = 0.00382481 W
+ Runtime Dynamic = 4.42002 W
+
+*****************************************************************************************
+Core:
+ Area = 24.1219 mm^2
+ Peak Dynamic = 19.8338 W
+ Subthreshold Leakage = 3.48083 W
+ Gate Leakage = 0.270538 W
+ Runtime Dynamic = 51.4987 W
+
+ Instruction Fetch Unit:
+ Area = 3.13582 mm^2
+ Peak Dynamic = 2.49774 W
+ Subthreshold Leakage = 0.421089 W
+ Gate Leakage = 0.0246791 W
+ Runtime Dynamic = 2.42869 W
+
+ Instruction Cache:
+ Area = 0.702441 mm^2
+ Peak Dynamic = 0.419702 W
+ Subthreshold Leakage = 0.0413175 W
+ Gate Leakage = 0.00175164 W
+ Runtime Dynamic = 0.487111 W
+
+ Branch Target Buffer:
+ Area = 0.349484 mm^2
+ Peak Dynamic = 0.0903353 W
+ Subthreshold Leakage = 0.0243658 W
+ Gate Leakage = 0.000966387 W
+ Runtime Dynamic = 0.361341 W
+
+ Branch Predictor:
+ Area = 0.153017 mm^2
+ Peak Dynamic = 0.0718712 W
+ Subthreshold Leakage = 0.0142615 W
+ Gate Leakage = 0.000619154 W
+ Runtime Dynamic = 0.0647272 W
+
+ Global Predictor:
+ Area = 0.0475693 mm^2
+ Peak Dynamic = 0.0231158 W
+ Subthreshold Leakage = 0.00544747 W
+ Gate Leakage = 0.000234591 W
+ Runtime Dynamic = 0.0245764 W
+
+ Local Predictor:
+ L1_Local Predictor:
+ Area = 0.0239764 mm^2
+ Peak Dynamic = 0.0142817 W
+ Subthreshold Leakage = 0.00265926 W
+ Gate Leakage = 0.00011608 W
+ Runtime Dynamic = 0.0155731 W
+
+ L2_Local Predictor:
+ Area = 0.012121 mm^2
+ Peak Dynamic = 0.00767395 W
+ Subthreshold Leakage = 0.00143248 W
+ Gate Leakage = 6.77717e-05 W
+ Runtime Dynamic = 0.00837399 W
+
+ Chooser:
+ Area = 0.0475693 mm^2
+ Peak Dynamic = 0.0231158 W
+ Subthreshold Leakage = 0.00544747 W
+ Gate Leakage = 0.000234591 W
+ Runtime Dynamic = 0.0245764 W
+
+ RAS:
+ Area = 0.0217815 mm^2
+ Peak Dynamic = 0.0113578 W
+ Subthreshold Leakage = 0.000707258 W
+ Gate Leakage = 3.38921e-05 W
+ Runtime Dynamic = 1.2459e-06 W
+
+ Instruction Buffer:
+ Area = 0.0278406 mm^2
+ Peak Dynamic = 0.282368 W
+ Subthreshold Leakage = 0.000861686 W
+ Gate Leakage = 3.91839e-05 W
+ Runtime Dynamic = 0.188245 W
+
+ Instruction Decoder:
+ Area = 1.85799 mm^2
+ Peak Dynamic = 1.32726 W
+ Subthreshold Leakage = 0.325606 W
+ Gate Leakage = 0.0185411 W
+ Runtime Dynamic = 1.32726 W
+
+ Renaming Unit:
+ Area = 1.02517 mm^2
+ Peak Dynamic = 2.25746 W
+ Subthreshold Leakage = 0.042129 W
+ Gate Leakage = 0.00480502 W
+ Runtime Dynamic = 1.55315 W
+
+ Int Front End RAT:
+ Area = 0.59725 mm^2
+ Peak Dynamic = 1.25286 W
+ Subthreshold Leakage = 0.0159587 W
+ Gate Leakage = 0.00122436 W
+ Runtime Dynamic = 1.11309 W
+
+ FP Front End RAT:
+ Area = 0.350662 mm^2
+ Peak Dynamic = 0.652971 W
+ Subthreshold Leakage = 0.0110219 W
+ Gate Leakage = 0.00079321 W
+ Runtime Dynamic = 0.326485 W
+
+ Free List:
+ Area = 0.0322035 mm^2
+ Peak Dynamic = 0.0454309 W
+ Subthreshold Leakage = 0.000471802 W
+ Gate Leakage = 2.57995e-05 W
+ Runtime Dynamic = 0.113577 W
+
+ Load Store Unit:
+ Area = 7.24152 mm^2
+ Peak Dynamic = 6.57278 W
+ Subthreshold Leakage = 0.310798 W
+ Gate Leakage = 0.0358085 W
+ Runtime Dynamic = 34.9208 W
+
+ Data Cache:
+ Area = 4.65034 mm^2
+ Peak Dynamic = 5.03369 W
+ Subthreshold Leakage = 0.237004 W
+ Gate Leakage = 0.0253255 W
+ Runtime Dynamic = 33.601 W
+
+ LoadQ:
+ Area = 0.260806 mm^2
+ Peak Dynamic = 0.132332 W
+ Subthreshold Leakage = 0.00523814 W
+ Gate Leakage = 0.000359005 W
+ Runtime Dynamic = 0.0661662 W
+
+ StoreQ:
+ Area = 1.06006 mm^2
+ Peak Dynamic = 1.25365 W
+ Subthreshold Leakage = 0.0538794 W
+ Gate Leakage = 0.00736236 W
+ Runtime Dynamic = 1.25365 W
+
+ Memory Management Unit:
+ Area = 0.363299 mm^2
+ Peak Dynamic = 0.610831 W
+ Subthreshold Leakage = 0.0388017 W
+ Gate Leakage = 0.00431691 W
+ Runtime Dynamic = 1.29234 W
+
+ Itlb:
+ Area = 0.0590462 mm^2
+ Peak Dynamic = 0.116192 W
+ Subthreshold Leakage = 0.00608044 W
+ Gate Leakage = 0.000398475 W
+ Runtime Dynamic = 0.232386 W
+
+ Dtlb:
+ Area = 0.259199 mm^2
+ Peak Dynamic = 0.264986 W
+ Subthreshold Leakage = 0.0180446 W
+ Gate Leakage = 0.00115678 W
+ Runtime Dynamic = 1.05995 W
+
+ Execution Unit:
+ Area = 7.9594 mm^2
+ Peak Dynamic = 7.89497 W
+ Subthreshold Leakage = 1.28761 W
+ Gate Leakage = 0.0977152 W
+ Runtime Dynamic = 11.3037 W
+
+ Register Files:
+ Area = 0.528076 mm^2
+ Peak Dynamic = 0.554172 W
+ Subthreshold Leakage = 0.00459231 W
+ Gate Leakage = 0.000305031 W
+ Runtime Dynamic = 0.283985 W
+
+ Integer RF:
+ Area = 0.336446 mm^2
+ Peak Dynamic = 0.461344 W
+ Subthreshold Leakage = 0.00257976 W
+ Gate Leakage = 0.00018025 W
+ Runtime Dynamic = 0.247149 W
+
+ Floating Point RF:
+ Area = 0.19163 mm^2
+ Peak Dynamic = 0.0928276 W
+ Subthreshold Leakage = 0.00201255 W
+ Gate Leakage = 0.000124781 W
+ Runtime Dynamic = 0.0368364 W
+
+ Instruction Scheduler:
+ Area = 1.97424 mm^2
+ Peak Dynamic = 1.76421 W
+ Subthreshold Leakage = 0.0212898 W
+ Gate Leakage = 0.0014052 W
+ Runtime Dynamic = 1.96388 W
+
+ Instruction Window:
+ Area = 0.889691 mm^2
+ Peak Dynamic = 0.468182 W
+ Subthreshold Leakage = 0.0081033 W
+ Gate Leakage = 0.000620258 W
+ Runtime Dynamic = 0.601258 W
+
+ FP Instruction Window:
+ Area = 0.347423 mm^2
+ Peak Dynamic = 0.230453 W
+ Subthreshold Leakage = 0.00381664 W
+ Gate Leakage = 0.000293336 W
+ Runtime Dynamic = 0.29704 W
+
+ ROB:
+ Area = 0.737129 mm^2
+ Peak Dynamic = 1.06558 W
+ Subthreshold Leakage = 0.00936988 W
+ Gate Leakage = 0.000491606 W
+ Runtime Dynamic = 1.06558 W
+
+ Integer ALUs (Count: 6 ):
+ Area = 0.47087 mm^2
+ Peak Dynamic = 2.2206 W
+ Subthreshold Leakage = 0.295671 W
+ Gate Leakage = 0.0221076 W
+ Runtime Dynamic = 1.14549 W
+
+ Floating Point Units (FPUs) (Count: 2 ):
+ Area = 4.6585 mm^2
+ Peak Dynamic = 0.708407 W
+ Subthreshold Leakage = 0.731296 W
+ Gate Leakage = 0.0546797 W
+ Runtime Dynamic = 1.28625 W
+
+ Complex ALUs (Mul/Div) (Count: 1 ):
+ Area = 0.235435 mm^2
+ Peak Dynamic = 0.257249 W
+ Subthreshold Leakage = 0.147835 W
+ Gate Leakage = 0.0110538 W
+ Runtime Dynamic = 1.57424 W
+
+ Results Broadcast Bus:
+ Area Overhead = 0.0472187 mm^2
+ Peak Dynamic = 2.08413 W
+ Subthreshold Leakage = 0.0722513 W
+ Gate Leakage = 0.00540229 W
+ Runtime Dynamic = 5.04986 W
+
+*****************************************************************************************
+L2
+ Area = 43.1009 mm^2
+ Peak Dynamic = 6.43272 W
+ Subthreshold Leakage = 3.28049 W
+ Gate Leakage = 0.0386655 W
+ Runtime Dynamic = 13.716 W
+
+*****************************************************************************************
+BUSES
+ Area = 0.921404 mm^2
+ Peak Dynamic = 4.06164 W
+ Subthreshold Leakage = 0.035183 W
+ Gate Leakage = 0.00382481 W
+ Runtime Dynamic = 4.42002 W
+
+ Bus:
+ Area = 0.921404 mm^2
+ Peak Dynamic = 4.06164 W
+ Subthreshold Leakage = 0.035183 W
+ Gate Leakage = 0.00382481 W
+ Runtime Dynamic = 4.42002 W
+
+*****************************************************************************************
diff --git a/ext/mcpat/results/T1 b/ext/mcpat/results/T1
new file mode 100644
index 000000000..f63e51c81
--- /dev/null
+++ b/ext/mcpat/results/T1
@@ -0,0 +1,296 @@
+McPAT (version 0.8 of Aug, 2010) is computing the target processor...
+
+
+McPAT (version 0.8 of Aug, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 90 nm
+ Using Long Channel Devices When Appropriate
+ Interconnect metal projection= aggressive interconnect technology projection
+ Core clock Rate(MHz) 1200
+
+*****************************************************************************************
+Processor:
+ Area = 283.287 mm^2
+ Peak Power = 55.0318 W
+ Total Leakage = 9.78078 W
+ Peak Dynamic = 45.2511 W
+ Subthreshold Leakage = 8.64906 W
+ Gate Leakage = 1.13172 W
+ Runtime Dynamic = 45.5013 W
+
+ Total Cores:
+ Device Type= ITRS high performance device type
+ Area = 117.887 mm^2
+ Peak Dynamic = 28.1307 W
+ Subthreshold Leakage = 5.19354 W
+ Gate Leakage = 0.730037 W
+ Runtime Dynamic = 18.917 W
+
+ Total L2s:
+ Device Type= ITRS high performance device type
+ Area = 116.308 mm^2
+ Peak Dynamic = 5.51367 W
+ Subthreshold Leakage = 2.41316 W
+ Gate Leakage = 0.242513 W
+ Runtime Dynamic = 4.00707 W
+
+ Total First Level Directory:
+ Device Type= ITRS high performance device type
+ Area = 8.77473 mm^2
+ Peak Dynamic = 3.38588 W
+ Subthreshold Leakage = 0.224524 W
+ Gate Leakage = 0.0320801 W
+ Runtime Dynamic = 15.1158 W
+
+ Total NoCs (Network/Bus):
+ Device Type= ITRS high performance device type
+ Area = 8.87598 mm^2
+ Peak Dynamic = 3.67515 W
+ Subthreshold Leakage = 0.488892 W
+ Gate Leakage = 0.0852308 W
+ Runtime Dynamic = 2.20509 W
+
+ Total MCs:
+ Device Type= ITRS high performance device type
+ Area = 31.441 mm^2
+ Peak Dynamic = 4.5457 W
+ Subthreshold Leakage = 0.328953 W
+ Gate Leakage = 0.0418558 W
+ Runtime Dynamic = 5.25637 W
+
+*****************************************************************************************
+Core:
+ Area = 14.7359 mm^2
+ Peak Dynamic = 3.51633 W
+ Subthreshold Leakage = 0.649192 W
+ Gate Leakage = 0.0912546 W
+ Runtime Dynamic = 18.917 W
+
+ Instruction Fetch Unit:
+ Area = 3.60967 mm^2
+ Peak Dynamic = 0.560912 W
+ Subthreshold Leakage = 0.0396492 W
+ Gate Leakage = 0.00709504 W
+ Runtime Dynamic = 3.76593 W
+
+ Instruction Cache:
+ Area = 3.41818 mm^2
+ Peak Dynamic = 0.308492 W
+ Subthreshold Leakage = 0.0286475 W
+ Gate Leakage = 0.00418329 W
+ Runtime Dynamic = 0.95332 W
+
+ Instruction Buffer:
+ Area = 0.0122742 mm^2
+ Peak Dynamic = 0.0121268 W
+ Subthreshold Leakage = 0.0002042 W
+ Gate Leakage = 1.78658e-05 W
+ Runtime Dynamic = 0.0970143 W
+
+ Instruction Decoder:
+ Area = 0.0229327 mm^2
+ Peak Dynamic = 0.169467 W
+ Subthreshold Leakage = 0.00259055 W
+ Gate Leakage = 0.000252139 W
+ Runtime Dynamic = 1.35574 W
+
+ Load Store Unit:
+ Area = 3.07616 mm^2
+ Peak Dynamic = 0.390349 W
+ Subthreshold Leakage = 0.0362126 W
+ Gate Leakage = 0.00713432 W
+ Runtime Dynamic = 3.85623 W
+
+ Data Cache:
+ Area = 1.47986 mm^2
+ Peak Dynamic = 0.191211 W
+ Subthreshold Leakage = 0.0157454 W
+ Gate Leakage = 0.00208738 W
+ Runtime Dynamic = 0.443377 W
+
+ Load/Store Queue:
+ Area = 1.17458 mm^2
+ Peak Dynamic = 0.128312 W
+ Subthreshold Leakage = 0.0122603 W
+ Gate Leakage = 0.0024052 W
+ Runtime Dynamic = 2.05299 W
+
+ Memory Management Unit:
+ Area = 1.27751 mm^2
+ Peak Dynamic = 0.324071 W
+ Subthreshold Leakage = 0.0192968 W
+ Gate Leakage = 0.0049902 W
+ Runtime Dynamic = 2.53591 W
+
+ Itlb:
+ Area = 0.560615 mm^2
+ Peak Dynamic = 0.117604 W
+ Subthreshold Leakage = 0.00554488 W
+ Gate Leakage = 0.00117423 W
+ Runtime Dynamic = 0.940838 W
+
+ Dtlb:
+ Area = 0.560615 mm^2
+ Peak Dynamic = 0.0294011 W
+ Subthreshold Leakage = 0.00554488 W
+ Gate Leakage = 0.00117423 W
+ Runtime Dynamic = 0.235211 W
+
+ Execution Unit:
+ Area = 3.47025 mm^2
+ Peak Dynamic = 2.241 W
+ Subthreshold Leakage = 0.222601 W
+ Gate Leakage = 0.0296426 W
+ Runtime Dynamic = 8.75894 W
+
+ Register Files:
+ Area = 1.38355 mm^2
+ Peak Dynamic = 0.0746572 W
+ Subthreshold Leakage = 0.00827136 W
+ Gate Leakage = 0.000628178 W
+ Runtime Dynamic = 0.320633 W
+
+ Integer RF:
+ Area = 0.592652 mm^2
+ Peak Dynamic = 0.0582404 W
+ Subthreshold Leakage = 0.00161128 W
+ Gate Leakage = 0.000148771 W
+ Runtime Dynamic = 0.312722 W
+
+ Floating Point RF:
+ Area = 0.592652 mm^2
+ Peak Dynamic = 0.0164168 W
+ Subthreshold Leakage = 0.00161128 W
+ Gate Leakage = 0.000148771 W
+ Runtime Dynamic = 0.00783962 W
+
+ Register Windows:
+ Area = 0.198243 mm^2
+ Peak Dynamic = 0 W
+ Subthreshold Leakage = 0.00504879 W
+ Gate Leakage = 0.000330636 W
+ Runtime Dynamic = 7.11291e-05 W
+
+ Instruction Scheduler:
+ Area = 0.04377 mm^2
+ Peak Dynamic = 0.0284368 W
+ Subthreshold Leakage = 0.000336066 W
+ Gate Leakage = 5.10703e-05 W
+ Runtime Dynamic = 0.244528 W
+
+ Instruction Window:
+ Area = 0.04377 mm^2
+ Peak Dynamic = 0.0284368 W
+ Subthreshold Leakage = 0.000336066 W
+ Gate Leakage = 5.10703e-05 W
+ Runtime Dynamic = 0.244528 W
+
+ Integer ALUs (Count: 1 ):
+ Area = 0.16016 mm^2
+ Peak Dynamic = 0.305285 W
+ Subthreshold Leakage = 0.0321485 W
+ Gate Leakage = 0.00411202 W
+ Runtime Dynamic = 2.71365 W
+
+ Floating Point Units (FPUs) (Count: 0.125 ):
+ Area = 1.16463 mm^2
+ Peak Dynamic = 0.0508808 W
+ Subthreshold Leakage = 0.0584431 W
+ Gate Leakage = 0.00747528 W
+ Runtime Dynamic = 0.101762 W
+
+ Complex ALUs (Mul/Div) (Count: 1 ):
+ Area = 0.48048 mm^2
+ Peak Dynamic = 0.339206 W
+ Subthreshold Leakage = 0.0964456 W
+ Gate Leakage = 0.0123361 W
+ Runtime Dynamic = 0.678411 W
+
+ Results Broadcast Bus:
+ Area Overhead = 0.0813807 mm^2
+ Peak Dynamic = 1.18756 W
+ Subthreshold Leakage = 0.0187498 W
+ Gate Leakage = 0.00239823 W
+ Runtime Dynamic = 3.3401 W
+
+*****************************************************************************************
+L2
+ Area = 29.0771 mm^2
+ Peak Dynamic = 1.37842 W
+ Subthreshold Leakage = 0.603289 W
+ Gate Leakage = 0.0606283 W
+ Runtime Dynamic = 4.00707 W
+
+*****************************************************************************************
+First Level Directory
+ Area = 2.19368 mm^2
+ Peak Dynamic = 0.84647 W
+ Subthreshold Leakage = 0.0561311 W
+ Gate Leakage = 0.00802003 W
+ Runtime Dynamic = 15.1158 W
+
+*****************************************************************************************
+Memory Controller:
+ Area = 7.86025 mm^2
+ Peak Dynamic = 1.13642 W
+ Subthreshold Leakage = 0.0822383 W
+ Gate Leakage = 0.0104639 W
+ Runtime Dynamic = 5.25637 W
+
+ Front End Engine:
+ Area = 0.63078 mm^2
+ Peak Dynamic = 0.0549429 W
+ Subthreshold Leakage = 0.00242476 W
+ Gate Leakage = 0.00025524 W
+ Runtime Dynamic = 0.241753 W
+
+ Transaction Engine:
+ Area = 2.59502 mm^2
+ Peak Dynamic = 0.569482 W
+ Subthreshold Leakage = 0.0286491 W
+ Gate Leakage = 0.00366442 W
+ Runtime Dynamic = 2.50577 W
+
+ PHY:
+ Area = 4.63445 mm^2
+ Peak Dynamic = 0.512 W
+ Subthreshold Leakage = 0.0511644 W
+ Gate Leakage = 0.00654429 W
+ Runtime Dynamic = 2.50885 W
+
+*****************************************************************************************
+NOC
+ Area = 8.87598 mm^2
+ Peak Dynamic = 3.67515 W
+ Subthreshold Leakage = 0.488892 W
+ Gate Leakage = 0.0852308 W
+ Runtime Dynamic = 2.20509 W
+
+ Router:
+ Area = 4.43799 mm^2
+ Peak Dynamic = 1.83757 W
+ Subthreshold Leakage = 0.244446 W
+ Gate Leakage = 0.0426154 W
+ Runtime Dynamic = 2.20509 W
+
+ Virtual Channel Buffer:
+ Area = 1.22928 mm^2
+ Peak Dynamic = 0.0508654 W
+ Subthreshold Leakage = 0.000485491 W
+ Gate Leakage = 7.24213e-05 W
+ Runtime Dynamic = 0.0610385 W
+
+ Crossbar:
+ Area = 1.35717 mm^2
+ Peak Dynamic = 1.77185 W
+ Subthreshold Leakage = 0.243949 W
+ Gate Leakage = 0.0425414 W
+ Runtime Dynamic = 2.12622 W
+
+ Arbiter:
+ Peak Dynamic = 0.0148566 W
+ Subthreshold Leakage = 1.15783e-05 W
+ Gate Leakage = 1.54103e-06 W
+ Runtime Dynamic = 0.0178279 W
+
+*****************************************************************************************
diff --git a/ext/mcpat/results/T1_DC_64 b/ext/mcpat/results/T1_DC_64
new file mode 100644
index 000000000..cdb0a1b3c
--- /dev/null
+++ b/ext/mcpat/results/T1_DC_64
@@ -0,0 +1,270 @@
+McPAT (version 0.8 of Aug, 2010) is computing the target processor...
+
+line64
+size1.04858e+06
+line9
+size1.04858e+06
+
+McPAT (version 0.8 of Aug, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 22 nm
+ Using Long Channel Devices When Appropriate
+ Interconnect metal projection= aggressive interconnect technology projection
+ Core clock Rate(MHz) 3500
+
+*****************************************************************************************
+Processor:
+ Area = 322.362 mm^2
+ Peak Power = 112.557 W
+ Total Leakage = 28.0714 W
+ Peak Dynamic = 84.4853 W
+ Subthreshold Leakage = 27.7571 W
+ Gate Leakage = 0.314289 W
+ Runtime Dynamic = 13.4278 W
+
+ Total Cores: 64 cores
+ Device Type= ITRS high performance device type
+ Area = 87.1986 mm^2
+ Peak Dynamic = 42.426 W
+ Subthreshold Leakage = 7.80232 W
+ Gate Leakage = 0.0799149 W
+ Runtime Dynamic = 9.61388 W
+
+ Total L2s:
+ Device Type= ITRS high performance device type
+ Area = 161.532 mm^2
+ Peak Dynamic = 21.1059 W
+ Subthreshold Leakage = 8.9583 W
+ Gate Leakage = 0.100733 W
+ Runtime Dynamic = 1.14063 W
+
+ Total First Level Directory:
+ Device Type= ITRS high performance device type
+ Area = 22.1741 mm^2
+ Peak Dynamic = 0.831407 W
+ Subthreshold Leakage = 1.57123 W
+ Gate Leakage = 0.0148674 W
+ Runtime Dynamic = 0.175856 W
+
+ Total NoCs (Network/Bus):
+ Device Type= ITRS high performance device type
+ Area = 51.4571 mm^2
+ Peak Dynamic = 20.122 W
+ Subthreshold Leakage = 9.42527 W
+ Gate Leakage = 0.118774 W
+ Runtime Dynamic = 2.49747 W
+
+*****************************************************************************************
+Core:
+ Area = 1.36248 mm^2
+ Peak Dynamic = 0.662906 W
+ Subthreshold Leakage = 0.121911 W
+ Gate Leakage = 0.00124867 W
+ Runtime Dynamic = 9.61388 W
+
+ Instruction Fetch Unit:
+ Area = 0.140786 mm^2
+ Peak Dynamic = 0.0863256 W
+ Subthreshold Leakage = 0.00636762 W
+ Gate Leakage = 7.4998e-05 W
+ Runtime Dynamic = 2.08883 W
+
+ Instruction Cache:
+ Area = 0.129377 mm^2
+ Peak Dynamic = 0.0476007 W
+ Subthreshold Leakage = 0.00381804 W
+ Gate Leakage = 2.35266e-05 W
+ Runtime Dynamic = 0.0698158 W
+
+ Instruction Buffer:
+ Area = 0.000754971 mm^2
+ Peak Dynamic = 0.00238165 W
+ Subthreshold Leakage = 4.99334e-05 W
+ Gate Leakage = 3.27157e-07 W
+ Runtime Dynamic = 0.0190532 W
+
+ Instruction Decoder:
+ Area = 0.00131543 mm^2
+ Peak Dynamic = 0.0246042 W
+ Subthreshold Leakage = 0.000538954 W
+ Gate Leakage = 3.91915e-06 W
+ Runtime Dynamic = 0.196833 W
+
+ Load Store Unit:
+ Area = 0.0977414 mm^2
+ Peak Dynamic = 0.0587123 W
+ Subthreshold Leakage = 0.00580883 W
+ Gate Leakage = 7.48788e-05 W
+ Runtime Dynamic = 2.07447 W
+
+ Data Cache:
+ Area = 0.0569223 mm^2
+ Peak Dynamic = 0.0329939 W
+ Subthreshold Leakage = 0.00249221 W
+ Gate Leakage = 1.63814e-05 W
+ Runtime Dynamic = 0.0476753 W
+
+ Load/Store Queue:
+ Area = 0.023444 mm^2
+ Peak Dynamic = 0.0139792 W
+ Subthreshold Leakage = 0.00135593 W
+ Gate Leakage = 1.12722e-05 W
+ Runtime Dynamic = 0.223667 W
+
+ Memory Management Unit:
+ Area = 0.0313997 mm^2
+ Peak Dynamic = 0.0446647 W
+ Subthreshold Leakage = 0.0029577 W
+ Gate Leakage = 5.57335e-05 W
+ Runtime Dynamic = 1.92566 W
+
+ Itlb:
+ Area = 0.0110306 mm^2
+ Peak Dynamic = 0.0122535 W
+ Subthreshold Leakage = 0.000498504 W
+ Gate Leakage = 4.25417e-06 W
+ Runtime Dynamic = 0.0980282 W
+
+ Dtlb:
+ Area = 0.0110306 mm^2
+ Peak Dynamic = 0.00306337 W
+ Subthreshold Leakage = 0.000498504 W
+ Gate Leakage = 4.25417e-06 W
+ Runtime Dynamic = 0.0245072 W
+
+ Execution Unit:
+ Area = 0.299667 mm^2
+ Peak Dynamic = 0.473204 W
+ Subthreshold Leakage = 0.0379242 W
+ Gate Leakage = 0.000384077 W
+ Runtime Dynamic = 3.52491 W
+
+ Register Files:
+ Area = 0.0598365 mm^2
+ Peak Dynamic = 0.0168768 W
+ Subthreshold Leakage = 0.0020814 W
+ Gate Leakage = 1.24237e-05 W
+ Runtime Dynamic = 0.072481 W
+
+ Integer RF:
+ Area = 0.0240072 mm^2
+ Peak Dynamic = 0.0131657 W
+ Subthreshold Leakage = 0.000449165 W
+ Gate Leakage = 3.33111e-06 W
+ Runtime Dynamic = 0.0706931 W
+
+ Floating Point RF:
+ Area = 0.0240072 mm^2
+ Peak Dynamic = 0.00371113 W
+ Subthreshold Leakage = 0.000449165 W
+ Gate Leakage = 3.33111e-06 W
+ Runtime Dynamic = 0.0017722 W
+
+ Register Windows:
+ Area = 0.0118221 mm^2
+ Peak Dynamic = 0 W
+ Subthreshold Leakage = 0.00118307 W
+ Gate Leakage = 5.76149e-06 W
+ Runtime Dynamic = 1.56951e-05 W
+
+ Instruction Scheduler:
+ Area = 0.00263062 mm^2
+ Peak Dynamic = 0.00540689 W
+ Subthreshold Leakage = 8.27524e-05 W
+ Gate Leakage = 9.38261e-07 W
+ Runtime Dynamic = 0.0464411 W
+
+ Instruction Window:
+ Area = 0.00263062 mm^2
+ Peak Dynamic = 0.00540689 W
+ Subthreshold Leakage = 8.27524e-05 W
+ Gate Leakage = 9.38261e-07 W
+ Runtime Dynamic = 0.0464411 W
+
+ Integer ALUs (Count: 1 ):
+ Area = 0.0384544 mm^2
+ Peak Dynamic = 0.0946992 W
+ Subthreshold Leakage = 0.00667865 W
+ Gate Leakage = 6.39207e-05 W
+ Runtime Dynamic = 0.841771 W
+
+ Floating Point Units (FPUs) (Count: 0.125 ):
+ Area = 0.0695899 mm^2
+ Peak Dynamic = 0.0157832 W
+ Subthreshold Leakage = 0.00302155 W
+ Gate Leakage = 2.89189e-05 W
+ Runtime Dynamic = 0.0315664 W
+
+ Complex ALUs (Mul/Div) (Count: 1 ):
+ Area = 0.115363 mm^2
+ Peak Dynamic = 0.105221 W
+ Subthreshold Leakage = 0.020036 W
+ Gate Leakage = 0.000191762 W
+ Runtime Dynamic = 0.210443 W
+
+ Results Broadcast Bus:
+ Area Overhead = 0.00445381 mm^2
+ Peak Dynamic = 0.192955 W
+ Subthreshold Leakage = 0.00406321 W
+ Gate Leakage = 3.88886e-05 W
+ Runtime Dynamic = 0.519078 W
+
+*****************************************************************************************
+L2
+ Area = 2.52394 mm^2
+ Peak Dynamic = 0.32978 W
+ Subthreshold Leakage = 0.139973 W
+ Gate Leakage = 0.00157395 W
+ Runtime Dynamic = 1.14063 W
+
+*****************************************************************************************
+Second Level Directory
+ Area = 2.77176 mm^2
+ Peak Dynamic = 0.103926 W
+ Subthreshold Leakage = 0.196403 W
+ Gate Leakage = 0.00185842 W
+ Runtime Dynamic = 0.175856 W
+
+*****************************************************************************************
+NOC
+ Area = 51.4571 mm^2
+ Peak Dynamic = 20.122 W
+ Subthreshold Leakage = 9.42527 W
+ Gate Leakage = 0.118774 W
+ Runtime Dynamic = 2.49747 W
+
+ Router:
+ Area = 0.578434 mm^2
+ Peak Dynamic = 0.184548 W
+ Subthreshold Leakage = 0.125515 W
+ Gate Leakage = 0.0016409 W
+ Runtime Dynamic = 1.32875 W
+
+ Virtual Channel Buffer:
+ Area = 0.159162 mm^2
+ Peak Dynamic = 0.00394081 W
+ Subthreshold Leakage = 0.000194478 W
+ Gate Leakage = 1.84946e-06 W
+ Runtime Dynamic = 0.0283738 W
+
+ Crossbar:
+ Area = 0.160976 mm^2
+ Peak Dynamic = 0.179891 W
+ Subthreshold Leakage = 0.12532 W
+ Gate Leakage = 0.00163905 W
+ Runtime Dynamic = 1.29522 W
+
+ Arbiter:
+ Peak Dynamic = 0.000716053 W
+ Subthreshold Leakage = 3.67148e-07 W
+ Gate Leakage = 3.86991e-09 W
+ Runtime Dynamic = 0.00515558 W
+
+ Per Router Links:
+ Area = 0.225583 mm^2
+ Peak Dynamic = 0.129858 W
+ Subthreshold Leakage = 0.0217549 W
+ Gate Leakage = 0.000214933 W
+ Runtime Dynamic = 1.16872 W
+
+*****************************************************************************************
diff --git a/ext/mcpat/results/T1_SBT_64 b/ext/mcpat/results/T1_SBT_64
new file mode 100644
index 000000000..ec8968a19
--- /dev/null
+++ b/ext/mcpat/results/T1_SBT_64
@@ -0,0 +1,252 @@
+McPAT (version 0.8 of Aug, 2010) is computing the target processor...
+
+line72
+size1.17965e+06
+
+McPAT (version 0.8 of Aug, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 22 nm
+ Using Long Channel Devices When Appropriate
+ Interconnect metal projection= aggressive interconnect technology projection
+ Core clock Rate(MHz) 3500
+
+*****************************************************************************************
+Processor:
+ Area = 321.412 mm^2
+ Peak Power = 114.076 W
+ Total Leakage = 27.4353 W
+ Peak Dynamic = 86.6406 W
+ Subthreshold Leakage = 27.1256 W
+ Gate Leakage = 0.309772 W
+ Runtime Dynamic = 13.4064 W
+
+ Total Cores: 64 cores
+ Device Type= ITRS high performance device type
+ Area = 87.1986 mm^2
+ Peak Dynamic = 42.426 W
+ Subthreshold Leakage = 7.80232 W
+ Gate Leakage = 0.0799149 W
+ Runtime Dynamic = 9.61388 W
+
+ Total L2s:
+ Device Type= ITRS high performance device type
+ Area = 182.778 mm^2
+ Peak Dynamic = 24.1051 W
+ Subthreshold Leakage = 9.90006 W
+ Gate Leakage = 0.111104 W
+ Runtime Dynamic = 1.29686 W
+
+ Total NoCs (Network/Bus):
+ Device Type= ITRS high performance device type
+ Area = 51.4353 mm^2
+ Peak Dynamic = 20.1095 W
+ Subthreshold Leakage = 9.42317 W
+ Gate Leakage = 0.118753 W
+ Runtime Dynamic = 2.4957 W
+
+*****************************************************************************************
+Core:
+ Area = 1.36248 mm^2
+ Peak Dynamic = 0.662906 W
+ Subthreshold Leakage = 0.121911 W
+ Gate Leakage = 0.00124867 W
+ Runtime Dynamic = 9.61388 W
+
+ Instruction Fetch Unit:
+ Area = 0.140786 mm^2
+ Peak Dynamic = 0.0863256 W
+ Subthreshold Leakage = 0.00636762 W
+ Gate Leakage = 7.4998e-05 W
+ Runtime Dynamic = 2.08883 W
+
+ Instruction Cache:
+ Area = 0.129377 mm^2
+ Peak Dynamic = 0.0476007 W
+ Subthreshold Leakage = 0.00381804 W
+ Gate Leakage = 2.35266e-05 W
+ Runtime Dynamic = 0.0698158 W
+
+ Instruction Buffer:
+ Area = 0.000754971 mm^2
+ Peak Dynamic = 0.00238165 W
+ Subthreshold Leakage = 4.99334e-05 W
+ Gate Leakage = 3.27157e-07 W
+ Runtime Dynamic = 0.0190532 W
+
+ Instruction Decoder:
+ Area = 0.00131543 mm^2
+ Peak Dynamic = 0.0246042 W
+ Subthreshold Leakage = 0.000538954 W
+ Gate Leakage = 3.91915e-06 W
+ Runtime Dynamic = 0.196833 W
+
+ Load Store Unit:
+ Area = 0.0977414 mm^2
+ Peak Dynamic = 0.0587123 W
+ Subthreshold Leakage = 0.00580883 W
+ Gate Leakage = 7.48788e-05 W
+ Runtime Dynamic = 2.07447 W
+
+ Data Cache:
+ Area = 0.0569223 mm^2
+ Peak Dynamic = 0.0329939 W
+ Subthreshold Leakage = 0.00249221 W
+ Gate Leakage = 1.63814e-05 W
+ Runtime Dynamic = 0.0476753 W
+
+ Load/Store Queue:
+ Area = 0.023444 mm^2
+ Peak Dynamic = 0.0139792 W
+ Subthreshold Leakage = 0.00135593 W
+ Gate Leakage = 1.12722e-05 W
+ Runtime Dynamic = 0.223667 W
+
+ Memory Management Unit:
+ Area = 0.0313997 mm^2
+ Peak Dynamic = 0.0446647 W
+ Subthreshold Leakage = 0.0029577 W
+ Gate Leakage = 5.57335e-05 W
+ Runtime Dynamic = 1.92566 W
+
+ Itlb:
+ Area = 0.0110306 mm^2
+ Peak Dynamic = 0.0122535 W
+ Subthreshold Leakage = 0.000498504 W
+ Gate Leakage = 4.25417e-06 W
+ Runtime Dynamic = 0.0980282 W
+
+ Dtlb:
+ Area = 0.0110306 mm^2
+ Peak Dynamic = 0.00306337 W
+ Subthreshold Leakage = 0.000498504 W
+ Gate Leakage = 4.25417e-06 W
+ Runtime Dynamic = 0.0245072 W
+
+ Execution Unit:
+ Area = 0.299667 mm^2
+ Peak Dynamic = 0.473204 W
+ Subthreshold Leakage = 0.0379242 W
+ Gate Leakage = 0.000384077 W
+ Runtime Dynamic = 3.52491 W
+
+ Register Files:
+ Area = 0.0598365 mm^2
+ Peak Dynamic = 0.0168768 W
+ Subthreshold Leakage = 0.0020814 W
+ Gate Leakage = 1.24237e-05 W
+ Runtime Dynamic = 0.072481 W
+
+ Integer RF:
+ Area = 0.0240072 mm^2
+ Peak Dynamic = 0.0131657 W
+ Subthreshold Leakage = 0.000449165 W
+ Gate Leakage = 3.33111e-06 W
+ Runtime Dynamic = 0.0706931 W
+
+ Floating Point RF:
+ Area = 0.0240072 mm^2
+ Peak Dynamic = 0.00371113 W
+ Subthreshold Leakage = 0.000449165 W
+ Gate Leakage = 3.33111e-06 W
+ Runtime Dynamic = 0.0017722 W
+
+ Register Windows:
+ Area = 0.0118221 mm^2
+ Peak Dynamic = 0 W
+ Subthreshold Leakage = 0.00118307 W
+ Gate Leakage = 5.76149e-06 W
+ Runtime Dynamic = 1.56951e-05 W
+
+ Instruction Scheduler:
+ Area = 0.00263062 mm^2
+ Peak Dynamic = 0.00540689 W
+ Subthreshold Leakage = 8.27524e-05 W
+ Gate Leakage = 9.38261e-07 W
+ Runtime Dynamic = 0.0464411 W
+
+ Instruction Window:
+ Area = 0.00263062 mm^2
+ Peak Dynamic = 0.00540689 W
+ Subthreshold Leakage = 8.27524e-05 W
+ Gate Leakage = 9.38261e-07 W
+ Runtime Dynamic = 0.0464411 W
+
+ Integer ALUs (Count: 1 ):
+ Area = 0.0384544 mm^2
+ Peak Dynamic = 0.0946992 W
+ Subthreshold Leakage = 0.00667865 W
+ Gate Leakage = 6.39207e-05 W
+ Runtime Dynamic = 0.841771 W
+
+ Floating Point Units (FPUs) (Count: 0.125 ):
+ Area = 0.0695899 mm^2
+ Peak Dynamic = 0.0157832 W
+ Subthreshold Leakage = 0.00302155 W
+ Gate Leakage = 2.89189e-05 W
+ Runtime Dynamic = 0.0315664 W
+
+ Complex ALUs (Mul/Div) (Count: 1 ):
+ Area = 0.115363 mm^2
+ Peak Dynamic = 0.105221 W
+ Subthreshold Leakage = 0.020036 W
+ Gate Leakage = 0.000191762 W
+ Runtime Dynamic = 0.210443 W
+
+ Results Broadcast Bus:
+ Area Overhead = 0.00445381 mm^2
+ Peak Dynamic = 0.192955 W
+ Subthreshold Leakage = 0.00406321 W
+ Gate Leakage = 3.88886e-05 W
+ Runtime Dynamic = 0.519078 W
+
+*****************************************************************************************
+L2
+ Area = 2.85591 mm^2
+ Peak Dynamic = 0.376642 W
+ Subthreshold Leakage = 0.154688 W
+ Gate Leakage = 0.001736 W
+ Runtime Dynamic = 1.29686 W
+
+*****************************************************************************************
+NOC
+ Area = 51.4353 mm^2
+ Peak Dynamic = 20.1095 W
+ Subthreshold Leakage = 9.42317 W
+ Gate Leakage = 0.118753 W
+ Runtime Dynamic = 2.4957 W
+
+ Router:
+ Area = 0.578434 mm^2
+ Peak Dynamic = 0.184548 W
+ Subthreshold Leakage = 0.125515 W
+ Gate Leakage = 0.0016409 W
+ Runtime Dynamic = 1.32875 W
+
+ Virtual Channel Buffer:
+ Area = 0.159162 mm^2
+ Peak Dynamic = 0.00394081 W
+ Subthreshold Leakage = 0.000194478 W
+ Gate Leakage = 1.84946e-06 W
+ Runtime Dynamic = 0.0283738 W
+
+ Crossbar:
+ Area = 0.160976 mm^2
+ Peak Dynamic = 0.179891 W
+ Subthreshold Leakage = 0.12532 W
+ Gate Leakage = 0.00163905 W
+ Runtime Dynamic = 1.29522 W
+
+ Arbiter:
+ Peak Dynamic = 0.000716053 W
+ Subthreshold Leakage = 3.67148e-07 W
+ Gate Leakage = 3.86991e-09 W
+ Runtime Dynamic = 0.00515558 W
+
+ Per Router Links:
+ Area = 0.225243 mm^2
+ Peak Dynamic = 0.129662 W
+ Subthreshold Leakage = 0.0217221 W
+ Gate Leakage = 0.000214609 W
+ Runtime Dynamic = 1.16696 W
+
+*****************************************************************************************
diff --git a/ext/mcpat/results/T1_ST_64 b/ext/mcpat/results/T1_ST_64
new file mode 100644
index 000000000..f3d95b541
--- /dev/null
+++ b/ext/mcpat/results/T1_ST_64
@@ -0,0 +1,270 @@
+McPAT (version 0.8 of Aug, 2010) is computing the target processor...
+
+line64
+size1.04858e+06
+line9
+size8.38861e+06
+
+McPAT (version 0.8 of Aug, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 22 nm
+ Using Long Channel Devices When Appropriate
+ Interconnect metal projection= aggressive interconnect technology projection
+ Core clock Rate(MHz) 3500
+
+*****************************************************************************************
+Processor:
+ Area = 358.016 mm^2
+ Peak Power = 168.519 W
+ Total Leakage = 30.8855 W
+ Peak Dynamic = 137.634 W
+ Subthreshold Leakage = 30.5351 W
+ Gate Leakage = 0.350385 W
+ Runtime Dynamic = 84.2366 W
+
+ Total Cores: 64 cores
+ Device Type= ITRS high performance device type
+ Area = 87.1986 mm^2
+ Peak Dynamic = 42.426 W
+ Subthreshold Leakage = 7.80232 W
+ Gate Leakage = 0.0799149 W
+ Runtime Dynamic = 9.61388 W
+
+ Total L2s:
+ Device Type= ITRS high performance device type
+ Area = 161.532 mm^2
+ Peak Dynamic = 21.1059 W
+ Subthreshold Leakage = 8.9583 W
+ Gate Leakage = 0.100733 W
+ Runtime Dynamic = 1.14063 W
+
+ Total First Level Directory:
+ Device Type= ITRS high performance device type
+ Area = 57.033 mm^2
+ Peak Dynamic = 53.5219 W
+ Subthreshold Leakage = 4.27249 W
+ Gate Leakage = 0.050206 W
+ Runtime Dynamic = 70.9203 W
+
+ Total NoCs (Network/Bus):
+ Device Type= ITRS high performance device type
+ Area = 52.2524 mm^2
+ Peak Dynamic = 20.5798 W
+ Subthreshold Leakage = 9.50197 W
+ Gate Leakage = 0.119531 W
+ Runtime Dynamic = 2.56185 W
+
+*****************************************************************************************
+Core:
+ Area = 1.36248 mm^2
+ Peak Dynamic = 0.662906 W
+ Subthreshold Leakage = 0.121911 W
+ Gate Leakage = 0.00124867 W
+ Runtime Dynamic = 9.61388 W
+
+ Instruction Fetch Unit:
+ Area = 0.140786 mm^2
+ Peak Dynamic = 0.0863256 W
+ Subthreshold Leakage = 0.00636762 W
+ Gate Leakage = 7.4998e-05 W
+ Runtime Dynamic = 2.08883 W
+
+ Instruction Cache:
+ Area = 0.129377 mm^2
+ Peak Dynamic = 0.0476007 W
+ Subthreshold Leakage = 0.00381804 W
+ Gate Leakage = 2.35266e-05 W
+ Runtime Dynamic = 0.0698158 W
+
+ Instruction Buffer:
+ Area = 0.000754971 mm^2
+ Peak Dynamic = 0.00238165 W
+ Subthreshold Leakage = 4.99334e-05 W
+ Gate Leakage = 3.27157e-07 W
+ Runtime Dynamic = 0.0190532 W
+
+ Instruction Decoder:
+ Area = 0.00131543 mm^2
+ Peak Dynamic = 0.0246042 W
+ Subthreshold Leakage = 0.000538954 W
+ Gate Leakage = 3.91915e-06 W
+ Runtime Dynamic = 0.196833 W
+
+ Load Store Unit:
+ Area = 0.0977414 mm^2
+ Peak Dynamic = 0.0587123 W
+ Subthreshold Leakage = 0.00580883 W
+ Gate Leakage = 7.48788e-05 W
+ Runtime Dynamic = 2.07447 W
+
+ Data Cache:
+ Area = 0.0569223 mm^2
+ Peak Dynamic = 0.0329939 W
+ Subthreshold Leakage = 0.00249221 W
+ Gate Leakage = 1.63814e-05 W
+ Runtime Dynamic = 0.0476753 W
+
+ Load/Store Queue:
+ Area = 0.023444 mm^2
+ Peak Dynamic = 0.0139792 W
+ Subthreshold Leakage = 0.00135593 W
+ Gate Leakage = 1.12722e-05 W
+ Runtime Dynamic = 0.223667 W
+
+ Memory Management Unit:
+ Area = 0.0313997 mm^2
+ Peak Dynamic = 0.0446647 W
+ Subthreshold Leakage = 0.0029577 W
+ Gate Leakage = 5.57335e-05 W
+ Runtime Dynamic = 1.92566 W
+
+ Itlb:
+ Area = 0.0110306 mm^2
+ Peak Dynamic = 0.0122535 W
+ Subthreshold Leakage = 0.000498504 W
+ Gate Leakage = 4.25417e-06 W
+ Runtime Dynamic = 0.0980282 W
+
+ Dtlb:
+ Area = 0.0110306 mm^2
+ Peak Dynamic = 0.00306337 W
+ Subthreshold Leakage = 0.000498504 W
+ Gate Leakage = 4.25417e-06 W
+ Runtime Dynamic = 0.0245072 W
+
+ Execution Unit:
+ Area = 0.299667 mm^2
+ Peak Dynamic = 0.473204 W
+ Subthreshold Leakage = 0.0379242 W
+ Gate Leakage = 0.000384077 W
+ Runtime Dynamic = 3.52491 W
+
+ Register Files:
+ Area = 0.0598365 mm^2
+ Peak Dynamic = 0.0168768 W
+ Subthreshold Leakage = 0.0020814 W
+ Gate Leakage = 1.24237e-05 W
+ Runtime Dynamic = 0.072481 W
+
+ Integer RF:
+ Area = 0.0240072 mm^2
+ Peak Dynamic = 0.0131657 W
+ Subthreshold Leakage = 0.000449165 W
+ Gate Leakage = 3.33111e-06 W
+ Runtime Dynamic = 0.0706931 W
+
+ Floating Point RF:
+ Area = 0.0240072 mm^2
+ Peak Dynamic = 0.00371113 W
+ Subthreshold Leakage = 0.000449165 W
+ Gate Leakage = 3.33111e-06 W
+ Runtime Dynamic = 0.0017722 W
+
+ Register Windows:
+ Area = 0.0118221 mm^2
+ Peak Dynamic = 0 W
+ Subthreshold Leakage = 0.00118307 W
+ Gate Leakage = 5.76149e-06 W
+ Runtime Dynamic = 1.56951e-05 W
+
+ Instruction Scheduler:
+ Area = 0.00263062 mm^2
+ Peak Dynamic = 0.00540689 W
+ Subthreshold Leakage = 8.27524e-05 W
+ Gate Leakage = 9.38261e-07 W
+ Runtime Dynamic = 0.0464411 W
+
+ Instruction Window:
+ Area = 0.00263062 mm^2
+ Peak Dynamic = 0.00540689 W
+ Subthreshold Leakage = 8.27524e-05 W
+ Gate Leakage = 9.38261e-07 W
+ Runtime Dynamic = 0.0464411 W
+
+ Integer ALUs (Count: 1 ):
+ Area = 0.0384544 mm^2
+ Peak Dynamic = 0.0946992 W
+ Subthreshold Leakage = 0.00667865 W
+ Gate Leakage = 6.39207e-05 W
+ Runtime Dynamic = 0.841771 W
+
+ Floating Point Units (FPUs) (Count: 0.125 ):
+ Area = 0.0695899 mm^2
+ Peak Dynamic = 0.0157832 W
+ Subthreshold Leakage = 0.00302155 W
+ Gate Leakage = 2.89189e-05 W
+ Runtime Dynamic = 0.0315664 W
+
+ Complex ALUs (Mul/Div) (Count: 1 ):
+ Area = 0.115363 mm^2
+ Peak Dynamic = 0.105221 W
+ Subthreshold Leakage = 0.020036 W
+ Gate Leakage = 0.000191762 W
+ Runtime Dynamic = 0.210443 W
+
+ Results Broadcast Bus:
+ Area Overhead = 0.00445381 mm^2
+ Peak Dynamic = 0.192955 W
+ Subthreshold Leakage = 0.00406321 W
+ Gate Leakage = 3.88886e-05 W
+ Runtime Dynamic = 0.519078 W
+
+*****************************************************************************************
+L2
+ Area = 2.52394 mm^2
+ Peak Dynamic = 0.32978 W
+ Subthreshold Leakage = 0.139973 W
+ Gate Leakage = 0.00157395 W
+ Runtime Dynamic = 1.14063 W
+
+*****************************************************************************************
+Second Level Directory
+ Area = 57.033 mm^2
+ Peak Dynamic = 53.5219 W
+ Subthreshold Leakage = 4.27249 W
+ Gate Leakage = 0.050206 W
+ Runtime Dynamic = 70.9203 W
+
+*****************************************************************************************
+NOC
+ Area = 52.2524 mm^2
+ Peak Dynamic = 20.5798 W
+ Subthreshold Leakage = 9.50197 W
+ Gate Leakage = 0.119531 W
+ Runtime Dynamic = 2.56185 W
+
+ Router:
+ Area = 0.578434 mm^2
+ Peak Dynamic = 0.184548 W
+ Subthreshold Leakage = 0.125515 W
+ Gate Leakage = 0.0016409 W
+ Runtime Dynamic = 1.32875 W
+
+ Virtual Channel Buffer:
+ Area = 0.159162 mm^2
+ Peak Dynamic = 0.00394081 W
+ Subthreshold Leakage = 0.000194478 W
+ Gate Leakage = 1.84946e-06 W
+ Runtime Dynamic = 0.0283738 W
+
+ Crossbar:
+ Area = 0.160976 mm^2
+ Peak Dynamic = 0.179891 W
+ Subthreshold Leakage = 0.12532 W
+ Gate Leakage = 0.00163905 W
+ Runtime Dynamic = 1.29522 W
+
+ Arbiter:
+ Peak Dynamic = 0.000716053 W
+ Subthreshold Leakage = 3.67148e-07 W
+ Gate Leakage = 3.86991e-09 W
+ Runtime Dynamic = 0.00515558 W
+
+ Per Router Links:
+ Area = 0.238009 mm^2
+ Peak Dynamic = 0.137011 W
+ Subthreshold Leakage = 0.0229533 W
+ Gate Leakage = 0.000226773 W
+ Runtime Dynamic = 1.2331 W
+
+*****************************************************************************************
diff --git a/ext/mcpat/results/T2 b/ext/mcpat/results/T2
new file mode 100644
index 000000000..e24701ab2
--- /dev/null
+++ b/ext/mcpat/results/T2
@@ -0,0 +1,321 @@
+McPAT (version 0.8 of Aug, 2010) is computing the target processor...
+
+
+McPAT (version 0.8 of Aug, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 65 nm
+ Using Long Channel Devices When Appropriate
+ Interconnect metal projection= aggressive interconnect technology projection
+ Core clock Rate(MHz) 1400
+
+*****************************************************************************************
+Processor:
+ Area = 277.068 mm^2
+ Peak Power = 71.8237 W
+ Total Leakage = 18.2234 W
+ Peak Dynamic = 53.6003 W
+ Subthreshold Leakage = 14.7124 W
+ Gate Leakage = 3.51096 W
+ Runtime Dynamic = 48.652 W
+
+ Total Cores: 8 cores
+ Device Type= ITRS high performance device type
+ Area = 116.441 mm^2
+ Peak Dynamic = 28.0277 W
+ Subthreshold Leakage = 9.00023 W
+ Gate Leakage = 1.93139 W
+ Runtime Dynamic = 27.9237 W
+
+ Total L2s:
+ Device Type= ITRS high performance device type
+ Area = 85.0391 mm^2
+ Peak Dynamic = 9.87481 W
+ Subthreshold Leakage = 2.71188 W
+ Gate Leakage = 0.684324 W
+ Runtime Dynamic = 3.97632 W
+
+ Total First Level Directory:
+ Device Type= ITRS high performance device type
+ Area = 11.6417 mm^2
+ Peak Dynamic = 5.32369 W
+ Subthreshold Leakage = 0.249885 W
+ Gate Leakage = 0.107486 W
+ Runtime Dynamic = 5.38275 W
+
+ Total NoCs (Network/Bus):
+ Device Type= ITRS high performance device type
+ Area = 9.56584 mm^2
+ Peak Dynamic = 1.07754 W
+ Subthreshold Leakage = 1.61961 W
+ Gate Leakage = 0.389994 W
+ Runtime Dynamic = 1.07754 W
+
+ Total MCs: 4 Memory Controllers
+ Device Type= ITRS high performance device type
+ Area = 32.2777 mm^2
+ Peak Dynamic = 5.92507 W
+ Subthreshold Leakage = 0.559071 W
+ Gate Leakage = 0.10416 W
+ Runtime Dynamic = 7.93157 W
+
+ Total NIUs: 2 Network Interface Units
+ Device Type= ITRS high performance device type
+ Area = 15.8633 mm^2
+ Peak Dynamic = 1.86482 W
+ Subthreshold Leakage = 0.357626 W
+ Gate Leakage = 0.183662 W
+ Runtime Dynamic = 1.30537 W
+
+ Total PCIes: 1 PCIe Controllers
+ Device Type= ITRS high performance device type
+ Area = 6.24 mm^2
+ Peak Dynamic = 1.5067 W
+ Subthreshold Leakage = 0.214091 W
+ Gate Leakage = 0.109948 W
+ Runtime Dynamic = 1.05469 W
+
+*****************************************************************************************
+Core:
+ Area = 14.5551 mm^2
+ Peak Dynamic = 3.50346 W
+ Subthreshold Leakage = 1.12503 W
+ Gate Leakage = 0.241423 W
+ Runtime Dynamic = 27.9237 W
+
+ Instruction Fetch Unit:
+ Area = 2.75911 mm^2
+ Peak Dynamic = 0.817936 W
+ Subthreshold Leakage = 0.0912466 W
+ Gate Leakage = 0.0284483 W
+ Runtime Dynamic = 4.81754 W
+
+ Instruction Cache:
+ Area = 2.51671 mm^2
+ Peak Dynamic = 0.513783 W
+ Subthreshold Leakage = 0.062355 W
+ Gate Leakage = 0.0164185 W
+ Runtime Dynamic = 1.59033 W
+
+ Instruction Buffer:
+ Area = 0.0130935 mm^2
+ Peak Dynamic = 0.0100268 W
+ Subthreshold Leakage = 0.000434992 W
+ Gate Leakage = 6.02581e-05 W
+ Runtime Dynamic = 0.160429 W
+
+ Instruction Decoder:
+ Area = 0.0119193 mm^2
+ Peak Dynamic = 0.0892213 W
+ Subthreshold Leakage = 0.00298091 W
+ Gate Leakage = 0.000408973 W
+ Runtime Dynamic = 1.42754 W
+
+ Load Store Unit:
+ Area = 2.14252 mm^2
+ Peak Dynamic = 0.487978 W
+ Subthreshold Leakage = 0.0802768 W
+ Gate Leakage = 0.0247378 W
+ Runtime Dynamic = 10.9331 W
+
+ Data Cache:
+ Area = 0.52868 mm^2
+ Peak Dynamic = 0.0991646 W
+ Subthreshold Leakage = 0.0119043 W
+ Gate Leakage = 0.00145618 W
+ Runtime Dynamic = 0.1303 W
+
+ Load/Store Queue:
+ Area = 1.22144 mm^2
+ Peak Dynamic = 0.286361 W
+ Subthreshold Leakage = 0.0428969 W
+ Gate Leakage = 0.011721 W
+ Runtime Dynamic = 9.16355 W
+
+ Memory Management Unit:
+ Area = 1.1006 mm^2
+ Peak Dynamic = 0.399121 W
+ Subthreshold Leakage = 0.0527367 W
+ Gate Leakage = 0.0195353 W
+ Runtime Dynamic = 2.78316 W
+
+ Itlb:
+ Area = 0.293144 mm^2
+ Peak Dynamic = 0.0743045 W
+ Subthreshold Leakage = 0.00720086 W
+ Gate Leakage = 0.00218791 W
+ Runtime Dynamic = 0.594438 W
+
+ Dtlb:
+ Area = 0.590071 mm^2
+ Peak Dynamic = 0.0686851 W
+ Subthreshold Leakage = 0.0200602 W
+ Gate Leakage = 0.00578676 W
+ Runtime Dynamic = 0.549486 W
+
+ Execution Unit:
+ Area = 6.79584 mm^2
+ Peak Dynamic = 1.79843 W
+ Subthreshold Leakage = 0.610924 W
+ Gate Leakage = 0.116437 W
+ Runtime Dynamic = 9.38994 W
+
+ Register Files:
+ Area = 1.18037 mm^2
+ Peak Dynamic = 0.0639548 W
+ Subthreshold Leakage = 0.00981018 W
+ Gate Leakage = 0.00106415 W
+ Runtime Dynamic = 0.401933 W
+
+ Integer RF:
+ Area = 0.648931 mm^2
+ Peak Dynamic = 0.0485174 W
+ Subthreshold Leakage = 0.00196627 W
+ Gate Leakage = 0.000259389 W
+ Runtime Dynamic = 0.392074 W
+
+ Floating Point RF:
+ Area = 0.324465 mm^2
+ Peak Dynamic = 0.0154374 W
+ Subthreshold Leakage = 0.00196627 W
+ Gate Leakage = 0.000259389 W
+ Runtime Dynamic = 0.0098154 W
+
+ Register Windows:
+ Area = 0.206972 mm^2
+ Peak Dynamic = 0 W
+ Subthreshold Leakage = 0.00587765 W
+ Gate Leakage = 0.000545372 W
+ Runtime Dynamic = 4.40062e-05 W
+
+ Instruction Scheduler:
+ Area = 0.0458096 mm^2
+ Peak Dynamic = 0.0333897 W
+ Subthreshold Leakage = 0.000402487 W
+ Gate Leakage = 8.61395e-05 W
+ Runtime Dynamic = 0.287483 W
+
+ Instruction Window:
+ Area = 0.0458096 mm^2
+ Peak Dynamic = 0.0333897 W
+ Subthreshold Leakage = 0.000402487 W
+ Gate Leakage = 8.61395e-05 W
+ Runtime Dynamic = 0.287483 W
+
+ Integer ALUs (Count: 2 ):
+ Area = 0.448448 mm^2
+ Peak Dynamic = 0.425547 W
+ Subthreshold Leakage = 0.147955 W
+ Gate Leakage = 0.0266792 W
+ Runtime Dynamic = 3.78264 W
+
+ Floating Point Units (FPUs) (Count: 1 ):
+ Area = 4.85979 mm^2
+ Peak Dynamic = 0.425547 W
+ Subthreshold Leakage = 0.400843 W
+ Gate Leakage = 0.07228 W
+ Runtime Dynamic = 0.0709246 W
+
+ Results Broadcast Bus:
+ Area Overhead = 0.0440413 mm^2
+ Peak Dynamic = 0.481158 W
+ Subthreshold Leakage = 0.0264373 W
+ Gate Leakage = 0.00476717 W
+ Runtime Dynamic = 3.20772 W
+
+*****************************************************************************************
+L2
+ Area = 10.6299 mm^2
+ Peak Dynamic = 1.23435 W
+ Subthreshold Leakage = 0.338985 W
+ Gate Leakage = 0.0855405 W
+ Runtime Dynamic = 3.97632 W
+
+*****************************************************************************************
+First Level Directory
+ Area = 1.45521 mm^2
+ Peak Dynamic = 0.665462 W
+ Subthreshold Leakage = 0.0312356 W
+ Gate Leakage = 0.0134358 W
+ Runtime Dynamic = 5.38275 W
+
+*****************************************************************************************
+Memory Controller:
+ Area = 8.06942 mm^2
+ Peak Dynamic = 1.48127 W
+ Subthreshold Leakage = 0.139768 W
+ Gate Leakage = 0.0260401 W
+ Runtime Dynamic = 7.93157 W
+
+ Front End Engine:
+ Area = 0.250458 mm^2
+ Peak Dynamic = 0.05883 W
+ Subthreshold Leakage = 0.0029079 W
+ Gate Leakage = 0.000455875 W
+ Runtime Dynamic = 0.298069 W
+
+ Transaction Engine:
+ Area = 2.66058 mm^2
+ Peak Dynamic = 0.6912 W
+ Subthreshold Leakage = 0.0465697 W
+ Gate Leakage = 0.00870562 W
+ Runtime Dynamic = 3.50205 W
+
+ PHY:
+ Area = 5.15838 mm^2
+ Peak Dynamic = 0.731237 W
+ Subthreshold Leakage = 0.0902901 W
+ Gate Leakage = 0.0168786 W
+ Runtime Dynamic = 4.13145 W
+
+*****************************************************************************************
+NIU:
+ Area = 7.93167 mm^2
+ Peak Dynamic = 0.93241 W
+ Subthreshold Leakage = 0.178813 W
+ Gate Leakage = 0.0918312 W
+ Runtime Dynamic = 0.652687 W
+
+*****************************************************************************************
+PCIe:
+ Area = 6.24 mm^2
+ Peak Dynamic = 1.5067 W
+ Subthreshold Leakage = 0.214091 W
+ Gate Leakage = 0.109948 W
+ Runtime Dynamic = 1.05469 W
+
+*****************************************************************************************
+NOC
+ Area = 9.56584 mm^2
+ Peak Dynamic = 1.07754 W
+ Subthreshold Leakage = 1.61961 W
+ Gate Leakage = 0.389994 W
+ Runtime Dynamic = 1.07754 W
+
+ Router:
+ Area = 4.78292 mm^2
+ Peak Dynamic = 0.538772 W
+ Subthreshold Leakage = 0.809805 W
+ Gate Leakage = 0.194997 W
+ Runtime Dynamic = 1.07754 W
+
+ Virtual Channel Buffer:
+ Area = 0.827721 mm^2
+ Peak Dynamic = 0.0223838 W
+ Subthreshold Leakage = 0.00314985 W
+ Gate Leakage = 0.000413272 W
+ Runtime Dynamic = 0.0447677 W
+
+ Crossbar:
+ Area = 1.69589 mm^2
+ Peak Dynamic = 0.511174 W
+ Subthreshold Leakage = 0.806641 W
+ Gate Leakage = 0.194581 W
+ Runtime Dynamic = 1.02235 W
+
+ Arbiter:
+ Peak Dynamic = 0.00521447 W
+ Subthreshold Leakage = 1.42757e-05 W
+ Gate Leakage = 2.78294e-06 W
+ Runtime Dynamic = 0.0104289 W
+
+*****************************************************************************************
diff --git a/ext/mcpat/results/Xeon_core b/ext/mcpat/results/Xeon_core
new file mode 100644
index 000000000..0cc9ae648
--- /dev/null
+++ b/ext/mcpat/results/Xeon_core
@@ -0,0 +1,341 @@
+McPAT (version 0.7 of May, 2010) is computing the target processor...
+
+
+McPAT (version 0.7 of May, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 65 nm
+ Using Long Channel Devices When Appropriate
+ Interconnect metal projection= aggressive interconnect technology projection
+ Core clock Rate(MHz) 3400
+
+*****************************************************************************************
+Processor:
+ Area = 417.445 mm^2
+ Peak Power = 142.148 W
+ Total Leakage = 55.8021 W
+ Peak Dynamic = 86.3458 W
+ Subthreshold Leakage = 52.785 W
+ Gate Leakage = 3.01712 W
+ Runtime Dynamic = 63.1851 W
+
+ Total Cores:
+ Device Type= ITRS high performance device type
+ Area = 133.278 mm^2
+ Peak Dynamic = 63.8414 W
+ Subthreshold Leakage = 32.4393 W
+ Gate Leakage = 2.72517 W
+ Runtime Dynamic = 41.616 W
+
+ Total L3s:
+ Device Type= ITRS high performance device type
+ Area = 278.612 mm^2
+ Peak Dynamic = 6.11346 W
+ Subthreshold Leakage = 20.1995 W
+ Gate Leakage = 0.267752 W
+ Runtime Dynamic = 5.1782 W
+
+ Total NoCs (Network/Bus):
+ Device Type= ITRS high performance device type
+ Area = 5.5548 mm^2
+ Peak Dynamic = 16.3909 W
+ Subthreshold Leakage = 0.146229 W
+ Gate Leakage = 0.0241913 W
+ Runtime Dynamic = 16.3909 W
+
+*****************************************************************************************
+Core:
+ Area = 66.6389 mm^2
+ Peak Dynamic = 31.9207 W
+ Subthreshold Leakage = 16.2197 W
+ Gate Leakage = 1.36259 W
+ Runtime Dynamic = 41.616 W
+
+ Instruction Fetch Unit:
+ Area = 7.41271 mm^2
+ Peak Dynamic = 5.04492 W
+ Subthreshold Leakage = 1.26751 W
+ Gate Leakage = 0.09429 W
+ Runtime Dynamic = 5.39803 W
+
+ Instruction Cache:
+ Area = 2.44324 mm^2
+ Peak Dynamic = 1.42048 W
+ Subthreshold Leakage = 0.359444 W
+ Gate Leakage = 0.0187045 W
+ Runtime Dynamic = 2.13804 W
+
+ Branch Target Buffer:
+ Area = 0.729086 mm^2
+ Peak Dynamic = 0.161698 W
+ Subthreshold Leakage = 0.0616324 W
+ Gate Leakage = 0.00336254 W
+ Runtime Dynamic = 0.646794 W
+
+ Branch Predictor:
+ Area = 0.430961 mm^2
+ Peak Dynamic = 0.188469 W
+ Subthreshold Leakage = 0.0698834 W
+ Gate Leakage = 0.00415943 W
+ Runtime Dynamic = 0.166045 W
+
+ Global Predictor:
+ Area = 0.174771 mm^2
+ Peak Dynamic = 0.0633335 W
+ Subthreshold Leakage = 0.0274086 W
+ Gate Leakage = 0.00158249 W
+ Runtime Dynamic = 0.0633335 W
+
+ Local Predictor:
+ Area = 0.0735854 mm^2
+ Peak Dynamic = 0.0393754 W
+ Subthreshold Leakage = 0.0111166 W
+ Gate Leakage = 0.000721196 W
+ Runtime Dynamic = 0.0393754 W
+
+ Area = 0.0507308 mm^2
+ Peak Dynamic = 0.0258383 W
+ Subthreshold Leakage = 0.00749994 W
+ Gate Leakage = 0.000498805 W
+ Runtime Dynamic = 0.0258383 W
+
+ Chooser:
+ Area = 0.174771 mm^2
+ Peak Dynamic = 0.0633335 W
+ Subthreshold Leakage = 0.0274086 W
+ Gate Leakage = 0.00158249 W
+ Runtime Dynamic = 0.0633335 W
+
+ RAS:
+ Area = 0.0613744 mm^2
+ Peak Dynamic = 0.0224266 W
+ Subthreshold Leakage = 0.00394955 W
+ Gate Leakage = 0.000273252 W
+ Runtime Dynamic = 2.51602e-06 W
+
+ Instruction Buffer:
+ Area = 0.0684348 mm^2
+ Peak Dynamic = 0.704461 W
+ Subthreshold Leakage = 0.00411741 W
+ Gate Leakage = 0.000240288 W
+ Runtime Dynamic = 0.46964 W
+
+ Instruction Decoder:
+ Area = 3.73007 mm^2
+ Peak Dynamic = 1.97751 W
+ Subthreshold Leakage = 0.733056 W
+ Gate Leakage = 0.0575912 W
+ Runtime Dynamic = 1.97751 W
+
+ Renaming Unit:
+ Area = 1.82421 mm^2
+ Peak Dynamic = 2.76284 W
+ Subthreshold Leakage = 0.0765654 W
+ Gate Leakage = 0.0125478 W
+ Runtime Dynamic = 1.94438 W
+
+ Int Front End RAT:
+ Area = 0.875874 mm^2
+ Peak Dynamic = 1.249 W
+ Subthreshold Leakage = 0.0113878 W
+ Gate Leakage = 0.000693471 W
+ Runtime Dynamic = 1.249 W
+
+ FP Front End RAT:
+ Area = 0.405459 mm^2
+ Peak Dynamic = 0.610062 W
+ Subthreshold Leakage = 0.0144803 W
+ Gate Leakage = 0.000906674 W
+ Runtime Dynamic = 0.305031 W
+
+ Free List:
+ Area = 0.297629 mm^2
+ Peak Dynamic = 0.137664 W
+ Subthreshold Leakage = 0.0054316 W
+ Gate Leakage = 0.000326171 W
+ Runtime Dynamic = 0.275328 W
+
+ Int Retire RAT:
+ Area = 0.0530903 mm^2
+ Peak Dynamic = 0.056222 W
+ Subthreshold Leakage = 0.00135314 W
+ Gate Leakage = 0.00011607 W
+ Runtime Dynamic = 0.056222 W
+
+ FP Retire RAT:
+ Area = 0.018828 mm^2
+ Peak Dynamic = 0.0186388 W
+ Subthreshold Leakage = 0.000788229 W
+ Gate Leakage = 6.41952e-05 W
+ Runtime Dynamic = 0.00931941 W
+
+ FP Free List:
+ Area = 0.162422 mm^2
+ Peak Dynamic = 0.0989385 W
+ Subthreshold Leakage = 0.00375181 W
+ Gate Leakage = 0.000209083 W
+ Runtime Dynamic = 0.0494693 W
+
+ Load Store Unit:
+ Area = 4.35998 mm^2
+ Peak Dynamic = 2.94939 W
+ Subthreshold Leakage = 0.208781 W
+ Gate Leakage = 0.0232213 W
+ Runtime Dynamic = 3.60184 W
+
+ Data Cache:
+ Area = 2.2051 mm^2
+ Peak Dynamic = 1.08067 W
+ Subthreshold Leakage = 0.0877157 W
+ Gate Leakage = 0.00573003 W
+ Runtime Dynamic = 2.30478 W
+
+ LoadQ:
+ Area = 0.637121 mm^2
+ Peak Dynamic = 0.551016 W
+ Subthreshold Leakage = 0.0283256 W
+ Gate Leakage = 0.00254841 W
+ Runtime Dynamic = 0.275508 W
+
+ StoreQ:
+ Area = 0.809965 mm^2
+ Peak Dynamic = 1.02155 W
+ Subthreshold Leakage = 0.053367 W
+ Gate Leakage = 0.00471074 W
+ Runtime Dynamic = 1.02155 W
+
+ Memory Management Unit:
+ Area = 0.517456 mm^2
+ Peak Dynamic = 0.979218 W
+ Subthreshold Leakage = 0.0808171 W
+ Gate Leakage = 0.0139952 W
+ Runtime Dynamic = 1.66678 W
+
+ Itlb:
+ Area = 0.127123 mm^2
+ Peak Dynamic = 0.236587 W
+ Subthreshold Leakage = 0.0160962 W
+ Gate Leakage = 0.00146431 W
+ Runtime Dynamic = 0.473177 W
+
+ Dtlb:
+ Area = 0.379422 mm^2
+ Peak Dynamic = 0.298399 W
+ Subthreshold Leakage = 0.0253484 W
+ Gate Leakage = 0.00229878 W
+ Runtime Dynamic = 1.1936 W
+
+ Execution Unit:
+ Area = 27.5381 mm^2
+ Peak Dynamic = 16.9637 W
+ Subthreshold Leakage = 7.08185 W
+ Gate Leakage = 0.73316 W
+ Runtime Dynamic = 22.7198 W
+
+ Register Files:
+ Area = 11.2548 mm^2
+ Peak Dynamic = 3.2925 W
+ Subthreshold Leakage = 0.11111 W
+ Gate Leakage = 0.00754256 W
+ Runtime Dynamic = 1.69823 W
+
+ Integer RF:
+ Area = 7.55916 mm^2
+ Peak Dynamic = 2.82012 W
+ Subthreshold Leakage = 0.0664048 W
+ Gate Leakage = 0.00458288 W
+ Runtime Dynamic = 1.51078 W
+
+ Floating Point RF:
+ Area = 3.69565 mm^2
+ Peak Dynamic = 0.472385 W
+ Subthreshold Leakage = 0.0447053 W
+ Gate Leakage = 0.00295968 W
+ Runtime Dynamic = 0.187454 W
+
+ Instruction Scheduler:
+ Area = 2.08681 mm^2
+ Peak Dynamic = 2.1684 W
+ Subthreshold Leakage = 0.0325294 W
+ Gate Leakage = 0.00296372 W
+ Runtime Dynamic = 2.59089 W
+
+ Instruction Window:
+ Area = 0.287309 mm^2
+ Peak Dynamic = 0.929972 W
+ Subthreshold Leakage = 0.0127376 W
+ Gate Leakage = 0.00137073 W
+ Runtime Dynamic = 1.2089 W
+
+ FP Instruction Window:
+ Area = 0.128977 mm^2
+ Peak Dynamic = 0.478661 W
+ Subthreshold Leakage = 0.00802287 W
+ Gate Leakage = 0.000873414 W
+ Runtime Dynamic = 0.622222 W
+
+ ROB:
+ Area = 1.67052 mm^2
+ Peak Dynamic = 0.759764 W
+ Subthreshold Leakage = 0.0117689 W
+ Gate Leakage = 0.000719579 W
+ Runtime Dynamic = 0.759764 W
+
+ Integer ALUs (Count: 6 ):
+ Area = 4.03603 mm^2
+ Peak Dynamic = 4.55818 W
+ Subthreshold Leakage = 3.9898 W
+ Gate Leakage = 0.412015 W
+ Runtime Dynamic = 2.33394 W
+
+ Floating Point Units (FPUs) (Count: 2 ):
+ Area = 9.71959 mm^2
+ Peak Dynamic = 1.43327 W
+ Subthreshold Leakage = 2.40207 W
+ Gate Leakage = 0.248054 W
+ Runtime Dynamic = 2.55333 W
+
+ Complex ALUs (Mul/Div) (Count: 1 ):
+ Area = 0.336336 mm^2
+ Peak Dynamic = 0.510666 W
+ Subthreshold Leakage = 0.332484 W
+ Gate Leakage = 0.0343346 W
+ Runtime Dynamic = 3.18505 W
+
+ Results Broadcast Bus:
+ Area Overhead = 0.0936618 mm^2
+ Peak Dynamic = 4.4084 W
+ Subthreshold Leakage = 0.174486 W
+ Gate Leakage = 0.0180186 W
+ Runtime Dynamic = 10.3584 W
+
+ L2
+ Area = 15.914 mm^2
+ Peak Dynamic = 3.22061 W
+ Subthreshold Leakage = 3.01991 W
+ Gate Leakage = 0.0223008 W
+ Runtime Dynamic = 6.28514 W
+
+*****************************************************************************************
+ L3
+ Area = 278.612 mm^2
+ Peak Dynamic = 6.11346 W
+ Subthreshold Leakage = 20.1995 W
+ Gate Leakage = 0.267752 W
+ Runtime Dynamic = 5.1782 W
+
+*****************************************************************************************
+BUSES
+ Area = 5.5548 mm^2
+ Peak Dynamic = 16.3909 W
+ Subthreshold Leakage = 0.146229 W
+ Gate Leakage = 0.0241913 W
+ Runtime Dynamic = 16.3909 W
+
+ Bus:
+ Area = 5.5548 mm^2
+ Peak Dynamic = 16.3909 W
+ Subthreshold Leakage = 0.146229 W
+ Gate Leakage = 0.0241913 W
+ Runtime Dynamic = 16.3909 W
+
+*****************************************************************************************
diff --git a/ext/mcpat/results/Xeon_uncore b/ext/mcpat/results/Xeon_uncore
new file mode 100644
index 000000000..558331c25
--- /dev/null
+++ b/ext/mcpat/results/Xeon_uncore
@@ -0,0 +1,341 @@
+McPAT (version 0.7 of May, 2010) is computing the target processor...
+
+
+McPAT (version 0.7 of May, 2010) results (current print level is 5)
+*****************************************************************************************
+ Technology 65 nm
+ Using Long Channel Devices When Appropriate
+ Interconnect metal projection= aggressive interconnect technology projection
+ Core clock Rate(MHz) 3400
+
+*****************************************************************************************
+Processor:
+ Area = 418.629 mm^2
+ Peak Power = 96.2032 W
+ Total Leakage = 27.5568 W
+ Peak Dynamic = 68.6463 W
+ Subthreshold Leakage = 25.8287 W
+ Gate Leakage = 1.72809 W
+ Runtime Dynamic = 50.332 W
+
+ Total Cores:
+ Device Type= ITRS high performance device type
+ Area = 134.217 mm^2
+ Peak Dynamic = 50.8677 W
+ Subthreshold Leakage = 15.0187 W
+ Gate Leakage = 1.57092 W
+ Runtime Dynamic = 33.3003 W
+
+ Total L3s:
+ Device Type= ITRS high performance device type
+ Area = 278.843 mm^2
+ Peak Dynamic = 4.84476 W
+ Subthreshold Leakage = 10.7416 W
+ Gate Leakage = 0.144361 W
+ Runtime Dynamic = 4.09781 W
+
+ Total NoCs (Network/Bus):
+ Device Type= ITRS high performance device type
+ Area = 5.56828 mm^2
+ Peak Dynamic = 12.9339 W
+ Subthreshold Leakage = 0.0684953 W
+ Gate Leakage = 0.0128043 W
+ Runtime Dynamic = 12.9339 W
+
+*****************************************************************************************
+Core:
+ Area = 67.1085 mm^2
+ Peak Dynamic = 25.4338 W
+ Subthreshold Leakage = 7.50933 W
+ Gate Leakage = 0.78546 W
+ Runtime Dynamic = 33.3003 W
+
+ Instruction Fetch Unit:
+ Area = 7.56843 mm^2
+ Peak Dynamic = 4.27305 W
+ Subthreshold Leakage = 0.571346 W
+ Gate Leakage = 0.0523885 W
+ Runtime Dynamic = 4.67953 W
+
+ Instruction Cache:
+ Area = 2.44678 mm^2
+ Peak Dynamic = 1.1785 W
+ Subthreshold Leakage = 0.151766 W
+ Gate Leakage = 0.009764 W
+ Runtime Dynamic = 1.7926 W
+
+ Branch Target Buffer:
+ Area = 0.718635 mm^2
+ Peak Dynamic = 0.151619 W
+ Subthreshold Leakage = 0.0238082 W
+ Gate Leakage = 0.0015503 W
+ Runtime Dynamic = 0.606475 W
+
+ Branch Predictor:
+ Area = 0.446844 mm^2
+ Peak Dynamic = 0.158508 W
+ Subthreshold Leakage = 0.0293041 W
+ Gate Leakage = 0.0021362 W
+ Runtime Dynamic = 0.14087 W
+
+ Global Predictor:
+ Area = 0.174801 mm^2
+ Peak Dynamic = 0.0543932 W
+ Subthreshold Leakage = 0.0116121 W
+ Gate Leakage = 0.000827171 W
+ Runtime Dynamic = 0.0543932 W
+
+ Local Predictor:
+ Area = 0.0788692 mm^2
+ Peak Dynamic = 0.0320817 W
+ Subthreshold Leakage = 0.00452837 W
+ Gate Leakage = 0.000354718 W
+ Runtime Dynamic = 0.0320817 W
+
+ Area = 0.050748 mm^2
+ Peak Dynamic = 0.0218669 W
+ Subthreshold Leakage = 0.00318852 W
+ Gate Leakage = 0.000264126 W
+ Runtime Dynamic = 0.0218669 W
+
+ Chooser:
+ Area = 0.174801 mm^2
+ Peak Dynamic = 0.0543932 W
+ Subthreshold Leakage = 0.0116121 W
+ Gate Leakage = 0.000827171 W
+ Runtime Dynamic = 0.0543932 W
+
+ RAS:
+ Area = 0.0929863 mm^2
+ Peak Dynamic = 0.0176394 W
+ Subthreshold Leakage = 0.00155163 W
+ Gate Leakage = 0.00012714 W
+ Runtime Dynamic = 1.96119e-06 W
+
+ Instruction Buffer:
+ Area = 0.0687233 mm^2
+ Peak Dynamic = 0.579633 W
+ Subthreshold Leakage = 0.00177049 W
+ Gate Leakage = 0.000129185 W
+ Runtime Dynamic = 0.386422 W
+
+ Instruction Decoder:
+ Area = 3.87654 mm^2
+ Peak Dynamic = 1.75316 W
+ Subthreshold Leakage = 0.348225 W
+ Gate Leakage = 0.0335628 W
+ Runtime Dynamic = 1.75316 W
+
+ Renaming Unit:
+ Area = 1.83366 mm^2
+ Peak Dynamic = 2.16025 W
+ Subthreshold Leakage = 0.0324638 W
+ Gate Leakage = 0.00648876 W
+ Runtime Dynamic = 1.53428 W
+
+ Int Front End RAT:
+ Area = 0.879521 mm^2
+ Peak Dynamic = 0.975897 W
+ Subthreshold Leakage = 0.00490782 W
+ Gate Leakage = 0.000372282 W
+ Runtime Dynamic = 0.975897 W
+
+ FP Front End RAT:
+ Area = 0.407642 mm^2
+ Peak Dynamic = 0.477469 W
+ Subthreshold Leakage = 0.00619591 W
+ Gate Leakage = 0.000483134 W
+ Runtime Dynamic = 0.238735 W
+
+ Free List:
+ Area = 0.300513 mm^2
+ Peak Dynamic = 0.112906 W
+ Subthreshold Leakage = 0.00233243 W
+ Gate Leakage = 0.000174984 W
+ Runtime Dynamic = 0.225813 W
+
+ Int Retire RAT:
+ Area = 0.0534147 mm^2
+ Peak Dynamic = 0.0453154 W
+ Subthreshold Leakage = 0.00058142 W
+ Gate Leakage = 6.26682e-05 W
+ Runtime Dynamic = 0.0453154 W
+
+ FP Retire RAT:
+ Area = 0.018897 mm^2
+ Peak Dynamic = 0.0151716 W
+ Subthreshold Leakage = 0.000337803 W
+ Gate Leakage = 3.45545e-05 W
+ Runtime Dynamic = 0.00758578 W
+
+ FP Free List:
+ Area = 0.162758 mm^2
+ Peak Dynamic = 0.081858 W
+ Subthreshold Leakage = 0.00163685 W
+ Gate Leakage = 0.000115075 W
+ Runtime Dynamic = 0.040929 W
+
+ Load Store Unit:
+ Area = 4.4281 mm^2
+ Peak Dynamic = 2.34722 W
+ Subthreshold Leakage = 0.0896936 W
+ Gate Leakage = 0.0121845 W
+ Runtime Dynamic = 2.89901 W
+
+ Data Cache:
+ Area = 2.25853 mm^2
+ Peak Dynamic = 0.888323 W
+ Subthreshold Leakage = 0.0382167 W
+ Gate Leakage = 0.00311455 W
+ Runtime Dynamic = 1.88387 W
+
+ LoadQ:
+ Area = 0.638298 mm^2
+ Peak Dynamic = 0.435889 W
+ Subthreshold Leakage = 0.0121526 W
+ Gate Leakage = 0.00134375 W
+ Runtime Dynamic = 0.217944 W
+
+ StoreQ:
+ Area = 0.811765 mm^2
+ Peak Dynamic = 0.79719 W
+ Subthreshold Leakage = 0.0228527 W
+ Gate Leakage = 0.00248017 W
+ Runtime Dynamic = 0.79719 W
+
+ Memory Management Unit:
+ Area = 0.518866 mm^2
+ Peak Dynamic = 0.760463 W
+ Subthreshold Leakage = 0.0342246 W
+ Gate Leakage = 0.00722713 W
+ Runtime Dynamic = 1.31193 W
+
+ Itlb:
+ Area = 0.12744 mm^2
+ Peak Dynamic = 0.187517 W
+ Subthreshold Leakage = 0.00686539 W
+ Gate Leakage = 0.000767441 W
+ Runtime Dynamic = 0.375037 W
+
+ Dtlb:
+ Area = 0.380515 mm^2
+ Peak Dynamic = 0.234221 W
+ Subthreshold Leakage = 0.0108877 W
+ Gate Leakage = 0.00121362 W
+ Runtime Dynamic = 0.936886 W
+
+ Execution Unit:
+ Area = 27.5564 mm^2
+ Peak Dynamic = 13.34 W
+ Subthreshold Leakage = 3.35055 W
+ Gate Leakage = 0.425 W
+ Runtime Dynamic = 17.8618 W
+
+ Register Files:
+ Area = 11.2668 mm^2
+ Peak Dynamic = 2.65925 W
+ Subthreshold Leakage = 0.0472795 W
+ Gate Leakage = 0.00398463 W
+ Runtime Dynamic = 1.37147 W
+
+ Integer RF:
+ Area = 7.56635 mm^2
+ Peak Dynamic = 2.27672 W
+ Subthreshold Leakage = 0.0282472 W
+ Gate Leakage = 0.00241709 W
+ Runtime Dynamic = 1.21967 W
+
+ Floating Point RF:
+ Area = 3.70048 mm^2
+ Peak Dynamic = 0.382527 W
+ Subthreshold Leakage = 0.0190323 W
+ Gate Leakage = 0.00156754 W
+ Runtime Dynamic = 0.151797 W
+
+ Instruction Scheduler:
+ Area = 2.09118 mm^2
+ Peak Dynamic = 1.7092 W
+ Subthreshold Leakage = 0.0139125 W
+ Gate Leakage = 0.00156067 W
+ Runtime Dynamic = 2.04197 W
+
+ Instruction Window:
+ Area = 0.287606 mm^2
+ Peak Dynamic = 0.721714 W
+ Subthreshold Leakage = 0.00547415 W
+ Gate Leakage = 0.000721338 W
+ Runtime Dynamic = 0.940723 W
+
+ FP Instruction Window:
+ Area = 0.129287 mm^2
+ Peak Dynamic = 0.372875 W
+ Subthreshold Leakage = 0.0034355 W
+ Gate Leakage = 0.00045775 W
+ Runtime Dynamic = 0.486639 W
+
+ ROB:
+ Area = 1.67428 mm^2
+ Peak Dynamic = 0.61461 W
+ Subthreshold Leakage = 0.00500288 W
+ Gate Leakage = 0.00038158 W
+ Runtime Dynamic = 0.61461 W
+
+ Integer ALUs (Count: 6 ):
+ Area = 4.03603 mm^2
+ Peak Dynamic = 3.52986 W
+ Subthreshold Leakage = 1.89726 W
+ Gate Leakage = 0.240113 W
+ Runtime Dynamic = 1.8074 W
+
+ Floating Point Units (FPUs) (Count: 2 ):
+ Area = 9.71959 mm^2
+ Peak Dynamic = 1.10993 W
+ Subthreshold Leakage = 1.14225 W
+ Gate Leakage = 0.14456 W
+ Runtime Dynamic = 1.9773 W
+
+ Complex ALUs (Mul/Div) (Count: 1 ):
+ Area = 0.336336 mm^2
+ Peak Dynamic = 0.405148 W
+ Subthreshold Leakage = 0.158105 W
+ Gate Leakage = 0.0200094 W
+ Runtime Dynamic = 2.4988 W
+
+ Results Broadcast Bus:
+ Area Overhead = 0.0954831 mm^2
+ Peak Dynamic = 3.47499 W
+ Subthreshold Leakage = 0.0752739 W
+ Gate Leakage = 0.00952648 W
+ Runtime Dynamic = 8.1649 W
+
+ L2
+ Area = 16.1307 mm^2
+ Peak Dynamic = 2.55285 W
+ Subthreshold Leakage = 1.29868 W
+ Gate Leakage = 0.012304 W
+ Runtime Dynamic = 5.01368 W
+
+*****************************************************************************************
+ L3
+ Area = 278.843 mm^2
+ Peak Dynamic = 4.84476 W
+ Subthreshold Leakage = 10.7416 W
+ Gate Leakage = 0.144361 W
+ Runtime Dynamic = 4.09781 W
+
+*****************************************************************************************
+BUSES
+ Area = 5.56828 mm^2
+ Peak Dynamic = 12.9339 W
+ Subthreshold Leakage = 0.0684953 W
+ Gate Leakage = 0.0128043 W
+ Runtime Dynamic = 12.9339 W
+
+ Bus:
+ Area = 5.56828 mm^2
+ Peak Dynamic = 12.9339 W
+ Subthreshold Leakage = 0.0684953 W
+ Gate Leakage = 0.0128043 W
+ Runtime Dynamic = 12.9339 W
+
+*****************************************************************************************