summaryrefslogtreecommitdiff
path: root/src/cpu/base_dyn_inst_impl.hh
diff options
context:
space:
mode:
Diffstat (limited to 'src/cpu/base_dyn_inst_impl.hh')
-rw-r--r--src/cpu/base_dyn_inst_impl.hh3
1 files changed, 2 insertions, 1 deletions
diff --git a/src/cpu/base_dyn_inst_impl.hh b/src/cpu/base_dyn_inst_impl.hh
index 976e9ceb0..f55bd8ed5 100644
--- a/src/cpu/base_dyn_inst_impl.hh
+++ b/src/cpu/base_dyn_inst_impl.hh
@@ -88,7 +88,8 @@ BaseDynInst<Impl>::initVars()
{
memData = NULL;
effAddr = 0;
- physEffAddr = 0;
+ physEffAddrLow = 0;
+ physEffAddrHigh = 0;
readyRegs = 0;
memReqFlags = 0;