summaryrefslogtreecommitdiff
path: root/src/dev/uart.hh
diff options
context:
space:
mode:
Diffstat (limited to 'src/dev/uart.hh')
-rw-r--r--src/dev/uart.hh5
1 files changed, 3 insertions, 2 deletions
diff --git a/src/dev/uart.hh b/src/dev/uart.hh
index 6c9c08ee7..bf3dcf7c3 100644
--- a/src/dev/uart.hh
+++ b/src/dev/uart.hh
@@ -35,8 +35,9 @@
#ifndef __UART_HH__
#define __UART_HH__
+#include "base/callback.hh"
#include "dev/io_device.hh"
-#include "dev/terminal.hh"
+#include "dev/serial.hh"
#include "params/Uart.hh"
class Platform;
@@ -49,7 +50,7 @@ class Uart : public BasicPioDevice
protected:
int status;
Platform *platform;
- Terminal *term;
+ SerialDevice *device;
public:
typedef UartParams Params;