summaryrefslogtreecommitdiff
path: root/src/dev/uart8250.hh
diff options
context:
space:
mode:
Diffstat (limited to 'src/dev/uart8250.hh')
-rw-r--r--src/dev/uart8250.hh5
1 files changed, 2 insertions, 3 deletions
diff --git a/src/dev/uart8250.hh b/src/dev/uart8250.hh
index 7d577954c..6b255594a 100644
--- a/src/dev/uart8250.hh
+++ b/src/dev/uart8250.hh
@@ -113,9 +113,8 @@ class Uart8250 : public Uart
*/
virtual bool intStatus() { return status ? true : false; }
- virtual void serialize(std::ostream &os);
- virtual void unserialize(Checkpoint *cp, const std::string &section);
-
+ void serialize(CheckpointOut &cp) const M5_ATTR_OVERRIDE;
+ void unserialize(CheckpointIn &cp) M5_ATTR_OVERRIDE;
};
#endif // __TSUNAMI_UART_HH__