summaryrefslogtreecommitdiff
path: root/src/sim/debug.cc
diff options
context:
space:
mode:
Diffstat (limited to 'src/sim/debug.cc')
-rw-r--r--src/sim/debug.cc2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/sim/debug.cc b/src/sim/debug.cc
index fadd89ae1..51b92740e 100644
--- a/src/sim/debug.cc
+++ b/src/sim/debug.cc
@@ -36,7 +36,7 @@
#include "base/debug.hh"
#include "sim/debug.hh"
-#include "sim/eventq.hh"
+#include "sim/eventq_impl.hh"
#include "sim/sim_events.hh"
#include "sim/sim_exit.hh"