summaryrefslogtreecommitdiff
path: root/src/sim/power/thermal_entity.hh
diff options
context:
space:
mode:
Diffstat (limited to 'src/sim/power/thermal_entity.hh')
-rw-r--r--src/sim/power/thermal_entity.hh2
1 files changed, 2 insertions, 0 deletions
diff --git a/src/sim/power/thermal_entity.hh b/src/sim/power/thermal_entity.hh
index fb703961f..5c0ab3045 100644
--- a/src/sim/power/thermal_entity.hh
+++ b/src/sim/power/thermal_entity.hh
@@ -40,6 +40,8 @@
#ifndef __SIM_THERMAL_ENTITY_HH__
#define __SIM_THERMAL_ENTITY_HH__
+#include "sim/sim_object.hh"
+
class LinearEquation;
class ThermalNode;