summaryrefslogtreecommitdiff
path: root/src/sim/power/thermal_model.cc
diff options
context:
space:
mode:
Diffstat (limited to 'src/sim/power/thermal_model.cc')
-rw-r--r--src/sim/power/thermal_model.cc15
1 files changed, 0 insertions, 15 deletions
diff --git a/src/sim/power/thermal_model.cc b/src/sim/power/thermal_model.cc
index 13242f666..05ce42bc9 100644
--- a/src/sim/power/thermal_model.cc
+++ b/src/sim/power/thermal_model.cc
@@ -41,7 +41,6 @@
#include "base/statistics.hh"
#include "params/ThermalCapacitor.hh"
-#include "params/ThermalNode.hh"
#include "params/ThermalReference.hh"
#include "params/ThermalResistor.hh"
#include "sim/clocked_object.hh"
@@ -50,20 +49,6 @@
#include "sim/sim_object.hh"
/**
- * ThermalNode
- */
-ThermalNode::ThermalNode(const Params *p)
- : SimObject(p), id(-1), isref(false), temp(0.0f)
-{
-}
-
-ThermalNode *
-ThermalNodeParams::create()
-{
- return new ThermalNode(this);
-}
-
-/**
* ThermalReference
*/
ThermalReference::ThermalReference(const Params *p)