summaryrefslogtreecommitdiff
path: root/src/sim/pseudo_inst.cc
diff options
context:
space:
mode:
Diffstat (limited to 'src/sim/pseudo_inst.cc')
-rw-r--r--src/sim/pseudo_inst.cc2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/sim/pseudo_inst.cc b/src/sim/pseudo_inst.cc
index 7a91bfbd4..683397116 100644
--- a/src/sim/pseudo_inst.cc
+++ b/src/sim/pseudo_inst.cc
@@ -326,4 +326,4 @@ switchcpu(ThreadContext *tc)
exitSimLoop("switchcpu");
}
-/* namespace PseudoInst */ }
+} // namespace PseudoInst