summaryrefslogtreecommitdiff
path: root/src/sim/sim_object.cc
diff options
context:
space:
mode:
Diffstat (limited to 'src/sim/sim_object.cc')
-rw-r--r--src/sim/sim_object.cc17
1 files changed, 3 insertions, 14 deletions
diff --git a/src/sim/sim_object.cc b/src/sim/sim_object.cc
index 7b794a0b7..eb6e15ae1 100644
--- a/src/sim/sim_object.cc
+++ b/src/sim/sim_object.cc
@@ -56,7 +56,9 @@ SimObject::SimObjectList SimObject::simObjectList;
// SimObject constructor: used to maintain static simObjectList
//
SimObject::SimObject(const Params *p)
- : EventManager(getEventQueue(p->eventq_index)), _params(p)
+ : EventManager(getEventQueue(p->eventq_index)),
+ Stats::Group(nullptr),
+ _params(p)
{
#ifdef DEBUG
doDebugBreak = false;
@@ -98,19 +100,6 @@ SimObject::startup()
{
}
-//
-// no default statistics, so nothing to do in base implementation
-//
-void
-SimObject::regStats()
-{
-}
-
-void
-SimObject::resetStats()
-{
-}
-
/**
* No probe points by default, so do nothing in base.
*/