summaryrefslogtreecommitdiff
path: root/src/sim
diff options
context:
space:
mode:
Diffstat (limited to 'src/sim')
-rw-r--r--src/sim/stat_control.cc2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/sim/stat_control.cc b/src/sim/stat_control.cc
index 715bb54aa..5429861d6 100644
--- a/src/sim/stat_control.cc
+++ b/src/sim/stat_control.cc
@@ -59,7 +59,7 @@
#include "cpu/base.hh"
#endif
-#include "sim/eventq.hh"
+#include "sim/eventq_impl.hh"
#include "sim/stat_control.hh"
using namespace std;