summaryrefslogtreecommitdiff
path: root/src/sim
diff options
context:
space:
mode:
Diffstat (limited to 'src/sim')
-rw-r--r--src/sim/system.cc1
-rw-r--r--src/sim/system.hh1
2 files changed, 0 insertions, 2 deletions
diff --git a/src/sim/system.cc b/src/sim/system.cc
index 87a220311..3868f57b8 100644
--- a/src/sim/system.cc
+++ b/src/sim/system.cc
@@ -112,7 +112,6 @@ System::System(Params *p)
thermalModel(p->thermal_model),
_params(p),
totalNumInsts(0),
- instEventQueue("system instruction-based event queue"),
redirectPaths(p->redirect_paths)
{
diff --git a/src/sim/system.hh b/src/sim/system.hh
index 345d83c2a..852bc21a2 100644
--- a/src/sim/system.hh
+++ b/src/sim/system.hh
@@ -605,7 +605,6 @@ class System : public SimObject
public:
Counter totalNumInsts;
- EventQueue instEventQueue;
std::map<std::pair<uint32_t,uint32_t>, Tick> lastWorkItemStarted;
std::map<uint32_t, Stats::Histogram*> workItemStats;